|
█武汉大学科教管理与评价研究中心 陈立新 张琳 黄颖
第37个技术领域是电气元件和结构部件,包括电缆、电阻器、电感、电容器、整流器、检波器、电开关、继电器、电灯、火花隙、谐振器等,以及电气设备通用的结构零部件和电气元件组件的制造。2021年,美国专利商标局在该领域共授权专利17197项(增长率为-16%),占总授权量的5.3%,是专利数量第8多的领域。
2021年,美国在该领域获得专利权6493项,占该领域专利授权总量的33%。中国在该领域做出专利发明1215项,获得专利权1228项,流失专利发明-13项。日本和韩国获得的专利权数量分别为3983和1344项。
表17.37-1 2021年各国电气元件和结构部件领域的在美专利发明和专利权数量
国家 和地区 | 发明 数量 | 专利权 数量 | 净流失 数量 | 专利 流失率 | 发明 份额 | 专利权 份额 | 份额 流失量 | |
1 | 美国 | 6319 | 6493 | -174 | -2.8% | 36.7% | 37.8% | -1.0% |
2 | 日本 | 3952 | 3983 | -31 | -0.8% | 23.0% | 23.2% | -0.2% |
3 | 韩国 | 1350 | 1344 | 6 | 0.4% | 7.9% | 7.8% | 0.0% |
4 | 中国 | 1215 | 1228 | -13 | -1.1% | 7.1% | 7.1% | -0.1% |
5 | 德国 | 1010 | 964 | 46 | 4.6% | 5.9% | 5.6% | 0.3% |
6 | 法国 | 329 | 291 | 38 | 11.6% | 1.9% | 1.7% | 0.2% |
7 | 加拿大 | 248 | 160 | 88 | 35.5% | 1.4% | 0.9% | 0.5% |
8 | 英国 | 278 | 225 | 53 | 19.1% | 1.6% | 1.3% | 0.3% |
9 | 瑞士 | 113 | 265 | -152 | -134.5% | 0.7% | 1.5% | -0.9% |
10 | 荷兰 | 98 | 136 | -38 | -38.8% | 0.6% | 0.8% | -0.2% |
11 | 瑞典 | 123 | 119 | 4 | 3.3% | 0.7% | 0.7% | 0.0% |
12 | 以色列 | 113 | 87 | 26 | 23.0% | 0.7% | 0.5% | 0.2% |
13 | 意大利 | 102 | 72 | 30 | 29.4% | 0.6% | 0.4% | 0.2% |
14 | 印度 | 81 | 17 | 64 | 79.0% | 0.5% | 0.1% | 0.4% |
15 | 其他 | 1866 | 1813 | 53 | 2.8% | 10.9% | 10.5% | 0.3% |
小计 | 17197 | 17197 | 0 | 0% | 100% | 100% | 0% |
图17.37-1 2021年各国电气元件和结构部件领域的在美专利发明和专利权数量对比
2021年,在电气元件和结构部件领域上获得美国专利授权最多的机构是三星电子公司、三星电机株式会社、村田制造公司。中国专利最多的机构是华为技术公司,获得120项专利。
表17.37-2 2021年电气元件和结构部件领域在美专利授权前10机构
机构名称 | 国家 | 机构英文名称 | 2021 | 2020 | |
1 | 三星电子公司 | 韩国 | SAMSUNG ELECTRONICS CO., LTD. | 398 | 353 |
2 | 三星电机株式会社 | 韩国 | SAMSUNG ELECTRO-MECHANICS CO., LTD. | 278 | 268 |
3 | 村田制造公司 | 日本 | MURATA MANUFACTURING CO., LTD. | 266 | 278 |
4 | 应用材料公司 | 美国 | APPLIED MATERIALS, INC. | 211 | 226 |
5 | 苹果公司 | 美国 | APPLE INC. | 200 | 272 |
6 | 国际商业机器公司 | 美国 | INTERNATIONAL BUSINESS MACHINES CORPORATION | 192 | 257 |
7 | 矢崎株式会社 | 日本 | YAZAKI CORPORATION | 184 | 201 |
8 | 松下知识产权管理公司 | 日本 | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. | 176 | 168 |
9 | 东京电气化学工业株式会社 | 日本 | TDK CORPORATION | 164 | 187 |
10 | 英特尔公司 | 美国 | INTEL CORPORATION | 155 | 157 |
注:本表数据按照第一权利人进行统计。
图17.37-2 2021年电气元件和结构部件领域在美专利授权前10机构
感谢大连理工大学刘则渊教授、河南师范大学梁立明教授、科技部中国科学技术发展战略研究院武夷山研究员、大连理工大学丁堃教授、大连理工大学杨中楷教授对本报告的大力支持与帮助。同时,向以不同形式对本报告提出意见和建议的专家学者们表示诚挚的感谢。
如需要中美欧日韩五局及PCT专利数据、专利报告,以及咨询相关专利问题请添加微信号。
附表 2021年该领域美国授权专利
PATENT NO. | TITLE | ASSIGNEE |
10881093 | Ferromagnetic pest trap | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
10881097 | High voltage wildlife protection cover having skirts for increasing effective surface distance | ECO ELECTRICAL SYSTEMS |
10881156 | Supporting an electrical connector | WEARABLE TECHNOLOGY LIMITED |
10881158 | Modular lighted tree | -- |
10881337 | Shielded, folded connector for a sensor | EDWARDS LIFESCIENCES CORPORATION |
10881350 | Physiological signal measurement device | -- |
10881814 | Electronic vaping device | ALTRIA CLIENT SERVICES LLC |
10881866 | Electrical contacting device for an implantable medical device, and method for production | HERAEUS DEUTSCHLAND GMBH & CO. KG |
10881867 | Method for providing a hermetically sealed feedthrough with co-fired filled via for an active implantable medical device | GREATBATCH LTD. |
10881869 | Wireless re-charge of an implantable medical device | CARDIAC PACEMAKERS, INC. |
10881870 | External charger for an implantable medical device having at least one sense coil concentric with a charging coil for determining position | BOSTON SCIENTIFIC NEUROMODULATION CORPORATION |
10881915 | Polymeric golf club head with metallic face | KARSTEN MANUFACTURING CORPORATION |
10882055 | Ionization air purification system for the passenger cabin of a vehicle | CLEAN AIR GROUP, INC. |
10882147 | Heatsink with retention mechanisms | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
10882194 | Robot linear drive heat transfer | PERSIMMON TECHNOLOGIES CORPORATION |
10882230 | Injection moulding press | CURTI COSTRUZIONI MECCANICHE S.P.A. |
10882276 | Continuous production of exfoliated 2D layered materials by compressive flow | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
10882402 | Device for detecting welding of relay | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10882408 | Mechanical connectors for contactless communication units | KEYSSA SYSTEMS, INC. |
10882409 | Onboard charging system including a heat exchanger | SUBARU CORPORATION |
10882436 | Mobile cellular transmission system | MAVERICK TECHNOLOGIES, INC. |
10882462 | Vehicle-mounted display screen device and vehicle | BYD COMPANY LIMITED |
10882474 | Electrical power system for a vehicle | TRANSPORTATION IP HOLDINGS, LLC |
10882476 | Vehicular circuit body | YAZAKI CORPORATION |
10882501 | Switching device and method for switching loads | KNORR-BREMSE SYSTEME FUER NUTZFAHRZEUGE GMBH |
10882595 | Drone based data collection | -- |
10882627 | Aircraft drive device and aircraft provided with a drive device | AIRBUS DEFENCE AND SPACE GMBH |
10882641 | Multifunctional structure for electrical energy and mechanical environment management | NATIONAL UNIVERSITY OF DEFENSE TECHNOLOGY |
10882642 | System and method of producing artificial gravity in an electromagnetized environment | -- |
10882661 | Devices and methods relating to modular storage | CONCEPT WORKSHOP WORLDWIDE, LLC |
10882714 | Winding head for a torroidal winding machine, torroidal winding machine comprising such a winding head and method | SCHNEIDER ELECTRIC INDUSTRIES SAS |
10882736 | Semiconductor structures provided within a cavity and related design structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10882748 | Graphene synthesis apparatus and graphene synthesis method using the same | HAESUNG DS CO., LTD. |
10882793 | Ferrite sheet production method and ferrite sheet using same | AMOTECH CO., LTD. |
10882949 | Processable polymers and methods of making and using thereof | GEORGIA TECH RESEARCH CORPORATION |
10883002 | Conductive paste composition and solar cell using the conductive paste composition | -- |
10883011 | Molecular inks | GROUPE GRAHAM INTERNATIONAL INC. |
10883168 | Processing system for small substrates | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
10883174 | Gas diffuser mounting plate for reduced particle generation | APPLIED MATERIALS, INC. |
10883176 | Method for directly synthesizing graphene on surface of target object and device including graphene prepared using the method | KOREA INSTITUTE OF SCIENCE AND TECHNOLOGY |
10883179 | Method of producing a NTCR sensor | VISHAY ELECTRONIC GMBH |
10883187 | Electrochemical method and apparatus for forming a vacuum in a sealed enclosure | ATMOSPHERIX LLC |
10883204 | Conductive woven fabric, conductive member and process for producing conductive woven fabric | SEIREN CO., LTD. |
10883338 | Polymagnetic flow control valves | ONESUBSEA IP UK LIMITED |
10883394 | Support arrangement for an actuator of a cam phaser | ECO HOLDING 1 GMBH |
10883458 | Asymmetric spring valve disk | VITESCO TECHNOLOGIES USA, LLC. |
10883461 | Electromagnetic solenoid and fuel injection valve | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
10883465 | Solenoid valve and method for manufacturing the same | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
10883468 | Ignition system | DENSO CORPORATION |
10883469 | Ignition interrupter and related methods | ZOMBIEBOX INTERNATIONAL LLC |
10883518 | Water pump cooler for CPU | DONGGUAN ZHENPIN HARDWARE COOLING TECHNOLOGY CO. LTD |
10883524 | Elastic element | -- |
10883541 | Electrically isolated non-sealed bearing | SCHAEFFLER TECHNOLOGIES AG & CO. KG |
10883620 | Response time managed valves and their applications | BRIGHT ENERGY STORAGE TECHNOLOGIES, LLP |
10883622 | Electrically operated fluid flow valve arrangements | HEANOR |
10883648 | Leveling and stabilization of weight biased loads | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10883652 | Display system and display device base | HEFEI BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10883683 | LED driver system for streetlights | CIMCON LIGHTING, INC. |
10883705 | Railroad crossing gate lamp system | RAILWAY EQUIPMENT COMPANY |
10883709 | String lights | LAMUES LIGHT ENTERPRISE CO., LTD |
10883723 | Induction heating cooker and method of controlling display thereof | SAMSUNG ELECTRONICS CO., LTD. |
10883769 | Heat pipe and method to embed a heat pipe in a product | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
10883777 | Shape memory material based thermal coupler/decoupler and method | RAYTHEON COMPANY |
10883799 | Metasurface skin cloak | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
10883804 | Infra-red device | AMS SENSORS UK LIMITED |
10883815 | Film strain sensor configuration including a processor | KABUSHIKI KAISHA TOSHIBA |
10883817 | Method for full-field measurement using dynamic laser doppler imaging | BEIJING JIAOTONG UNIVERSITY |
10883948 | Moisture monitoring system | FRANKLIN FUELING SYSTEMS, LLC |
10883955 | Carbon material supported hollow metal oxide nanoparticles, methods and applications | CORNELL UNIVERSITY |
10883964 | Polarization dielectric discharge source for IMS instrument | TEKNOSCAN SYSTEMS INC |
10884045 | Test arrangement and test method | ROHDE & SCHWARZ GMBH & CO. KG |
10884046 | Calibration and load pull method for RF and baseband frequencies | -- |
10884052 | Test system for checking electronic connections | ENDRESS+HAUSER FLOWTEC AG |
10884061 | Relay diagnosis circuit, diagnosis method, and battery management system | CONTEMPORARY AMPEREX TECHNOLOGY CO., LIMITED |
10884074 | Power interrupt system for a connector of a power supply device | GOAL ZERO LLC |
10884075 | Printed circuit board, method for determining engagement state between printed circuit board and flexible printed circuit and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10884077 | Inductance element for magnetic sensor and current sensor including the same | TDK CORPORATION |
10884078 | Ferromagnetic multilayer film, magnetoresistance effect element, and method for manufacturing ferromagnetic multilayer film | TDK CORPORATION |
10884094 | Acquiring and tracking a satellite signal with a scanned antenna | KYMETA CORPORATION |
10884118 | Radar systems for vehicles and methods for operating radar systems of vehicles | INFINEON TECHNOLOGIES AG |
10884120 | Vehicular radar device | -- |
10884195 | Techniques to support multiple interconnect protocols for a common set of interconnect connectors | INTEL CORPORATION |
10884203 | Cooling apparatus for pluggable modules | ACCEDIAN NETWORKS INC. |
10884204 | Optical module and liquid immersion system | FUJITSU LIMITED |
10884253 | Apparatus and method for dewetting-based spatial light modulation for high-power lasers | RUTGERS, THE STATE UNIVERSITY OF NEW JERSEY |
10884308 | Microstrip line configuration for phase-shift unit, antenna array, display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10884328 | Projection display apparatus | SONY CORPORATION |
10884339 | Lithographic method | ASML NETHERLANDS B.V. |
10884379 | Method of acquiring holograms by off-axis electron holography in precession mode | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10884387 | System and method to dynamically change data center partitions | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10884450 | Clock distribution system | NORTHROP GRUMMAN SYSTEMS CORPORATION |
10884458 | Electronic device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10884512 | Key device and keyboard device | -- |
10884519 | Scroll wheel module | LITE-ON ELECTRONICS (GUANGZHOU) LIMITED |
10884520 | Input detecting device | SHARP KABUSHIKI KAISHA |
10884522 | Adaptive hover operation of touch instruments | MICROSOFT TECHNOLOGY LICENSING, LLC |
10884555 | Conductive pattern | DONGWOO FINE-CHEM CO., LTD. |
10884558 | Sensor design for NFC-integrated touchpad | SYNAPTICS INCORPORATED |
10884955 | Stacked and folded above motherboard interposer | MORGAN/WEISS TECHNOLOGIES INC. |
10885289 | Tracking system having robust magnetic near field for identifying medical articles in container | MEPS REAL-TIME, INC. |
10885418 | Detachable radio frequency identification switch tag | NEOLOGY, INC. |
10885421 | RFID integrated circuits with antenna contacts on multiple surfaces | IMPINJ, INC. |
10885460 | Dispersive-resistive hybrid attenuator for quantum microwave circuits | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10885729 | Passive entry/passive start systems using continuous wave tones and synchronization words for detecting range extender type relay station attacks | DENSO INTERNATIONAL AMERICA, INC. |
10885733 | Tri-angled antenna array for secure access control | SCHLAGE LOCK COMPANY LLC |
10885934 | Magnetoresistance effect device with shaped high-frequency signal line overlapping magnetoresistance effect element | TDK CORPORATION |
10886033 | Conductive films | REGENTS OF THE UNIVERSITY OF MINNESOTA |
10886034 | Cable with advantageous electrical properties | BOREALIS AG |
10886035 | Energy cable having a crosslinked electrically insulating layer, and method for extracting crosslinking by-products therefrom | PRYSMIAN S.P.A. |
10886036 | Energy efficient conductors with reduced thermal knee points and the method of manufacture thereof | -- |
10886037 | Conductor and method of manufacturing the same | ALPS ALPINE CO., LTD. |
10886038 | Exterior member-equipped wire | AUTONETWORKS TECHNOLOGIES, LTD. |
10886039 | Low-smoke flame reduced cable | DUPONT POLYMERS, INC. |
10886040 | Superconducting wire | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
10886041 | High-temperature superconducting conductor, high-temperature superconducting coil, and connecting structure of high-temperature superconducting coil | KABUSHIKI KAISHA TOSHIBA |
10886042 | Twisted wire manufacturing apparatus and twisted wire manufacturing method | YAZAKI CORPORATION |
10886043 | Ceramic member | MURATA MANUFACTURING CO., LTD. |
10886044 | Rare earth permanent magnet | TDK CORPORATION |
10886045 | Ferrite sheet, method for manufacturing same, and electronic component comprising same | EMW CO., LTD. |
10886046 | Integrated magnetic component and switched mode power converter | DELTA ELECTRONICS (THAILAND) PUBLIC CO., LTD. |
10886047 | Wireless charging coil | A.K. STAMPING COMPANY, INC. |
10886048 | Laminated coil substrate | IBIDEN CO., LTD. |
10886049 | Coiled coupled-line hybrid coupler | NORTHROP GRUMMAN SYSTEMS CORPORATION |
10886050 | Electrical connector | -- |
10886051 | Electrical connector | -- |
10886052 | Adsorption bar, vacuum aligner system, and control method of vacuum aligner system | BOE TECHNOLOGY GROUP CO., LTD. |
10886053 | RF choke for gas delivery to an RF driven electrode in a plasma processing apparatus | APPLIED MATERIALS, INC. |
10886054 | High-voltage transformer and electronic power apparatus | DELTA ELECTRONICS (SHANGHAI) CO., LTD. |
10886055 | Wound core and manufacturing method thereof | NIPPON STEEL CORPORATION |
10886056 | Inductor element | TDK CORPORATION |
10886057 | Electronic component | TDK CORPORATION |
10886058 | Inductor and low-noise amplifier including the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10886059 | Coil component | MURATA MANUFACTURING CO., LTD. |
10886060 | Multilayer electronic component manufacturing method and multilayer electronic component | MURATA MANUFACTURING CO., LTD. |
10886061 | Multilayer electronic component manufacturing method and multilayer electronic component | MURATA MANUFACTURING CO., LTD. |
10886062 | Method for preparing rare-earth permanent magnet | HYUNDAI MOTOR COMPANY |
10886063 | Electronic-component manufacturing method | TDK CORPORATION |
10886064 | Capacitor cup and arrangement for fastening capacitor cup | DANFOSS POWER ELECTRONICS A/S |
10886065 | Ceramic electronic part and method for manufacturing the same | TAIYO YUDEN CO., LTD |
10886066 | Multilayer ceramic capacitor including external electrode having tin-plated layers alternately stacked with nickel-plated layer(s) | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10886067 | Multilayer ceramic capacitor and method of manufacturing the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10886068 | Ceramic electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10886069 | Multilayer ceramic electronic device and circuit board having same | TAIYO YUDEN CO., LTD. |
10886070 | Polypropylene film structure | BOREALIS AG |
10886071 | Electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10886072 | Method for producing photoelectric conversion element | SHARP KABUSHIKI KAISHA |
10886073 | Flexible solar panel | KING SAUD UNIVERSITY |
10886074 | Nanostructured electrode for energy storage device | FASTCAP SYSTEMS CORPORATION |
10886075 | Integrated carbon/carbon ultracapacitor of high power density and battery composed from said capacitors | OÜ SKELETON TECHNOLOGIES GROUP |
10886076 | Device and method for switching medium and/or high voltages with a specific drive characteristic | SIEMENS AKTIENGESELLSCHAFT |
10886077 | Mobile terminal | LG ELECTRONICS INC. |
10886078 | Button, switch assembly and computer host | -- |
10886079 | Keyboard device | -- |
10886080 | Pushbutton for a keyboard | -- |
10886081 | Keyboard device | -- |
10886082 | Light control diaphragm for an electronic device | APPLE INC. |
10886083 | Switch knob and operating module having the same | LS AUTOMOTIVE TECHNOLOGIES CO., LTD. |
10886084 | Pressure switch and hermetically sealed electric compressor | UBUKATA INDUSTRIES CO., LTD. |
10886085 | Temperature-controlled device for switching off a heating installation | E.G.O. ELEKTRO-GERAETEBAU GMBH |
10886086 | Methods and apparatuses for monitoring the functionality of redundantly interconnected contacts | PEPPERL+FUCHS SE |
10886087 | Overcurrent protection device and method of forming an overcurrent protection device | LITTELFUSE, INC. |
10886088 | Pyrotechnic switching device | MERSEN FRANCE SB SAS |
10886089 | Fuse holder and associated method | REGAL BELOIT AMERICA, INC. |
10886090 | Method and device for protecting an electrical architecture | RENAULT S.A.S. |
10886091 | Feedthrough device including a gas discharge tube | AVX CORPORATION |
10886092 | Charged particle beam source and a method for assembling a charged particle beam source | APPLIED MATERIALS ISRAEL LTD. |
10886093 | High voltage vacuum feedthrough | ASML NETHERLANDS B.V. |
10886094 | Electron tube | TELEDYNE UK LIMITED |
10886095 | Image intensifier for night vision device | PHOTONIS NETHERLANDS B.V. |
10886096 | Target for generating X-ray radiation, X-ray emitter and method for generating X-ray radiation | SIEMENS HEALTHCARE GMBH |
10886097 | Plasma processing apparatus and plasma processing method | TOKYO ELECTRON LIMITED |
10886098 | Electrostatic filter and ion implanter having asymmetric electrostatic configuration | APPLIED MATERIALS, INC. |
10886099 | Method of aberration measurement and electron microscope | JEOL LTD. |
10886100 | Method and system for cross-sectioning a sample with a preset thickness or to a target site | FIBICS INCORPORATED |
10886101 | Charged particle beam device | HITACHI HIGH-TECH CORPORATION |
10886102 | Multiple electron beam irradiation apparatus, multiple electron beam irradiation method, and multiple electron beam inspection apparatus | NUFLARE TECHNOLOGY, INC. |
10886103 | Data processing method, data processing apparatus, and multiple charged-particle beam writing apparatus | NUFLARE TECHNOLOGY, INC. |
10886104 | Adaptive plasma ignition | ADVANCED ENERGY INDUSTRIES, INC. |
10886105 | Impedance matching method, impedance matching device and plasma generating apparatus | BEIJING NAURA MICROELECTRONICS EQUIPMENT CO., LTD. |
10886106 | Plasma processing apparatus and method for venting a processing chamber to atmosphere | HITACHI HIGH-TECH CORPORATION |
10886107 | Extended detachable gas distribution plate and showerhead incorporating same | APPLIED MATERIALS, INC. |
10886108 | Power feed structure and plasma processing apparatus | TOKYO ELECTRON LIMITED |
10886109 | Stage and plasma processing apparatus | TOKYO ELECTRON LIMITED |
10886110 | Plasma processing apparatus and prediction method of the condition of plasma processing apparatus | HITACHI HIGH-TECH CORPORATION |
10886111 | Process for producing sputtering target and sputtering target | SUMITOMO CHEMICAL COMPANY, LIMITED |
10886112 | Mn—Zn—W—O sputtering target and production method therefor | DEXERIALS CORPORATION |
10886113 | Process kit and method for processing a substrate | APPLIED MATERIALS, INC. |
10886114 | Sputtering gap measurement apparatus and magnetron sputtering device | BOE TECHNOLOGY GROUP CO., LTD. |
10886115 | Mass spectrometric determination of particular tissue states | -- |
10886116 | Hybrid mass spectrometer | MICROMASS UK LIMITED |
10886117 | Method of spatialized freeing and capturing of biological species using a tissue placed on a functionalized support | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10886118 | Ion source with mixed magnets | THERMO FINNIGAN LLC |
10886122 | Methods for conformal treatment of dielectric films with low thermal budget | APPLIED MATERIALS, INC. |
10886135 | Substrate processing method and substrate processing apparatus | TOKYO ELECTRON LIMITED |
10886173 | Platform and method of operating for integrated end-to-end fully self-aligned interconnect process | TOKYO ELECTRON LIMITED |
10886210 | Cover for an electronic device and method of fabrication | STMICROELECTRONICS (GRENOBLE 2) SAS |
10886242 | Antenna module | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10886243 | Fan-out antenna packaging structure and preparation thereof | SJ SEMICONDUCTOR (JIANGYIN) CORPORATION |
10886252 | Method of bonding semiconductor substrates | IMEC VZW |
10886317 | Fabrication of optical metasurfaces | ELWHA LLC |
10886420 | Thin optoelectronic modules with apertures and their manufacture | AMS SENSORS SINGAPORE PTE. LTD. |
10886425 | Tandem photovoltaic cell | CENTRE NATIONAL DE LA RECHERCHE SCIENTIFIQUE |
10886431 | Optoelectronic semiconductor chip and method for producing an optoelectronic semiconductor chip | OSRAM OLED GMBH |
10886437 | Devices and structures bonded by inorganic coating | LUMILEDS LLC |
10886456 | Nonvolatile magnetic memory device | SONY CORPORATION |
10886498 | Moveable display supports, computing devices using same, and methods of use | MICROSOFT TECHNOLOGY LICENSING, LLC |
10886506 | Cell packaging material, method for manufacturing same, and cell | DAI NIPPON PRINTING CO., LTD. |
10886516 | Active membrane with controlled ion-transport | OHIO STATE INNOVATION FOUNDATION |
10886519 | Conductor module for terminal | YAZAKI CORPORATION |
10886531 | Negative electrode composition for electric storage device, negative electrode including the composition, electric storage device, and method for producing negative electrode for electric storage device | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
10886533 | Nonaqueous lithium power storage element | ASAHI KASEI KABUSHIKI KAISHA |
10886565 | Electrolyte and electrochemical energy storage device | CONTEMPORARY AMPEREX TECHNOLOGY CO., LIMITED |
10886583 | Battery and capacitor assembly for a vehicle and a method for heating and cooling the battery and capacitor assembly | GENTHERM INCORPORATED |
10886585 | DC-capable cryogenic microwave filter with reduced Kapitza resistance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886586 | Packaging and thermalization of cryogenic dispersive-resistive hybrid attenuators for quantum microwave circuits | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886587 | Variable attenuator | SUMITOMO ELECTRIC DEVICE INNOVATIONS, INC. |
10886588 | High dynamic range probe using pole-zero cancellation | KEYSIGHT TECHNOLOGIES, INC. |
10886589 | Guided wave coupling system for telephony cable messenger wire and methods for use therewith | AT&T INTELLECTUAL PROPERTY I, L.P. |
10886590 | Interposer for connecting an antenna on an IC substrate to a dielectric waveguide through an interface waveguide located within an interposer block | TEXAS INSTRUMENTS INCORPORATED |
10886591 | Power divider/combiner | -- |
10886592 | Automated feed source changer for a compact test range | ORBIT ADVANCED TECHNOLOGIES, INC. |
10886593 | Structure of integrated radio frequency multi-chip package and method of fabricating the same | -- |
10886594 | Packaging structure and packaging method for antenna | SJ SEMICONDUCTOR (JIANGYIN) CORPORATION |
10886595 | Outdoor Wi-Fi bollard | TESSCO COMMUNICATIONS INCORPORATED |
10886596 | Wireless communication device having a two-part rotatable housing with multiple antenna conductors | MOTOROLA MOBILITY LLC |
10886597 | Housing, antenna device and mobile terminal | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10886598 | Antenna module and electronic device having the same | WITS CO., LTD. |
10886599 | Time-variant antenna module for wireless communication devices | APPLE INC. |
10886600 | Antenna and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
10886601 | Electronic device | MURATA MANUFACTURING CO., LTD. |
10886602 | Mobile terminal and coil antenna module | LG ELECTRONICS INC. |
10886603 | Hearing device incorporating conformal folded antenna | STARKEY LABORATORIES, INC. |
10886604 | Interleaved array of antennas operable at multiple frequencies | HRL LABORATORIES, LLC |
10886605 | Scattered void reservoir | KYMETA CORPORATION |
10886606 | Microelectronic devices designed with flexible package substrates with distributed stacked antennas for high frequency communication systems | INTEL CORPORATION |
10886607 | Multiple-input and multiple-output antenna structures | APPLE INC. |
10886608 | Hybrid feed technique for planar antenna | QUALCOMM INCORPORATED |
10886609 | Antenna arrangement | HUAWEI TECHNOLOGIES CO., LTD. |
10886610 | Portable antenna control device and antenna control system | KMW INC. |
10886611 | Hybrid high gain antenna systems, devices, and methods | WISPRY, INC. |
10886612 | Bi-directional active phase shifting | QUALCOMM INCORPORATED |
10886613 | Volume based gradient index lens by additive manufacturing | -- |
10886614 | Antenna structure | -- |
10886615 | Interleaved multi-band antenna arrays | MAXLINEAR, INC. |
10886617 | Electronic devices with probe-fed dielectric resonator antennas | APPLE INC. |
10886618 | Antenna apparatus and antenna module | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10886619 | Electronic devices with dielectric resonator antennas | APPLE INC. |
10886620 | Antenna | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10886621 | Antenna device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10886622 | Tunable antenna isolators | HRL LABORATORIES, LLC |
10886623 | Electronic device including a plurality of switches selectively connecting antenna having a plurality of feeding terminals with communication circuit, and driving method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10886624 | Waveguide coupling configuration for a line scanner | VEGA GRIESHABER KG |
10886625 | Low-profile wideband antenna array configured to utilize efficient manufacturing processes | THE MITRE CORPORATION |
10886626 | Configurable phased antenna array | WISPRY, INC. |
10886627 | Wideband antenna device | -- |
10886629 | Antenna system with planar antenna and methods for use therewith | AT&T INTELLECTUAL PROPERTY I, L.P. |
10886630 | Antenna module and communication device | MURATA MANUFACTURING CO., LTD. |
10886631 | Antenna system and method | UBIQUITI INC. |
10886632 | Antenna structure and electronic device | -- |
10886633 | Flexible polymer antenna with multiple ground resonators | TAOGLAS GROUP HOLDING LIMITED |
10886634 | Filter feeding network and base station antenna | TONGYU COMMUNICATION INC. |
10886635 | Combined antenna apertures allowing simultaneous multiple antenna functionality | KYMETA CORPORATION |
10886636 | Connectors for flexible busbar and methods of connecting | HUBBELL INCORPORATED |
10886637 | Crimp connection terminal | DELTA PLUS CO., LTD. |
10886638 | Meter box with insulation-piercing wire termination connectors | MILBANK MANUFACTURING CO. |
10886639 | Connector | KYOCERA CORPORATION |
10886640 | Conductive terminal and electrical connector | TYCO ELECTRONICS (SHANGHAI) CO. LTD. |
10886641 | Copper busbar for a contact system | SIEMENS AKTIENGESELLSCHAFT |
10886642 | Mechanical and electrical connection element for the use in low, medium and high voltage | ABB SCHWEIZ AG |
10886643 | Display device | SAMSUNG DISPLAY CO., LTD. |
10886644 | Method for contacting a contact surface on a flexible circuit with a metal contact, crimping part, connection of flexible circuit and metal contact and control device | VITESCO TECHNOLOGIES GERMANY GMBH |
10886645 | Contact element for electrical plug-in connections | WABCO EUROPE BVBA |
10886646 | High speed hot shoe | WILCOX INDUSTRIES CORP. |
10886647 | Electronic circuitry socket structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886648 | Communication module, electronic device, and image pickup apparatus | CANON KABUSHIKI KAISHA |
10886649 | Cable connector and connector assembly | AMPHENOL ASSEMBLETECH(XIAMEN) CO., LTD |
10886650 | Connector adapted to be connected to flexible conductor | JAPAN AVIATION ELECTRONICS INDUSTRY, LIMITED |
10886651 | Electrical connector assembly and electrical connector system using the same | FOXCONN (KUNSHAN) COMPUTER CONNECTOR CO., LTD. |
10886652 | FPC connector, touch-sensitive screen and LCD screen | SHENZHEN STARTEK ELECTRONIC TECHNOLOGY CO., LTD. |
10886653 | Method and structure for conductive elastomeric pin arrays using conductive elastomeric interconnects and/or metal caps through a hole or an opening in a non-conductive medium | R&D SOCKETS, INC |
10886654 | Connector and connector housing | TYCO ELECTRONICS (SHANGHAI) CO. LTD. |
10886655 | Electrical connector with differently shaped contacts in matrix | FOXCONN (KUNSHAN) COMPUTER CONNECTOR CO., LTD. |
10886656 | Automotive electrical plug connector and automotive electrical receptacle connector | -- |
10886657 | MSL connector series | J.S.T. CORPORATION |
10886658 | Mobile socket device that can be quickly fixed and disassembled | GUANGDONG BESTEK MEDICAL DEVICES CO., LTD |
10886659 | Sealing interface for a telecommunications enclosure | COMMSCOPE CONNECTIVITY BELGIUM BVBA |
10886660 | Electronic device including hinge part supporting inner lid rotatably | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10886661 | Connector and radiator | TYCO ELECTRONICS (SHANGHAI) CO. LTD. |
10886662 | Electrical card connector | FUDING PRECISION COMPONENTS (SHENZHEN) CO., LTD. |
10886663 | Electrical connector | VADOVATIONS, INC. |
10886664 | Electrical terminal and connector assembly | MOLEX, LLC |
10886665 | Service plug | YAZAKI CORPORATION |
10886666 | Low resonance electrical connector | TYCO ELECTRONICS (SHANGHAI) CO. LTD. |
10886667 | Board-connecting electric connector device | DAI-ICHI SEIKO CO., LTD. |
10886668 | Coaxial cable connector assembly | APTIV TECHNOLOGIES LIMITED |
10886669 | Electrical connector mounted on a substrate with shield structures around the terminals and an insulative housing | YAZAKI CORPORATION |
10886670 | PCB-based connector device | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
10886671 | Power connector with integrated status monitoring | HUBBELL INCORPORATED |
10886672 | Connector for connecting antenna and electronic device having the same | SAMSUNG ELECTRONICS CO., LTD. |
10886673 | Remote controlled extension cord with embedded housing for a remote control | CLICK, LLC |
10886674 | Illuminable wall socket plates | SNAPRAYS, LLC |
10886675 | Measurement equipment, HF coaxial connection element and torque limiter for an HF coaxial connection element | ROHDE & SCHWARZ GMBH & CO. KG |
10886676 | Connection system for tiered stages | THE PHOENIX COMPANY OF CHICAGO, INC. |
10886677 | Distal connector assemblies for medical lead extensions | MEDTRONIC, INC. |
10886678 | Ground connection structure of electrical connection box and fixation object and electrical connection box | YAZAKI CORPORATION |
10886679 | Retrofit electrical system for dockside power pedestals | SMARTPLUG SYSTEMS, LLC |
10886680 | Snap button fastener providing electrical connection | INTEL CORPORATION |
10886681 | Power distribution system with electrical hubs moveable relative to tracks | HERMAN MILLER, INC. |
10886682 | DC motor for starter | DENSO CORPORATION |
10886683 | Method for manufacturing terminal-equipped electric wire | YAZAKI CORPORATION |
10886684 | Bonding method for conductor of electric wire and electric wire | YAZAKI CORPORATION |
10886685 | Preformed solder-in-pin system | ONANON, INC. |
10886686 | Method for crimping an electrical contact to a cable and tool for implementing said method | APTIV TECHNOLOGIES LIMITED |
10886687 | Electrical contact element to improve operational function | ROBERT BOSCH GMBH |
10886688 | Calibration technique for determining laser beam location after azimuth mirror | BAE SYSTEMS INFORMATION AND ELECTRONIC SYSTEMS INTEGRATION INC. |
10886689 | Structured light sensing assembly | TRIPLE WIN TECHNOLOGY(SHENZHEN) CO. LTD. |
10886690 | Optical frequency comb generator with carrier envelope offset frequency detection | IPG PHOTONICS CORPORATION |
10886691 | High-Q optical resonator with monolithically integrated waveguide | CALIFORNIA INSTITUTE OF TECHNOLOGY |
10886692 | CMOS externally modulated laser driver | INPHI CORPORATION |
10886693 | Optical module | FURUKAWA ELECTRIC CO., LTD. |
10886694 | Hermetic capsule and method | LIGHTWAVE LOGIC INC. |
10886695 | Semiconductor device | RENESAS ELECTRONICS CORPORATION |
10886696 | Mixed and distributed laser illumination system | -- |
10886697 | Pulsed laser diode driver | ANALOG DEVICES, INC. |
10886698 | Laser source and method of manufacturing such | CENTRE NATIONAL DE LA RECHERCHE SCIENTIFIQUE |
10886699 | Methods and systems for reducing size weight and power (SWaP) in high energy laser systems | SCIENCE RESEARCH LABORATORIES, INC. |
10886700 | Optical module control method, optical module unit, and optical module | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
10886701 | Low resistance vertical cavity light source with PNPN blocking | SDPHOTONICS LLC |
10886702 | Vertical-cavity surface-emitting laser for near-field illumination of an eye | FACEBOOK TECHNOLOGIES, LLC |
10886703 | LED DBR structure with reduced photodegradation | LUMILEDS LLC |
10886704 | Semiconductor laser and method for producing a semiconductor laser | OSRAM OLED GMBH |
10886705 | Spark plug having a center electrode with improved thermal properties | ROBERT BOSCH GMBH |
10886706 | Flame-ejecting spark plug, and internal combustion engine and automobile having same | ZHOU (BEIJING) AUTOMOTIVE TECHNOLOGY CO., LTD. |
10886707 | Spark plug to be mounted on an internal combustion engine having a water spray injector | DENSO CORPORATION |
10886708 | Spark plug for internal combustion engine | DENSO CORPORATION |
10886709 | Spark plug that prevents gas turbulence in the discharge space | DENSO CORPORATION |
10886710 | Pre-chamber spark plug for highly stressed mobile gasoline-driven engine applications | FEDERAL-MOGUL IGNITION GMBH |
10886711 | Power supply system | DELTA ELECTRONICS (SHANGHAI) CO., LTD. |
10886713 | Connection device for cable management device and slide rail assembly | -- |
10886714 | Branching circuit body and branching method of electric wires | YAZAKI CORPORATION |
10886716 | Expanded two-gang electrical box | METRA ELECTRONICS CORPORATION |
10886720 | Multi-core cable | ROSENBERGER HOCHFREQUENZTECHNIK GMBH & CO. KG |
10886722 | Arc fault current detector | SHAKIRA LIMITED |
10886724 | Leakage current detection and interruption device for power cord | SUZHOU |
10886726 | Control method for protecting transformers | GENERAL ELECTRIC COMPANY |
10886727 | Irrigation controller having transformer with resettable fuse | RAIN BIRD CORPORATION |
10886728 | Circuit implementing an AC smart fuse for a power distribution unit | OVH |
10886731 | Over-voltage protection circuit for USB Type-C connector | -- |
10886751 | Wireless connector transmitter module | NUCURRENT, INC. |
10886765 | Lighted connector for a battery cable | JOHNSON INDUSTRIES, INC. |
10886769 | Inductive charging between electronic devices | APPLE INC. |
10886771 | Inductive charging between electronic devices | APPLE INC. |
10886772 | Charging system and charging method for terminal, and power adapter | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10886780 | Non-contact power transmission apparatus | INTEL CORPORATION |
10886781 | Wireless power transmitting circuitry with multiple modes | APPLE INC. |
10886783 | Wireless power transmission apparatus | WITS CO., LTD. |
10886785 | Refrigerator | LG ELECTRONICS INC. |
10886815 | Electrical connector and motor having the same | JOHNSON ELECTRIC INTERNATIONAL AG |
10886821 | Haptic actuator including thermally coupled heat spreading layer and related methods | APPLE INC. |
10886835 | Solid state regulator and circuit breaker for high-power DC bus distributions | RAYTHEON COMPANY |
10886841 | Passive high-power-density grid interface device | NATIONAL TECHNOLOGY & ENGINEERING SOLUTIONS OF SANDIA, LLC |
10886850 | Power supply device and method for controlling power supply device | KYOSAN ELECTRIC MFG. CO., LTD. |
10886857 | Inhibiting noise coupling across isolated power supplies | -- |
10886859 | Alternating-current power supply device with windings wound in different directions | ROHM CO., LTD. |
10886863 | Motor driving control device and motor control method | MINEBEA MITSUMI INC. |
10886866 | Motor controller with inductor mounted transverse to control board | NIDEC MOTOR CORPORATION |
10886867 | Inverter control device | AISIN AW CO., LTD. |
10886875 | Solar powered cooler for smart device | TAPICU, INC. |
10886884 | Inductively coupled filter and wireless fidelity WiFi module | HUAWEI TECHNOLOGIES CO., LTD. |
10886892 | Filter apparatus, multiplexer, radio-frequency front end circuit, and communication apparatus | MURATA MANUFACTURING CO., LTD. |
10886960 | Button assembly | -- |
10886962 | Electronic device case | -- |
10886963 | System and method for transceiver and antenna programmability and generalized MIMO architectures | THE TRUSTEES OF PRINCETON UNIVERSITY |
10886966 | Method for eliminating passive inter-modulation distortion and antenna apparatus using the same | KMW INC. |
10886972 | Methods and apparatus for selectively controlling energy consumption of a waveguide system | AT&T INTELLECTUAL PROPERTY I, L.P. |
10886975 | Single-carrier wideband beamforming method and system | PERASO TECHNOLOGIES INC. |
10886977 | Integrated end-fire MM-wave antenna array with low frequency metal-framed antenna | AALBORG UNIVERSITY |
10886996 | Antenna system with automated switching between mobile and stationary modes | WINEGARD COMPANY |
10887004 | Telecommunications satellite, beamforming method and method for manufacturing a satellite payload | AIRBUS DEFENCE AND SPACE SAS |
10887012 | Systems and methods for tuning lasers using reflected optical signals | ADTRAN, INC. |
10887015 | RF system with stimulated Brillouin scattering (SBS) photonic equalizer and related methods | EAGLE TECHNOLOGY, LLC |
10887021 | Burst mode spectral excursion mitigation | CALIX, INC. |
10887026 | Antenna disconnection detection of distributed radio frequency ports in a wireless network | AMAZON TECHNOLOGIES, INC. |
10887035 | Time division multiplexing of synchronization channels | QUALCOMM INCORPORATED |
10887039 | Time division duplex wireless network and associated method using connection modulation groups | GENERAL ACCESS SOLUTIONS, LTD. |
10887434 | Mobile terminal | LG ELECTRONICS INC. |
10887437 | Display panel | WUHAN CHIMA STAR OPTOELECTRONICS SEMICONDUCTOR DISPLAY TECHNOLOGY CO., LTD. |
10887439 | Microelectronic devices designed with integrated antennas on a substrate | INTEL CORPORATION |
10887523 | Electronic apparatus | CANON KABUSHIKI KAISHA |
10887684 | Acoustic deflector as heat sink | BOSE CORPORATION |
10887705 | Electronic circuit and in-ear piece for a hearing device | SONION NEDERLAND B.V. |
10887847 | Active antenna system, mobile terminal, and configuration method of antenna system | ZTE CORPORATION |
10887891 | Guided wave communication system with resource allocation and methods for use therewith | AT&T INTELLECTUAL PROPERTY I, L.P. |
10887961 | Waterproof, dual-polarity decorative light string | LEDUP MANUFACTURING GROUP LIMITED |
10887975 | Optical pulse generation for an extreme ultraviolet light source | ASML NETHERLANDS B.V. |
10887978 | Expanding thermal device and system for effecting heat transfer within electronics assemblies | RAYTHEON COMPANY |
10887980 | Coupled via structure, circuit board having the coupled via structure and method of manufacturing the circuit board | SAMSUNG ELECTRONICS CO., LTD. |
10887981 | Substrate, power supply device, and substrate insulation method | RICOH COMPANY, LTD. |
10887982 | Voltage regulator module with cooling structure | TESLA, INC. |
10887990 | Power line structure, array substrate and display panel | BOE TECHNOLOGY GROUP CO., LTD. |
10887993 | Standoff connector for electrical devices | TEXAS INSTRUMENTS INCORPORATED |
10887994 | Antenna substrate and antenna module including the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10887996 | Electronic components coated with a topological insulator | THE BOEING COMPANY |
10887999 | Mounting body manufacturing method and anisotropic conductive film | DEXERIALS CORPORATION |
10888000 | Manufacturing method of circuit board and of semiconductor device including the same | -- |
10888001 | Circuit carrier board structure and manufacturing method thereof | -- |
10888004 | Display box and display device | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
10888005 | Electronic apparatus having flexible display device | SAMSUNG ELECTRONICS CO., LTD. |
10888006 | Optical module with self-sinking unlocking and housing structure thereof | WUHAN TELECOMMUNICATION DEVICES CO., LTD. |
10888007 | Multi-gang electrical box for new building construction and associated methods | -- |
10888008 | Insulation cover fixing structure and protection device | -- |
10888009 | Method of forming a sealed package | MEDTRONIC, INC. |
10888010 | Retention of dual in-line memory modules | INTEL CORPORATION |
10888011 | Modular apparatuses and system for backplane connections | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
10888012 | Printed circuit board orientations | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
10888013 | Managing a data center | GOOGLE LLC |
10888014 | Slide rail mechanism | -- |
10888015 | Cable management assembly | -- |
10888016 | Technologies for automated servicing of sleds of a data center | INTEL CORPORATION |
10888017 | Display module and electrical equipment | BOE TECHNOLOGY GROUP CO., LTD. |
10888018 | Check valve for preventing air backflow in a modular cooling system | FORTINET, INC. |
10888019 | Electronic device | NEC CORPORATION |
10888020 | Cooling systems | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
10888021 | Apparatus for dynamic positioning of a fan to reduce noise | LENOVO ENTERPRISE SOLUTIONS (SINGAPORE) PTE. LTD. |
10888022 | Fan speed control in electronic devices | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10888023 | Leak mitigation system for a cooling system | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
10888024 | Data center refrigeration system | EQUINIX, INC. |
10888025 | Fixing frame assembly and server | -- |
10888026 | Air curtain canister for environmental control in automated data storage libraries | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10888027 | Cooling unit control | EQUINIX, INC. |
10888028 | Chassis intelligent airflow control and cooling regulation mechanism | -- |
10888029 | Data center cooling system with stacked rows of server racks | GOOGLE LLC |
10888030 | Managing dependencies between data center computing and infrastructure | GOOGLE LLC |
10888031 | Memory device with memory modules located within liquid coolant chamber | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
10888032 | Apparatus for liquid immersion cooling, system for liquid immersion cooling, and method of cooling electronic device | FUJITSU LIMITED |
10888033 | Cooling system for a data center | BEIJING BAIDU NETCOM SCIENCE AND TECHNOLOGY CO., LTD. |
10888034 | Air handling unit with a canopy thereover for use with a data center and method of using the same | SWITCH, LTD. |
10888035 | Power conversion device | MITSUBISHI ELECTRIC CORPORATION |
10888036 | Thermal management assemblies for electronic assemblies circumferentially mounted on a motor | TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC. |
10888037 | Anti-fogging HMD utilizing device waste heat | MICROSOFT TECHNOLOGY LICENSING, LLC |
10888038 | Conductive film and display apparatus provided with same | FUJIFILM CORPORATION |
10888039 | Shielded case for wireless earpieces | BRAGI GMBH |
10888040 | Double-sided module with electromagnetic shielding | QORVO US, INC. |
10888041 | Substrate working system and component mounter | YAMAHA HATSUDOKI KABUSHIKI KAISHA |
10888125 | Vaporizer device with subassemblies | JUUL LABS, INC. |
10888242 | Methods for radio wave based health monitoring that utilize a removable smartphone case | MOVANO INC. |
10888243 | Non-invasive method for focal deep-brain stimulation equipment and methodologies | WEINBERG MEDICAL PHYSICS INC |
10888257 | Connectors for making connections between analyte sensors and other devices | ABBOTT DIABETES CARE INC. |
10888461 | Laser therapeutic device for ophthalmology | OPTOTEK D.O.O. |
10888477 | Bed with mechanism for assisting an occupant to stand up having a sensor with energy generation | WISSNER-BOSSERHOFF GMBH |
10888630 | Magnetic nanoparticles functionalized with catechol, production and use thereof | COLOROBBIA ITALIA S.P.A. |
10888706 | External charger for an implantable medical device having a thermal diffuser | BOSTON SCIENTIFIC NEUROMODULATION CORPORATION |
10888774 | Customizable rumble effect in gaming accessory | STEELSERIES APS |
10888779 | Accessory for presenting information associated with an application | STEELSERIES APS |
10888943 | Viscous fluid supply device | FUJI CORPORATION |
10889088 | Flexible sensor and method for manufacturing the same | BOE TECHNOLOGY GROUP CO., LTD. |
10889243 | Rear alarm sensor assembly | HYUNDAI MOTOR COMPANY |
10889266 | Method and system for detecting the presence of a human hand on a motor vehicle opening element | CONTINENTAL AUTOMOTIVE FRANCE |
10889497 | Sheet-shaped nitrogen-phosphorus co-doped porous carbon material and method for preparation thereof and use thereof | -- |
10889669 | Modulating interfacial wettability of a noncovalent nanoscopic ligand film | PURDUE RESEARCH FOUNDATION |
10889670 | High pressure radial polymerisation process for a copolymer of ethylene silane groups containing comonomer | BOREALIS AG |
10889705 | Crosslinked polymer composition for cable accessories | BOREALIS AG |
10889727 | Electrical cable with improved installation and durability performance | SOUTHWIRE COMPANY, LLC |
10889734 | Stretchable polymer thick film compositions for thermoplastic substrates and wearables electronics | DUPONT ELECTRONICS, INC. |
10889775 | Systems and apparatus for production of high-carbon biogenic reagents | CARBON TECHNOLOGY HOLDINGS, LLC |
10889871 | Method of manufacturing grain-oriented electrical steel sheet exhibiting low iron loss | JFE STEEL CORPORATION |
10889875 | Grain oriented electrical steel sheet, method for manufacturing grain oriented electrical steel sheets, method for evaluating grain oriented electrical steel sheets, and iron core | JFE STEEL CORPORATION |
10889877 | Metal or alloy with improved physical and electrical properties | BOURQUE INDUSTRIES, INC. |
10889878 | Alloy material, contact probe, and connection terminal | NHK SPRING CO., LTD. |
10889880 | Grain-oriented electrical steel sheet and method for manufacturing same | JFE STEEL CORPORATION |
10889887 | Chalcogenide sputtering target and method of making the same | HONEYWELL INTERNATIONAL INC. |
10889890 | Vacuum processing apparatus and method for vacuum processing substrates | EVATEC AG |
10889896 | Active gas-generating device and film formation apparatus | TOSHIBA MITSUBISHI-ELECTRIC INDUSTRIAL SYSTEMS CORPORATION |
10889900 | Ceramic laminate | NIPPON STEEL CORPORATION |
10889904 | Synthesis of nanostructured photoactive films with controlled morphology by a flame aerosol reactor | WASHINGTON UNIVERSITY |
10890014 | Electromagnetic actuator | AXTUATOR OY |
10890154 | Electromagnetic switch device for starter | MITSUBISHI ELECTRIC CORPORATION |
10890156 | Method for determining a need for changing a spark plug | BORGWARNER LUDWIGSBURG GMBH |
10890288 | Systems and methods of providing a multipositional display | MICROSOFT TECHNOLOGY LICENSING, LLC |
10890311 | Retrofit LED lighting system for replacement of fluorescent lamp | SIGNIFY HOLDING B.V. |
10890330 | Knob assembly with display device and cooking apparatus having knob assembly | LG ELECTRONICS INC. |
10890331 | Cooking appliance | SAMSUNG ELECTRONICS CO., LTD. |
10890332 | Operating apparatus for an electrical device, and electrical device | E.G.O. ELEKTRO-GERAETEBAU GMBH |
10890360 | Heat exchanger | SHINWA CONTROLS, CO., LTD. |
10890382 | Heat dissipation device | -- |
10890431 | MEMS tunable VCSEL powered swept source OCT for 3D metrology applications | THORLABS, INC. |
10890484 | Wavelength measuring device | GIGAPHOTON INC. |
10890491 | Optical detector for an optical detection | TRINAMIX GMBH |
10890495 | Pressure-sensitive sensor including a hollow tubular member of an elastic insulation | HITACHI METALS, LTD. |
10890498 | Sensor for a physical feature, preferably comprising a multilayer structure | UNIVERSITE DE BRETAGNE SUD |
10890545 | Apparatus for combined stem and EDS tomography | IMEC VZW |
10890551 | Gas sensor and method for manufacturing the same | NGK SPARK PLUG CO., LTD. |
10890562 | Tandem mass spectrometer | SHIMADZU CORPORATION |
10890648 | Method and apparatus for generating alignment matrix for camera-radar system | TEXAS INSTRUMENTS INCORPORATED |
10890652 | Radar apparatus | PANASONIC CORPORATION |
10890654 | Radar system comprising coupling device | NXP USA, INC. |
10890672 | Integrated PMT and crystal for high temperature scintillators | ALPHA SPECTRA, INC. |
10890712 | Photonic and electric devices on a common layer | RAYTHEON BBN TECHNOLOGIES CORP. |
10890715 | Light guide module with a plurality of light guide columns and a plate with protrusions connected to the light outlet end of each column | -- |
10890726 | Pluggable optical module and optical communication system | NEC CORPORATION |
10890796 | Electronic device including emissivity control layer | SAMSUNG ELECTRONICS CO., LTD. |
10890896 | Monitoring device and method thereof | KOREA INSTITUTE OF INDUSTRIAL TECHNOLOGY |
10890940 | Display screen, terminal display screen assembly, and mobile terminal | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10890946 | Stacking structure for flexible display assembly | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10890947 | Display device | LG DISPLAY CO., LTD. |
10890953 | Capacitance sensing electrode with integrated I/O mechanism | APPLE INC. |
10890955 | System for controlling environmental conditions within an automated data storage library | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10890960 | Method and apparatus for limiting rack power consumption | INSPUR ELECTRONIC INFORMATION INDUSTRY CO., LTD |
10890990 | Rotation input device for a capacitive sense cord | GOOGLE LLC |
10891251 | Signal connector for microwave circuits | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10891529 | Wireless communication device | MURATA MANUFACTURING CO., LTD. |
10891532 | Tray | JAPAN AVIATION ELECTRONICS INDUSTRY, LIMITED |
10891836 | Input/output port mounting unit integrated type display frame for POS equipment | POSBANK CO., LTD |
10891850 | Humidity control system | WAYMO LLC |
10892009 | Magnetic wall utilization-analog memory element and magnetic wall utilization analog memory | TDK CORPORATION |
10892011 | Logic drive using standard commodity programmable logic IC chips comprising non-volatile random access memory cells | -- |
10892065 | Method for forming metal pattern, and electric conductor | TANAKA KIKINZOKU KOGYO K.K. |
10892066 | Film, conductive film and the use thereof | LMS CO., LTD. |
10892067 | Devices and systems for obtaining conductance data and methods of manufacturing and using the same | 3DT HOLDINGS, LLC |
10892068 | Power/fiber hybrid cable | COMMSCOPE TECHNOLOGIES LLC |
10892069 | Conductor set and ribbon cable | 3M INNOVATIVE PROPERTIES COMPANY |
10892070 | Methods of treating carbon nanotubes and conductive elements including such carbon nanotubes | BAKER HUGHES OILFIELD OPERATIONS, LLC |
10892071 | Thin film resistor element | -- |
10892072 | PTC device | -- |
10892073 | Heatable motor vehicle operating liquid tank and method of producing the heating device for it | RöCHLING AUTOMOTIVE SE & CO. KG |
10892074 | Method for manufacturing resistor | KOA CORPORATION |
10892075 | Composition for 3D printing | LG CHEM, LTD. |
10892076 | Rare earth magnet and method of producing the same | TOYOTA JIDOSHA KABUSHIKI KAISHA |
10892077 | Magneto-mechanical clamping device | INELXIA LIMITED |
10892078 | Electric actuator | MTS SYSTEMS CORPORATION |
10892079 | Multilayer coil component | MURATA MANUFACTURING CO., LTD. |
10892080 | Tunable inductor arrangement, transceiver, method, and computer program | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
10892081 | Reactor | AUTONETWORKS TECHNOLOGIES, LTD. |
10892082 | Systems and methods for cooling toroidal magnetics | HAMILTON SUNDSTRAND CORPORATION |
10892083 | Thermal management of toroidal transformer mounted on a printed wiring board stiffener | HAMILTON SUNDSTRAND CORPORATION |
10892084 | Electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10892085 | Circuit board assemblies having magnetic components | ASTEC INTERNATIONAL LIMITED |
10892086 | Coil electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10892087 | On-chip inductors | MARVELL ASIA PTE, LTD. |
10892088 | Stationary device for contactless electrical energy transmission | TEXAS INSTITUTE OF SCIENCE, INC. |
10892089 | Method for producing magnetic component using amorphous or nanocrystalline soft magnetic material | TOYOTA JIDOSHA KABUSHIKI KAISHA |
10892090 | Magnet core for low-frequency applications and method for producing a magnet core for low-frequency applications | VACUUMSCHMELZE GMBH & CO. KG |
10892091 | Permanent magnet, motor, and generator | KABUSHIKI KAISHA TOSHIBA |
10892092 | Conductive powder for inner electrode and capacitor | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10892093 | Multilayer capacitor | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10892094 | Boron nitride and method of producing boron nitride | BOARD OF TRUSTEES OF NORTHERN ILLINOIS UNIVERSITY |
10892095 | Solid electrolytic capacitor assembly | AVX CORPORATION |
10892096 | Multilayer ceramic electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10892097 | Dielectric ceramic composition, method for the production and use thereof | TDK ELECTRONICS AG |
10892098 | Multilayer ceramic electronic component | TDK CORPORATION |
10892099 | Fringe capacitor for high resolution ADC | NXP USA, INC. |
10892100 | Multilayer capacitor | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10892101 | Multilayer ceramic capacitor | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10892102 | Multi-layer ceramic capacitor | TAIYO YUDEN CO., LTD. |
10892103 | Multilayer ceramic capacitor | MURATA MANUFACTURING CO., LTD. |
10892104 | Multilayer ceramic capacitor | MURATA MANUFACTURING CO., LTD. |
10892105 | Multi-layer capacitor package | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892106 | Highly stable electronic device employing hydrophobic composite coating layer | UNIVERSITY OF PITTSBURGH—OF THE COMMONWEALTH SYSTEM OF HIGHER EDUCATION |
10892107 | Method for producing titanium oxide particles, titanium oxide particles, dispersion solution of titanium oxide particles, titanium oxide paste, titanium oxide film, and dye-sensitized solar cell | SUMITOMO OSAKA CEMENT CO., LTD. |
10892108 | Electrochemical device | TAIYO YUDEN CO., LTD. |
10892109 | High-voltage devices | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
10892110 | Electrolyte solution for electrochemical device, and electrochemical device | TAIYO YUDEN CO., LTD. |
10892111 | Energy storage device | GS YUASA INTERNATIONAL LTD. |
10892112 | Method of making an energy storage article | RAYTHEON TECHNOLOGIES CORPORATION |
10892113 | Rotating handle device | WEG DRIVES AND CONTROLS AUTOMAÇÃO LTDA |
10892114 | Inertial short-circuit and seismic hook | GENERAL ELECTRIC TECHNOLOGY GMBH |
10892115 | Laser-cut button veneer for a control device having a backlit keypad | LUTRON TECHNOLOGY COMPANY LLC |
10892116 | Durable and secured switch assembly | -- |
10892117 | Method for the initial adjustment of a control device for electronic equipment | C&K COMPONENTS S.A.S. |
10892118 | Button module | -- |
10892119 | Push switch | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10892120 | Electric switch of the normally open type | C&K COMPONENTS S.A.S. |
10892121 | Light-emitting keyswitch, cap structure and cap structure manufacturing method thereof | -- |
10892122 | Trigger assembly with a protective covering | DEFOND ELECTECH CO., LTD. |
10892123 | Safety switch with detection of the driving of an auxiliary unlocking control | PIZZATO ELETTRICA S.R.L. |
10892124 | Load control device having stuck relay detection | LUTRON TECHNOLOGY COMPANY LLC |
10892125 | Electromagnetic relay | OMRON CORPORATION |
10892126 | Method of producing a temperature-triggered fuse device | MANUFACTURING NETWORKS INCORPORATED (MNI) |
10892127 | Contactor assembly for distribution board | LSIS CO., LTD. |
10892128 | Residual current protection device and tripper | ABB S.P.A. |
10892129 | Arc-preventing fast-breaking surge protection device | GUANGXI NQT ELECTRONIC TECHNOLOGY CO., LTD |
10892130 | Protection device and circuit protection apparatus containing the same | -- |
10892131 | Fuse switch module | GORLAN TEAM, S.L.U |
10892132 | System and method for xenon recovery | VERSUM MATERIALS US, LLC |
10892134 | X-ray generator | RIGAKU CORPORATION |
10892136 | Ion source thermal gas bushing | VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC. |
10892137 | Ion implantation processes and apparatus using gallium | ENTEGRIS, INC. |
10892138 | Multi-beam inspection apparatus with improved detection performance of signal electrons | ASML NETHERLANDS B.V. |
10892139 | ICP antenna and substrate processing device including the same | EUGENE TECHNOLOGY CO., LTD. |
10892140 | Nanosecond pulser bias compensation | EAGLE HARBOR TECHNOLOGIES, INC. |
10892141 | Nanosecond pulser pulse generation | EAGLE HARBOR TECHNOLOGIES, INC. |
10892142 | System for fabricating a semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10892143 | Technique to prevent aluminum fluoride build up on the heater | APPLIED MATERIALS, INC. |
10892144 | Plasma processing apparatus, monitoring method, and monitoring program | TOKYO ELECTRON LIMITED |
10892145 | Substrate processing apparatus, substrate processing method, and method of fabricating semiconductor device using the same | SAMSUNG ELECTRONICS CO., LTD. |
10892146 | Endpoint detecting method and endpoint detecting apparatus | TOKYO ELECTRON LIMITED |
10892147 | Method and apparatus for calibrating optical path degradation useful for decoupled plasma nitridation chambers | APPLIED MATERIALS, INC. |
10892148 | Inflatable seal for media cooling | SEAGATE TECHNOLOGY LLC |
10892149 | Optical detectors and methods of using them | PERKINELMER HEALTH SCIENCES, INC. |
10892150 | Imaging mass spectrometer | SHIMADZU CORPORATION |
10892151 | Lock mass library for internal correction | MICROMASS UK LIMITED |
10892152 | Adjustable dwell time for SRM acquisition | -- |
10892153 | Robust ion source | MKS INSTRUMENTS, INC. |
10892154 | LED light bulb apparatus and LED light apparatus | XIAMEN ECO LIGHTING CO. LTD. |
10892160 | Method for producing electrotechnical thin layers at room temperature, and electrotechnical thin layer | DYNAMIC SOLAR SYSTEMS AG |
10892179 | Electrostatic chuck including clamp electrode assembly forming portion of Faraday cage for RF delivery and associated methods | LAM RESEARCH CORPORATION |
10892190 | Manufacturing process of element chip | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10892196 | Magnetic property measuring system, a method for measuring magnetic properties, and a method for manufacturing a magnetic memory device using the same | SAMSUNG ELECTRONICS CO., LTD. |
10892197 | Edge seal configurations for a lower electrode assembly | LAM RESEARCH CORPORATION |
10892198 | Systems and methods for improved performance in semiconductor processing | APPLIED MATERIALS, INC. |
10892204 | Electromagnetic wave absorbing heat conductive sheet, method for producing electromagnetic wave absorbing heat conductive sheet, and semiconductor device | DEXERIALS CORPORATION |
10892221 | Transformer for a circuit in MMIC technology | THALES |
10892230 | Magnetic shielding material with insulator-coated ferromagnetic particles | -- |
10892242 | Resin composition, conductive copper paste, and semiconductor device | NAMICS CORPORATION |
10892256 | Light emitting display system having improved fire performance | NANOLUMENS ACQUISITION, INC. |
10892303 | Electronic device and manufacturing method for same | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10892370 | Photoelectric conversion device and method of manufacturing the same | KABUSHIKI KAISHA TOSHIBA |
10892372 | High performance solar cells, arrays and manufacturing processes therefor | MPOWER TECHNOLOGY, INC. |
10892375 | Photonic energy storage device | QUANTUM PHOTONICS CORPORATION |
10892379 | High efficiency visible and ultraviolet nanowire emitters | THE ROYAL INSTITUTION FOR THE ADVANCEMENT OF LEARNING/MCGILL UNIVERSITY |
10892384 | Etched trenches in bond materials for die singulation, and associated systems and methods | MICRON TECHNOLOGY, INC. |
10892398 | Qubit hardware for electrons on helium | -- |
10892400 | Magnetic memory device | SAMSUNG ELECTRONICS CO., LTD. |
10892401 | Spin current magnetization rotational element, magnetoresistance effect element and magnetic memory | TDK CORPORATION |
10892450 | Effects control module and method of magnetic closure for battery door | FENDER MUSICAL INSTRUMENTS CORPORATION |
10892456 | Separator for electrochemical element, electrochemical element, automobile, and electronic device | NIPPON KODOSHI CORPORATION |
10892460 | Wiring module for attachment to a power storage module | AUTONETWORKS TECHNOLOGIES, LTD. |
10892461 | Busbar with insulation coating for new energy automobile | SHANGHAI VEKAN PRECISION INDUSTRY CO., LTD. |
10892490 | Particulate polymer binder composite | ARKEMA INC |
10892510 | Method for producing an energy supply unit | BAYERISCHE MOTOREN WERKE AKTIENGESELLSCHAFT |
10892515 | Solid electrolyte composition, binder particles, sheet for all-solid state secondary battery, electrode sheet for all-solid state secondary battery, all-solid state secondary battery, and methods for manufacturing same | FUJIFILM CORPORATION |
10892517 | Solid electrolyte, manufacturing method of solid electrolyte, battery and battery pack | KABUSHIKI KAISHA TOSHIBA |
10892532 | Electronic impedance tuning apparatus for measuring load-pull of mobile amplifier and electronic impedance tuning method therefor | INDUSTRY-UNIVERSITY COOPERATION FOUNDATION HANYANG UNIVERSITY ERICA CAMPUS |
10892533 | Power sensor standard | -- |
10892534 | Non-reciprocal circuit of SMD type and aligning frame for the same | 3RWAVE CO. LTD. |
10892535 | Vertical transition method applied between coaxial structure and microstrip line | -- |
10892536 | Waveguides and transmission lines in gaps between parallel conducting surfaces | GAPWAVES AB |
10892537 | Waveguide-coupling device and position sensor device for a hydraulic cylinder, hydraulic cylinder and method for operating a waveguide-coupling device | BALLUFF GMBH |
10892538 | Directional coupler-integrated board, radio-frequency front-end circuit, and communication device | MURATA MANUFACTURING CO., LTD. |
10892539 | Branch-line coupler | NANNING FUGUI PRECISION INDUSTRIAL CO., LTD. |
10892540 | High voltage antenna protection device | -- |
10892541 | Satellite antenna heating system | AT&T INTELLECTUAL PROPERTY I, L.P. |
10892542 | Antenna positioning system with automated skewed positioning | AQYR TECHNOLOGIES, INC. |
10892543 | Radiating closures | CENTURYLINK INTELLECTUAL PROPERTY LLC |
10892544 | Dielectric resonator antenna having first and second dielectric portions | ROGERS CORPORATION |
10892545 | Deployable disk antenna | EAGLE TECHNOLOGY, LLC |
10892546 | Motor-vehicle antenna | HIRSCHMANN CAR COMMUNICATION GMBH |
10892547 | Inconspicuous multi-directional antenna system configured for multiple polarization modes | COHERE TECHNOLOGIES, INC. |
10892548 | Photoconductive antenna array | TECHNISCHE UNIVERSITEIT DELFT |
10892549 | Phased-array antenna system | NORTHROP GRUMMAN SYSTEMS CORPORATION |
10892550 | Cross-shaped antenna array | SONY CORPORATION |
10892551 | Phase shifter and manufacturing method thereof, liquid crystal antenna and communication device | BOE TECHNOLOGY GROUP CO., LTD. |
10892552 | Antenna structure | -- |
10892553 | Broad tunable bandwidth radial line slot antenna | KYMETA CORPORATION |
10892554 | Antenna element, antenna module, and communication device | MURATA MANUFACTURING CO., LTD. |
10892555 | Frequency and polarization reconfigurable antenna systems | SKYWORKS SOLUTIONS, INC. |
10892556 | Broadband multiple layer dielectric resonator antenna | ROGERS CORPORATION |
10892557 | Antenna structure and intelligent household appliance using the same | AMBIT MICROSYSTEMS (SHANGHAI) LTD. |
10892558 | Method and system for measuring deflections of structural member at multiple locations and antenna thereof | COLORADO STATE UNIVERSITY RESEARCH FOUNDATION |
10892559 | Dipole antenna | GALTRONICS USA, INC. |
10892560 | Modular antennas | HALLIBURTON ENERGY SERVICES, INC. |
10892561 | Multi-band dual-polarization antenna arrays | -- |
10892562 | Multi-beam Yagi-based MIMO antenna system | KING FAHD UNIVERSITY OF PETROLEUM AND MINERALS |
10892563 | Wireless communication device | YAMAHA CORPORATION |
10892564 | Integration module of millimeter-wave and non-millimeter-wave antennas | -- |
10892565 | Multiple band antenna structures | FITBIT, INC. |
10892566 | Conductor connection, connection piece and method for fabricating a conductor connection | TDK ELECTRONICS AG |
10892567 | Connection device to be crimped onto an end section of cable, comprising a conducting sheath provided with a wall separating two housings | MECATRACTION |
10892568 | Connection assembly for connecting a thin conductor piece to a thick conductor piece | TE CONNECTIVITY GERMANY GMBH |
10892569 | Electrical connection box and ground connection structure thereof | YAZAKI CORPORATION |
10892570 | Electrical connection device for an electrical apparatus and connection method using said device | SOCOMEC |
10892571 | Arrangement for a coaxial cable connector | TELESTE OYJ |
10892572 | Assembly of a plug connector part comprising a holding frame and modular contact inserts able to be attached thereto | PHOENIX CONTACT GMBH & CO. KG |
10892573 | Thin-film connectors for data acquisition system | VERILY LIFE SCIENCES LLC |
10892574 | Cable-to-board connector | PARICON TECHNOLOGIES CORPORATION |
10892575 | Electronic component, electronic device, and electronic substrate | LENOVO (SINGAPORE) PTE. LTD. |
10892576 | Floating socket connector | MOLEX, LLC |
10892577 | Electric terminal connector assembly with a terminal lock | LEAR CORPORATION |
10892578 | Conductor terminal | WAGO VERWALTUNGSGESELLSCHAFT MIT BESCHRAENKTER HAFTUNG |
10892579 | Female terminal position assurance (TPA) device for a connector and method for assembling thereof | J.S.T. CORPORATION |
10892580 | Electrical connector with rear pressing pins pressing on a terminal fitting | YAZAKI CORPORATION |
10892581 | Force limiting latch indicator | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892582 | Connector with upper and lower covers | YAZAKI CORPORATION |
10892583 | Expandable and upgradeable universal socket | -- |
10892584 | Socket-shaped housing, connector, and connector arrangement with cable support | TE CONNECTIVITY GERMANY GMBH |
10892585 | Interconnect module for both panel and mid board mounting | SAMTEC, INC. |
10892586 | Cage assembly and method of manufacturing the same | TYCO ELECTRONICS (SHANGHAI) CO. LTD. |
10892588 | Electrical connections for wearables and other articles | DUPONT ELECTRONICS, INC. |
10892589 | Method for producing a plug connector, method for amplifying a plug connector and device | CONTINENTAL TEVES AG & CO. OHG |
10892590 | Method for producing a PTC heating element | EBERSPACHER CATEM GMBH & CO. KG |
10892591 | High speed driver for particle beam deflector | FERMI RESEARCH ALLIANCE, LLC |
10892592 | Laser gas purifying system and laser system | GIGAPHOTON INC. |
10892593 | Laser device, method for controlling laser device, and mass spectroscope | NATIONAL UNIVERSITY CORPORATION NAGOYA UNIVERSITY |
10892594 | Gas optimization in a gas discharge light source | CYMER, LLC |
10892595 | Optical module | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
10892596 | Optical module | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
10892597 | Nitride semiconductor laser and nitride semiconductor laser device | PANASONIC CORPORATION |
10892598 | Small form factor transmitting device | INPHI CORPORATION |
10892599 | Semiconductor optical amplifier with asymmetric Mach-Zehnder interferometers | AURRION, INC. |
10892600 | Narrow-linewidth single-mode vertical-cavity surface-emitting laser | NATIONAL TECHNOLOGY & ENGINEERING SOLUTIONS OF SANDIA, LLC |
10892601 | Vertical cavity light-emitting element | STANLEY ELECTRIC CO., LTD. |
10892602 | Tunable multilayer terahertz magnon generator | MAGTERA, INC. |
10892603 | Arrayed waveguide grating beam combiner | FORWARD PHOTONICS, LLC |
10892604 | Fiber optic photon engine | NANJING CASELA TECHNOLOGIES CORPORATION LIMITED |
10892605 | Spark plug | FEDERAL-MOGUL IGNITION GMBH |
10892606 | Ventilation unit for electrical enclosure | SCHNEIDER ELECTRIC INDUSTRIES SAS |
10892611 | Bus bar module | AUTONETWORKS TECHNOLOGIES, LTD. |
10892636 | Plug-in backup energy devices | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
10892646 | Method of fabricating an antenna having a substrate configured to facilitate through-metal energy transfer via near field magnetic coupling | NUCURRENT, INC. |
10892648 | Wireless power transfer method, apparatus and system for low and medium power | LG ELECTRONICS INC. |
10892649 | Radio frequency (RF) power source and method for use with a wireless power transmitter of a wireless power transfer system | ETHERDYNE TECHNOLOGIES INC. |
10892665 | Variable speed constant frequency (VSCF) generator system | HAMILTON SUNDSTRAND CORPORATION |
10892672 | Dual-rotor synchronous electrical machines | ADVANCED MAGNET LAB, INC. |
10892729 | Passive non-ferromagnetic circulator | MISSION MICROWAVE COMPONENTS LLC |
10892751 | Lossless switch controlled by the phase of a microwave drive | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892800 | Systems and methods for wireless power transfer including pulse width encoded data communications | NUCURRENT, INC. |
10892803 | Antenna structure and operation method thereof | INVENTEC (PUDONG) TECHNOLOGY CORPORATION |
10892816 | Baseband polarization switching and isolation improvement | CTH LENDING COMPANY, LLC |
10892830 | Integrated compact in-package light engine | INPHI CORPORATION |
10893131 | Case for a mobile electronic device | POPSOCKETS LLC |
10893174 | Camera, remote video speech system and applications thereof | GUANGZHOU CHANGEN ELECTRONIC TECHNOLOGY CO., LTD |
10893225 | Electronic device having large dynamic range for image sensing | -- |
10893349 | Wireless microphone comprising a plurality of antennas | AUDIO-TECHNICA U.S., INC. |
10893355 | Earphone | SHENZHEN MEES HI-TECH CO., LTD. |
10893367 | Loudspeaker unit with multiple drive units | MAYHT HOLDING B.V. |
10893368 | Antenna and device with such an antenna | SIVANTOS PTE. LTD. |
10893575 | Modular wireless access point | CISCO TECHNOLOGY, INC. |
10893578 | Composition for forming a heating element and method of preparing the composition | SAMSUNG ELECTRONICS CO., LTD. |
10893593 | Intelligent lighting control system temperature control apparatuses, systems, and methods | RACEPOINT ENERGY, LLC |
10893602 | Method for producing a power electronics system | SEMIKRON ELEKTRONIK GMBH & CO. KG |
10893604 | Potted printed circuit board module and methods thereof | GOODRICH CORPORATION |
10893605 | Textured test pads for printed circuit board testing | SEAGATE TECHNOLOGY LLC |
10893609 | Integrated circuit with laminated magnetic core inductor including a ferromagnetic alloy | FERRIC INC. |
10893610 | Switching device driving unit | MITSUBISHI ELECTRIC CORPORATION |
10893611 | Circuit assembly and electrical junction box | AUTONETWORKS TECHNOLOGIES, LTD. |
10893617 | Multilayer substrate and antenna module | MURATA MANUFACTURING CO., LTD. |
10893618 | Method for manufacturing multilayer substrate | MURATA MANUFACTURING CO., LTD. |
10893619 | Backlight unit and display device including the same | SAMSUNG DISPLAY CO., LTD. |
10893620 | Display device and method of manufacturing display device | JAPAN DISPLAY INC. |
10893621 | Dynamically bendable display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10893622 | Cover plate for flexible display screen and flexible display screen | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10893623 | Leaktight electronic device and method of obtaining same | VALEO COMFORT AND DRIVING ASSISTANCE |
10893624 | Assembly comprising a module comprising electronic equipment items, and an associated supporting structure | AIRBUS OPERATIONS (S.A.S.) |
10893625 | Electronic machine | MITSUBISHI ELECTRIC CORPORATION |
10893626 | Information handling system having synchronized power loss detection armed state | DELL PRODUCTS L.P. |
10893627 | Electronic cabinet, and air inlet therefore | LOGISIG INC. |
10893628 | Circuit board cooling | INVENSENSE, INC. |
10893629 | Liquid immersion tank and electronic apparatus | FUJITSU LIMITED |
10893630 | Pumps with pre-charged fluid | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
10893631 | Liquid cooling device combined on graphics card | -- |
10893632 | Equipment enclosure free-air cooling assembly with indexing pre-screen | DIVERSIFIED CONTROL, INC. |
10893633 | Method of cooling an electronics cabinet | MODINE MANUFACTURING COMPANY |
10893634 | Climate control system | UNITED SERVICES AUTOMOBILE ASSOCIATION (USAA) |
10893635 | High frequency power circuit module | MURATA MANUFACTURING CO., LTD. |
10893636 | Method for forming a pickup area of a board level shield | LAIRD TECHNOLOGIES INC. |
10893637 | Electronic device including shield member for shielding at least part of magnetic force generated by magnetic substance and connection portion including property of nonmagnetic substance connected to shield member | SAMSUNG ELECTRONICS CO., LTD. |
10893638 | Dispensing head having a nozzle heater device, system and method | UNIVERSAL INSTRUMENTS CORPORATION |
10893639 | Component mounting using feedback correction | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10893640 | Component pickup apparatus | HANWHA PRECISION MACHINERY CO., LTD. |
10893641 | Group determination method and group determination apparatus | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10893906 | Tailored laser pulses for surgical applications | BOSTON SCIENTIFIC SCIMED, INC. |
10894157 | Laser marking of raw anode foil to induce uniform pattering and etching with oxide passivation mask | PACESETTER, INC. |
10894165 | Feedthrough device | -- |
10894206 | Programmable actuation force input for an accessory and methods thereof | STEELSERIES APS |
10894208 | Hand-held controller using LED tracking ring | FACEBOOK TECHNOLOGIES, LLC |
10894311 | Tool including load sensor | MAX CO., LTD. |
10894346 | Method for manufacturing busbar and manufacturing busbar through the same | DAE SAN ELECTRONICS CO., LTD. |
10894419 | Quick connect assembly for fluid and electrical connections | ILLINOIS TOOL WORKS INC. |
10894479 | Fast charging station with charging cable and temperature control device for the charging cable | DR. ING. H.C. F. PORSCHE AKTIENGESELLSCHAFT |
10894526 | Key unit | TOYOTA JIDOSHA KABUSHIKI KAISHA |
10894557 | Steering column structure | FURUKAWA ELECTRIC CO., LTD. |
10894559 | Power unit for an electrical steering system | ROBERT BOSCH GMBH |
10894572 | Sensor assembly for pivoting suspension of bicycle | MOTION INSTRUMENTS, INC. |
10894588 | Magnetic phase transition exploitation for enhancement of electromagnets | THALES HOLDINGS UK PLC |
10894611 | Lightning protection system for an aircraft | AIRBUS OPERATIONS S.A.S. |
10894710 | Transportable service station | VIRGINIA BEACH |
10894716 | Method for producing porous carbon material | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
10894717 | Carbon nanotube field emitter and preparation method thereof | TSINGHUA UNIVERSITY |
10894745 | Ferrite composition, ferrite sintered body, electronic device, and chip coil | TDK CORPORATION |
10894846 | Redox stimulated variable-modulus material | UNIVERSITY OF PITTSBURGH—OF THE COMMONWEALTH SYSTEM OF HIGHER EDUCATION |
10894878 | Halogen free and fire-resistant rubber composition and hose | EATON INTELLIGENT POWER LIMITED |
10895149 | Multiplexing electromagnetic transmitters for monitoring of permanent reservoir | HALLIBURTON ENERGY SERVICES, INC. |
10895223 | Vapor impermeable solenoid for fuel vapor environment | EATON CORPORATION |
10895240 | Ignition coil unit | DENSO CORPORATION |
10895241 | Ignition device and method for igniting an air/fuel mixture | ROSENBERGER HOCHFREQUENZTECHNIK GMBH & CO. |
10895262 | Pump module having two impellers in series and a multiple plate housing | -- |
10895314 | Gear box, reduction gear equipped with gear box, motor unit, mold for manufacturing gear box, and manufacturing method of gear box | MABUCHI MOTOR CO., LTD. |
10895358 | Laser automotive lamp apparatus | SMR PATENTS S.à.R.L. |
10895372 | Light source board, manufacturing method thereof, and luminous keyboard using the same | -- |
10895546 | Bipolar electrode for the impedimetric examination and manipulation of living cells in vitro | FRAUNHOFER-GESELLSCHAFT ZUR FOERDERUNG DER ANGEWANDTEN FORSCHUNG E.V. |
10895559 | Open port sampling interface | UT-BATTELLE, LLC |
10895578 | Deconvolving isobaric reporter ion ratios | THERMO FINNIGAN LLC |
10895594 | Electrical connector assembly having fan support thereon | FOXCONN (KUNSHAN) COMPUTER CONNECTOR CO., LTD. |
10895607 | Method for testing multicore cable, method for manufacturing multicore cable assembly, and multicore cable test device | HITACHI METALS, LTD. |
10895608 | Detection of plunger movement in DC solenoids through current sense technique | TEXAS INSTRUMENTS INCORPORATED |
10895609 | Circuit protection device with PTC element and secondary fuse | LITTELFUSE, INC. |
10895610 | Measuring arrangement for detecting a magnetic unidirectional flux in the core of a transformer | SIEMENS AKTIENGESELLSCHAFT |
10895618 | Method for determining proper functioning of an analytic system and control composition for performing said method | NUMARES AG |
10895634 | Electronic devices having millimeter wave ranging capabilities | APPLE INC. |
10895671 | Diffraction grating with a variable refractive index using ion implantation | FACEBOOK TECHNOLOGIES, LLC |
10895682 | Efficient photonic circuits for liquid-cooled high-density datacenters | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10895684 | Integrated laser transceiver | SEAGATE TECHNOLOGY LLC |
10895703 | Connector system with air flow and flanges | MOLEX, LLC |
10895708 | Locatable duct tracer wire bonding connector | ELECTRIC MOTION COMPANY, INC. |
10895740 | Projective MEMS device for a picoprojector of the flying spot type and related manufacturing method | STMICROELECTRONICS S.R.L. |
10895753 | Structured light generation device and diffractive optical element thereof | -- |
10895762 | Multi-coil field generation in an electronic contact lens system | TECTUS CORPORATION |
10895883 | HVAC controller with a temperature sensor mounted on a flex circuit | ADEMCO INC. |
10895896 | Power system for server rack | AMAZON TECHNOLOGIES, INC. |
10895914 | Methods, devices, and methods for creating control signals | -- |
10896036 | Auto mapping recommender | SALESFORCE.COM, INC. |
10896365 | Multi-layered body, and security document | OVD KINEGRAM AG |
10896558 | Apparatus for inspecting driver assistance system of vehicle and method for controlling the same | HYUNDAI MOTOR COMPANY |
10896589 | Safety vest for use in worker protection systems | -- |
10896770 | Insulated electric wire | HITACHI METALS, LTD. |
10896771 | Power cable with an overmolded probe for power transfer to a non-thermal plasma generator and a method for constructing the overmolded probe | VOLT HOLDINGS, LLC |
10896772 | High density shielded electrical cable and other shielded cables, systems, and methods | 3M INNOVATIVE PROPERTIES COMPANY |
10896773 | Quench protected structured superconducting cable | THE TEXAS A&M UNIVERSITY SYSTEM |
10896774 | Non-conductive support stands | HUBBELL INCORPORATED |
10896775 | Resistor | KOA CORPORATION |
10896776 | Nano magneto-rheological fluid and preparation method and device thereof | HUNAN BOHAI NEW MATERIALS CO., LTD. |
10896777 | Solenoid | DENSO CORPORATION |
10896778 | Transformer including gaps | FANUC CORPORATION |
10896779 | Triaxial cable transformer | TELEDYNE UK LIMITED |
10896780 | Resonant LC tank package and method of manufacture | INTEL IP CORPORATION |
10896781 | Ceramic capacitor having metal or metal oxide in side margin portions, and method of manufacturing the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10896782 | Multilayer ceramic electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10896783 | Electrolytic capacitor | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10896784 | Direct microwave production of graphene | GLOBAL GRAPHENE GROUP, INC. |
10896785 | Electric storage element | TAIYO YUDEN CO., LTD. |
10896786 | Processes and systems for supercapacitor stack fabrication | POCELL TECH LTD. |
10896787 | Contact in RF-switch | CAVENDISH KINETICS, INC. |
10896788 | Electronic trip unit for a low-voltage circuit breaker including a receptacle for a module, and a module for connection to the electronic trip unit | SIEMENS AKTIENGESELLSCHAFT |
10896789 | Electrical switch | ABB OY |
10896790 | Dynamic coordination of protection devices in electrical distribution systems | ATOM POWER, INC |
10896791 | Dynamic coordination of protection devices in electrical distribution systems | ATOM POWER, INC. |
10896792 | Instrument panel structure | HONDA MOTOR CO., LTD. |
10896793 | Unique cover for light switch toggle | -- |
10896794 | Circuit arrangement for operating at least one relay | PILZ GMBH & CO. KG |
10896795 | System, apparatus, and method for grounding and providing an electrical safety circuit | NATIONAL CHRISTMAS PRODUCTS LLC |
10896796 | Switching system, and electrical switching apparatus and switching assembly therefor | EATON INTELLIGENT POWER LIMITED |
10896797 | Dead tank circuit breaker surge arrester | GENERAL ELECTRIC TECHNOLOGY GMBH |
10896798 | X-ray unit | KONINKLIJKE PHILIPS N.V. |
10896799 | Ion source with multiple configurations | APPLIED MATERIALS, INC. |
10896800 | Charged particle beam system and method | CARL ZEISS MULTISEM GMBH |
10896801 | Multiple electron beam image acquisition apparatus, and alignment method of multiple electron beam optical system | NUFLARE TECHNOLOGY, INC. |
10896802 | Combined SEM-CL and FIB-IOE microscopy | FEI COMPANY |
10896803 | Ion beam mill etch depth monitoring with nanometer-scale resolution | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
10896804 | Planarization, densification, and exfoliation of porous materials by high-energy ion beams | LAWRENCE LIVERMORE NATIONAL SECURITY, LLC |
10896805 | Methods for rapid electron area masking (REAM) lithography | COQUITLAM |
10896806 | Inductive coil structure and inductively coupled plasma generation system | EN2CORE TECHNOLOGY, INC. |
10896807 | Synchronization between an excitation source and a substrate bias supply | ADVANCED ENERGY INDUSTRIES, INC. |
10896808 | Maintenance mode power supply system | LAM RESEARCH CORPORATION |
10896809 | High voltage switch with isolated power | EAGLE HARBOR TECHNOLOGIES, INC. |
10896810 | RF generating apparatus and plasma treatment apparatus | HITACHI KOKUSAI ELECTRIC INC. |
10896811 | Antenna device, radiation method of electromagnetic waves, plasma processing apparatus, and plasma processing method | TOKYO ELECTRON LIMITED |
10896812 | Sputtering target having RFID information | MATERION CORPORATION |
10896813 | Analysis data processing method and device | SHIMADZU CORPORATION |
10896814 | Ionization device | KARSA OY |
10896832 | Substrate processing method and substrate processing apparatus | TOKYO ELECTRON LIMITED |
10896837 | Ceramic foam for helium light-up suppression | LAM RESEARCH CORPORATION |
10896838 | Electrostatic chucks and substrate processing apparatus including the same | SAMSUNG ELECTRONICS CO., LTD. |
10896841 | Film formation apparatus | SHIBAURA MECHATRONICS CORPORATION |
10896843 | Wafer holding device and wafer chucking and dechucking method | SUMITOMO HEAVY INDUSTRIES ION TECHNOLOGY CO., LTD. |
10896860 | Method and curable compound for casting electronic components or component groups | ROBERT BOSCH GMBH |
10896865 | Power electronics modules including an integrated cooling channel extending through an electrically-conductive substrate | TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC. |
10896871 | Circuit board, method for manufacturing circuit board, and electronic device | FUJITSU LIMITED |
10896884 | Semiconductor package and antenna module including the same | SAMSUNG ELECTRONICS CO., LTD. |
10896929 | Integrated circuit components incorporating energy harvesting components/devices, and methods for fabrication, manufacture and production of integrated circuit components incorporating energy harvesting components/devices | FACE INTERNATIONAL CORPORATION |
10896949 | Inductor/transformer with closed ring | QUALCOMM INCORPORATED |
10896992 | Photodiode structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10897007 | Magnetic memory device | KABUSHIKI KAISHA TOSHIBA |
10897030 | Packaging material for power storage device and method for manufacturing packaging material for power storage device | TOPPAN PRINTING CO., LTD. |
10897035 | Energy storage apparatus in device with conductive case structure | SEAGATE TECHNOLOGY, LLC |
10897059 | Sulfide solid electrolyte material, battery, and producing method for sulfide solid electrolyte material | TOKYO INSTITUTE OF TECHNOLOGY |
10897063 | Electrolyte and electrochemical device | CONTEMPORARY AMPEREX TECHNOLOGY CO., LIMITED |
10897068 | Systems and devices for filtering electrical signals | D-WAVE SYSTEMS INC. |
10897069 | Reduced kapitza resistance microwave filter for cryogenic environments | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10897070 | Connect RV mount | WILSON ELECTRONICS, LLC |
10897071 | Universal adapter plate assembly | HAECO AMERICAS, LLC |
10897072 | Balance platform for mobile antenna | -- |
10897073 | Receiver for detecting a terahertz wave and image forming apparatus | CANON KABUSHIKI KAISHA |
10897074 | Housing, method for manufacturing housing, and mobile terminal | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10897075 | Wideband reflectarray using electrically re-focusable phased array feed | NORTHROP GRUMMAN SYSTEMS CORPORATION |
10897076 | Modular antenna systems for automotive radar sensors | VEONEER US, INC. |
10897077 | Invisible antennas | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10897078 | Antenna-integrated base station apparatus and antenna fixing equipment of mobile communication network | KMW INC. |
10897079 | Metal housing, antenna assembly and electronic device | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10897080 | Wireless communication device and beam control method | FUJITSU LIMITED |
10897081 | Radio communication apparatus and phase adjustment method | FUJITSU LIMITED |
10897082 | Steerable phased array antenna | THE UNITED STATES OF AMERICA AS REPRESENTED BY THE SECRETARY OF THE AIR FORCE |
10897083 | Cellular system | -- |
10897084 | Feed for dual band antenna | MTI WIRELESS EDGE, LTD. |
10897085 | Antenna and antenna system | SMARTEQ WIRELESS AKTIEBOLAG |
10897086 | Configurable antenna | ANTENOVA LIMITED |
10897087 | Integrated slot antenna | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10897088 | Leaky-wave slotted microstrip antenna | VEONEER SWEDEN AB |
10897089 | Lensed base station antennas | COMMSCOPE, INC. OF NORTH CAROLINA |
10897090 | Electronics and filter-integrated, dual-polarized transition and radiator for phased array sensors | THE BOEING COMPANY |
10897091 | 3D tripolar antenna and method of manufacture | UNIVERSITY OF SOUTH FLORIDA |
10897092 | Splice cap | YAZAKI CORPORATION |
10897093 | Semiconductor apparatus | FUJI ELECTRIC CO., LTD. |
10897094 | Clamping device and method for providing an electrical connection between a subsea pipeline and an electrical conductor | NEXANS |
10897095 | Terminal cover | SUMITOMO WIRING SYSTEMS, LTD. |
10897096 | Wire harness | SUMITOMO WIRING SYSTEMS, LTD. |
10897097 | Electrical connector device | DAI-ICHI SEIKO CO., LTD. |
10897098 | Connector and connector assembly | JAPAN AVIATION ELECTRONICS INDUSTRY, LIMITED |
10897099 | Cable assembly with strain relief | APTIV TECHNOLOGIES LIMITED |
10897100 | Connector | YAZAKI CORPORATION |
10897101 | Coupler connector and cable terminator with side contacts | BELDEN CANADA ULC |
10897102 | Connector structure | TOYOTA JIDOSHA KABUSHIKI KAISHA |
10897103 | Electrical connector with seal protection | APTIV TECHNOLOGIES LIMITED |
10897104 | Electrical connector with pull release | HIREL CONNECTORS, INC. |
10897105 | Connector with an annular shaped megnetic core | YAZAKI CORPORATION |
10897106 | Charger for electrically charging a moving body | TOYOTA JIDOSHA KABUSHIKI KAISHA |
10897107 | Smart socket | -- |
10897108 | Device for use in explosive atmosphere zones | BARTEC GMBH |
10897109 | Solderless coaxial cable connector and installation thereof | MEGAPHASE, LLC |
10897110 | Hybrid connector for high speed wireline communication | NXP B.V. |
10897111 | Power interface, mobile terminal and power adapter | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10897112 | AC power cord assembly capable of emitting visible lights | -- |
10897113 | Lamp cap and lamp holder thereof | NINGBO WELL ELECTRIC APPLIANCE CO., LTD. |
10897114 | Configurable low voltage power panel | LIGHT CORP INC. |
10897115 | Systems and methods for spatiotemporal control of a laser and applications of same | UNIVERSITY OF ROCHESTER |
10897116 | Method and apparatus for ensuring a uniform temperature profile in ribbon fiber lasers and amplifiers | LAWRENCE LIVERMORE NATIONAL SECURITY, LLC |
10897117 | Fiber amplifier system with variable communication channel capacities | GOOCH AND HOUSEGO PLC |
10897118 | Laser apparatus and extreme ultraviolet light generating system | GIGAPHOTON INC. |
10897119 | Temperature sensor integrated with MOS capacitor for stabilizing lasers | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
10897120 | Externally-strain-engineered semiconductor photonic and electronic devices and assemblies and methods of making same | UNIVERSITY OF HOUSTON SYSTEM |
10897121 | Lateral current injection electro-optical device with well-separated doped III-V layers structured as photonic crystals | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10897122 | Optical apparatus for optical transceivers | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
10897123 | Spark plug for internal combustion engine having a shaped composite chip on center electrode and/or ground electrode | DENSO CORPORATION |
10897126 | Charge cabinet and storage device thereof | -- |
10897129 | Quench protection device of superconducting magnet system and working method thereof | HEFEI CAS ION MEDICAL AND TECHNICAL DEVICES CO., LTD |
10897130 | Micro plasma limiter for RF and microwave circuit protection | THE BOEING COMPANY |
10897140 | Method of operating a wireless connector system | NUCURRENT, INC. |
10897148 | Wireless charging mats with multi-layer transmitter coil arrangements | APPLE INC. |
10897149 | Storage tote with electrical outlets | -- |
10897152 | Power storage device control system, power storage system, and electrical appliance | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10897153 | System and method for charging receiver devices | GENERAL ELECTRIC COMPANY |
10897162 | Antenna array element by element power tracking | PSEMI CORPORATION |
10897164 | Method of manufacturing a lamination stack for use in an electrical machine | SKF MAGNETIC MECHATRONICS |
10897168 | Magnetizing method, rotor, motor, and scroll compressor | MITSUBISHI ELECTRIC CORPORATION |
10897185 | Electric device and electric device manufacturing method | DENSO CORPORATION |
10897237 | Filter for suppressing 5G signal interference and television antenna | SHENZHEN ANTOP TECHNOLOGY CO., LTD. |
10897243 | Cable and connection device | SONY CORPORATION |
10897280 | Electronic device including plurality of antenna arrays | SAMSUNG ELECTRONICS CO., LTD. |
10897281 | NFC watch | TAPPY TECHNOLOGIES LTD |
10897286 | Adaptive MU-MIMO beamforming | RF DSP INC. |
10897303 | Antenna system for providing coverage from a high-altitude platform | LOON LLC |
10897304 | System for employing cellular telephone networks to operate, control and communicate with unmannded aerial vehicles and remote piloted vehicles | RHOMBUS SYSTEMS GROUP, INC. |
10897310 | Optical line terminal and method for transmitting digital information | CABLE TELEVISION LABORATORIES, INC. |
10897311 | Optical signal modulation circuit and apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
10897319 | Integrated wireless communication test environment | OCTOSCOPE INC. |
10897576 | Circuit board, electronic apparatus, and image forming apparatus | CANON KABUSHIKI KAISHA |
10897719 | Routing signals based on an orientation of devices with respect to each other | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10897795 | Induction heating power supply apparatus | NETUREN CO., LTD. |
10897800 | Surface mounted and chip on board, high brightness LED replaceable lighting system | LEDUP MANUFACTURING GROUP LIMITED |
10897808 | Filter device and plasma processing apparatus | TOKYO ELECTRON LIMITED |
10897811 | Electronic device module | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10897824 | Encapsulation of downhole microelectronics and method the same | BAKER HUGHES, A GE COMPANY, LLC |
10897825 | Multi-part electronic device housing having contiguous filled surface | APPLE INC. |
10897826 | Cover window of flexible display device and flexible display device having the same | SAMSUNG DISPLAY CO., LTD. |
10897827 | Housing and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
10897828 | Devices and accessories employing a living hinge | GUI GLOBAL PRODUCTS, LTD. |
10897829 | Casing assembly with flash lens and decorative ring and terminal | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10897830 | Power control unit and power control unit mounting structure | TOYOTA JIDOSHA KABUSHIKI KAISHA |
10897831 | Data center stranded power recovery design | MICROSOFT TECHNOLOGY LICENSING, LLC |
10897832 | Fan control based on a time-variable rate of current | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10897833 | Hierarchical hydrophilic/hydrophobic micro/nanostructures for pushing the limits of critical heat flux | UNIVERSITY OF FLORIDA RESEARCH FOUNDATION, INCORPORATED |
10897834 | Coupling assemblies for connecting fluid-carrying components | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10897835 | Coupling assemblies for connecting fluid-carrying components | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10897836 | Airflow management system for thermal control of data centers | BAIDU USA LLC |
10897837 | Cooling arrangement for a server mountable in a server rack | OVH |
10897838 | Cooling system for high density heat loads | VERTIV CORPORATION |
10897839 | Computer server assembly | -- |
10897840 | Shield box, shield box assembly and apparatus for testing a semiconductor device | ADVANCED SEMICONDUCTOR ENGINEERING KOREA, INC. |
10897841 | Rotary head of a surface mounter | YAMAHA HATSUDOKI KABUSHIKI KAISHA |
10897887 | Remote sensing rodent bait station tray | BELL LABORATORIES, INC. |
10898060 | Cable connection structure, endoscope system, and method of manufacturing cable connection structure | OLYMPUS CORPORATION |
10898102 | Electromagnetic (EM) probes, methods of using such EM probes and systems which use such electromagnetic EM probes | SENSIBLE MEDICAL INNOVATIONS LTD. |
10898704 | Tattoo machine having an arm-mounted power supply | BISHOP TATTOO SUPPLY, INC. |
10898719 | Apparatus for peripheral or spinal stimulation | NALU MEDICAL, INC. |
10898732 | Multi-color charged particle detector apparatus and method of use thereof | -- |
10898910 | Generator usable in a potentially explosive atmosphere and assembly comprising an electrostatic sprayer and such a generator | EXEL INDUSTRIES |
10898922 | Method and jig for forming pattern using magnetic ink and magnetic force | IMCT CO., LTD. |
10898939 | Punch processing method for laminated iron core and method for manufacturing laminated iron core | JFE STEEL CORPORATION |
10898950 | Dust core, electromagnetic component and method for manufacturing dust core | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
10898952 | Composition for forming electrode, electrode manufactured using the same and solar cell | SAMSUNG SDI CO., LTD. |
10898979 | Blank assembly for housing, housing, manufacturing method for housing and terminal | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10899000 | Robot | KABUSHIKI KAISHA YASKAWA DENKI |
10899014 | Multiple lens-based smart mechanical arm and positioning and assembly method thereof | SOUTH CHINA UNIVERSITY OF TECHNOLOGY |
10899082 | Inductor coil for induction welding of a packaging material | TETRA LAVAL HOLDINGS & FINANCE S.A. |
10899241 | Connecting element and connecting apparatus for electrically connecting a cable to an electrical device of a motor vehicle | BAYERISCHE MOTOREN WERKE AKTIENGESELLSCHAFT |
10899242 | Charging assembly and method utilizing a charge port mounted to a vehicle wheel | FORD GLOBAL TECHNOLOGIES, LLC |
10899249 | Strut insulators | AFL TELECOMMUNICATIONS LLC |
10899293 | Body earth structure for vehicle and body earth path forming method | TOYOTA JIDOSHA KABUSHIKI KAISHA |
10899294 | Grommet assembly | YAZAKI CORPORATION |
10899297 | Submergence detection device, vehicle control device, and vehicle | OMRON AUTOMOTIVE ELECTRONICS CO., LTD. |
10899357 | Bi-directional snap fit electronic unit | VEONEER US, INC. |
10899474 | Portable fleet management system for autonomous aerial vehicles | DYNAMIC AUTONOMOUS VEHICLE TECHNOLOGY LLC |
10899477 | In-space manufacturing and assembly of spacecraft device and techniques | MADE IN SPACE, INC. |
10899575 | Linear media handling system and devices produced using the same | INFINITY PHYSICS, LLC |
10899605 | MEMS device and manipulation method for micro-objects | SHARP KABUSHIKI KAISHA |
10899672 | Ceramic material for generating light | KONINKLIJKE PHILIPS N.V. |
10899895 | Methods for manufacturing coated metal nanoparticles and a composite material comprising same, use of such a material and device comprising same | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10899908 | Self-healing composite and device including self-healing film | SAMSUNG ELECTRONICS CO., LTD. |
10899930 | Asymmetric pigment | VIAVI SOLUTIONS INC. |
10899943 | Low smoke halogen free flame retardant thermoplastic vulcanizate compositions containing zeolites | DUPONT POLYMERS, INC. |
10900102 | High strength aluminum alloy backing plate and methods of making | HONEYWELL INTERNATIONAL INC. |
10900113 | Method for manufacturing grain-oriented electrical steel sheet, and nitriding apparatus | JFE STEEL CORPORATION |
10900114 | Method and apparatus for depositing a material | -- |
10900116 | PVD system with remote arc discharge plasma assisted process | VAPOR TECHNOLOGIES, INC. |
10900117 | Plasma corridor for high volume PE-CVD processing | VAPOR TECHNOLOGIES, INC. |
10900118 | Magnetically enhanced low temperature-high density plasma-chemical vapor deposition plasma source for depositing diamond and diamond like films | IONQUEST CORP. |
10900124 | Substrate processing chamber with showerhead having cooled faceplate | LAM RESEARCH CORPORATION |
10900142 | Apparatus for manufacturing a second substrate on a first substrate including removal of the first substrate | SAMSUNG ELECTRONICS CO., LTD. |
10900303 | Magnetic gradient drilling | BOARD OF SUPERVISORS OF LOUISIANA STATE UNIVERSITY AND AGRICULTURAL AND MECHANICAL COLLEGE |
10900333 | Contact plunger cartridge assembly | HUNTING TITAN, INC. |
10900353 | Method and apparatus for sub-terrain chlorine ion detection in the near wellbore region in an open-hole well | SAUDI ARABIAN OIL COMPANY |
10900391 | Engine control system and method for controlling activation of solenoid valves | VITESCO TECHNOLOGIES USA, LLC. |
10900412 | Electronics assembly having a heat sink and an electrical insulator directly bonded to the heat sink | BORGWARNER INC. |
10900431 | Method for determining spark plug electrode spacing and state of wear | MTU FRIEDRICHSHAFEN GMBH |
10900439 | Cylinder liners with adhesive metallic layers and methods of forming the cylinder liners | TENNECO INC. |
10900459 | Ignition control system and ignition control device | DENSO CORPORATION |
10900493 | Luminous fan | -- |
10900531 | Spring wire ends to faciliate welding | BAL SEAL ENGINEERING, LLC |
10900590 | Limit switch for rising stem gate valves | KENNEDY VALVE COMPANY |
10900617 | Light bulb apparatus | XIAMEN ECO LIGHTING CO. LTD. |
10900625 | Retainer ring for a light fixture | ABL IP HOLDING LLC |
10900652 | High-lumen fixture thermal management | SIGNIFY HOLDING B.V. |
10900718 | Apparatus, system, and method for improving the efficiency of heatsinks | JUNIPER NETWORKS, INC. |
10900810 | Electronic equipment that determines rotation direction and rotating amount of rotational operation member | CANON KABUSHIKI KAISHA |
10900829 | Radiation sensor apparatus | ASML NETHERLANDS B.V. |
10900846 | Appliance having a temperature-monitored plug-in connection | BSH HAUSGERAETE GMBH |
10900859 | Conductive fluid sensor cable | PICA PRODUCT DEVELOPMENT, LLC |
10900907 | Portable plasma source for optical spectroscopy | RADOM CORPORATION |
10900908 | Chemiluminescence for tamper event detection | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10900911 | Vehicle cabin inspection system and method | SMITHS HEIMANN SAS |
10900919 | Microwave cavity for permittivity measurements | SKYWORKS SOLUTIONS, INC. |
10900939 | Quality control reagents and methods | PROMEGA CORPORATION |
10901002 | Fuse diagnosis device and method using voltage distribution | LG CHEM, LTD. |
10901007 | RF sensing apparatus of plasma processing chamber and plasma processing chamber including same | SAMSUNG ELECTRONICS CO., LTD. |
10901008 | Energy harvest split core design elements for ease of installation, high performance, and long term reliability | SENTIENT TECHNOLOGY HOLDINGS, LLC |
10901021 | Method for detecting wafer processing parameters with micro resonator array sensors | APPLIED MATERIALS, INC. |
10901037 | Method and apparatus for monitoring status of relay | ONTARIO POWER GENERATION INC. |
10901049 | Magnetic sensor and method for manufacturing said magnetic sensor | DENSO CORPORATION |
10901082 | Road identification system using enhanced cross-section targets | FRACTAL ANTENNA SYSTEMS, INC. |
10901094 | Multi-mode tracking device | RAYTHEON BLACKBIRD TECHNOLOGIES, INC. |
10901095 | Position and attitude estimation device, image processing device, and position and attitude estimation method | NEC CORPORATION |
10901138 | Light source device and display apparatus provided therewith | TOPPAN PRINTING CO., LTD. |
10901161 | Optical power transfer devices with an embedded active cooling chip | TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC. |
10901162 | Back-reflection protection and monitoring in fiber and fiber-delivered lasers | NLIGHT, INC. |
10901216 | Free space multiple laser diode modules | GOOGLE LLC |
10901240 | Electro-Optic beam controller and method | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
10901241 | Optical metrology system using infrared wavelengths | ONTO INNOVATION INC. |
10901247 | Optical feedback-based repetitive frequency adjustable optical frequency comb | SOUTH CHINA UNIVERSITY OF TECHNOLOGY |
10901253 | Button deck assembly for an electronic gaming machine and method for making the same | ARISTOCRAT TECHNOLOGIES AUSTRALIA PTY LIMITED |
10901286 | Spacers and connectors for insulated glass units | VIEW, INC. |
10901295 | Arbitrary pulse shaping with picosecond resolution over multiple-nanosecond records | LAWRENCE LIVERMORE NATIONAL SECURITY, LLC |
10901325 | Determining the impacts of stochastic behavior on overlay metrology data | KLA-TENCOR CORPORATION |
10901402 | Closed-loop automatic defect inspection and classification | APPLIED MATERIALS ISRAEL, LTD. |
10901443 | Connection and disconnection differential surge limiter circuit for AC coupled transceiver | SYNOPSYS, INC. |
10901458 | Titanium parts having a blasted surface texture | APPLE INC. |
10901470 | Power distribution unit self-identification | ORACLE INTERNATIONAL CORPORATION |
10901471 | Heat dissipation assembly and portable electronic device | INVENTEC (PUDONG) TECHNOLOGY CORPORATION |
10901473 | Power adapter with networking | COMCAST CABLE COMMUNICATIONS, LLC |
10901540 | Digital jukebox device with improved user interfaces, and associated methods | TOUCHTUNES MUSIC CORPORATION |
10901557 | PCAP with enhanced immunity to water contaminants | ELO TOUCH SOLUTIONS, INC. |
10901561 | Conductive film, touch panel sensor, and touch panel | FUJIFILM CORPORATION |
10901932 | Backplane interface sets | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
10902161 | Method for optimizing component type arrangement and apparatus for optimizing component type arrangement | FUJI CORPORATION |
10902338 | Quantum network node and protocols with multiple qubit species | UNIVERSITY OF MARYLAND |
10902390 | Electronic device and payment method using the same | SAMSUNG ELECTRONICS CO., LTD. |
10902691 | Passive entry/passive start access systems with bidirectional tone exchange | DENSO INTERNATIONAL AMERICA, INC. |
10902718 | Wireless control device | LUTRON TECHNOLOGY COMPANY LLC |
10902762 | Protective circuit and display device | HKC CORPORATION LIMITED |
10902876 | Thermal management of laser diode mode hopping for heat assisted media recording | SEAGATE TECHNOLOGY LLC |
10902912 | Electrochemical switching device with protective encapsulation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10902965 | Methods for synthesizing silver nanoplates and noble metal coated silver nanoplates and their use in transparent films for control of light hue | C3NANO INC. |
10902966 | Twisted wire conductor for insulated electrical wire, insulated electrical wire, cord and cable | FURUKAWA ELECTRIC CO., LTD. |
10902967 | Printed circuit surface finish, method of use, and assemblies made therefrom | -- |
10902968 | Composite conductive material having excellent dispersibility, slurry for forming lithium secondary battery electrode using the same, and lithium secondary battery | LG CHEM, LTD. |
10902969 | Organic semiconductor composition, organic semiconductor film, organic thin film transistor, and method of manufacturing organic thin film transistor | FUJIFILM CORPORATION |
10902970 | Patterned transparent conductive film and process for producing such a patterned transparent conductive film | BASF SE |
10902971 | Conductive paste for semiconductor device and preparation method | SOBTRIUM ADVANCED MATERIALS TECHNOLOGY, LTD. |
10902972 | Material for insulation system, insulation system, external corona shield and an electric machine | SIEMENS AKTIENGESELLSCHAFT |
10902973 | Anisotropic conductive film and production method of the same | DEXERIALS CORPORATION |
10902974 | Transparent conductive film | CAMBRIOS FILM SOLUTIONS CORPORATION |
10902976 | Optical fiber based sensing for smart electrical cables and distributed radiation detection | UNIVERSITY OF PITTSBURGH—OF THE COMMONWEALTH SYSTEM OF HIGHER EDUCATION |
10902977 | Cable assembly | HUBER+SUHNER AG |
10902978 | Diffusion barriers for metallic superconducting wires | H.C. STARCK INC. |
10902979 | Method and apparatus for fabricating a susceptor coil assembly ribbon | THE BOEING COMPANY |
10902980 | Over-current protection device | -- |
10902981 | Method for determining the operating state of a PTC thermistor element | MAHLE INTERNATIONAL GMBH |
10902982 | Electrically conductive PTC ink with double switching temperatures and applications thereof in flexible double-switching heaters | LMS CONSULTING GROUP, LLC |
10902983 | PPTC composition and device having low switch temperature and sharp crystallization behavior | LITTELFUSE, INC. |
10902984 | Linear actuator having an elastic injection-molded frame | EM-TECH. CO., LTD. |
10902985 | Electromagnetic actuator | MIKUNI CORPORATION |
10902986 | Sensor, microphone, and touch panel | KABUSHIKI KAISHA TOSHIBA |
10902987 | Spin-orbit torque type magnetization rotation element, spin-orbit torque magnetoresistance effect element, and method of manufacturing spin-orbit torque type magnetization rotation element | TDK CORPORATION |
10902988 | Coil electronic component and method of manufacturing the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10902989 | Packaging structure of a magnetic device | -- |
10902990 | Coil component and method for manufacturing same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10902991 | Coil component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10902992 | Coil component | TAIYO YUDEN CO., LTD. |
10902993 | Inductor assembly comprising at least one inductor coil thermally coupled to a metallic inductor housing | SMA SOLAR TECHNOLOGY AG |
10902994 | Coil electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10902995 | Coil component and method of manufacturing the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10902996 | Self-clamping structure for solving short-circuit resistance problem of amorphous alloy transformers | JIANGSU HUAPENG TRANSFORMER CO., LTD. |
10902997 | In-situ wound current transformer core | GOOGLE LLC |
10902998 | Electronically controlled transformer | -- |
10902999 | Tablet computer stand with near field coupling enhancement | EZERO TECHNOLOGIES LLC |
10903000 | Manufacturing method of reactor | TOYOTA JIDOSHA KABUSHIKI KAISHA |
10903001 | Method and apparatus for producing radially aligned magnetorheological elastomer molded body | FUJI POLYMER INDUSTRIES CO., LTD. |
10903002 | Method for manufacturing a magnetic memory element using Ru and diamond like carbon hard masks | SPIN MEMORY, INC. |
10903003 | Capacitor component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10903004 | Capacitor and manufacturing method therefor | MURATA MANUFACTURING CO., LTD. |
10903005 | Composite electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10903006 | Multilayer ceramic capacitor | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10903007 | Multi-layer ceramic electronic component and method of producing the same | TAIYO YUDEN CO., LTD. |
10903008 | Multi-layer ceramic electronic component and method of producing the same | TAIYO YUDEN CO., LTD. |
10903009 | Multilayer ceramic electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10903010 | Multilayer ceramic capacitor | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10903011 | Multilayer electronic component and method of manufacturing the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10903012 | Ceramic capacitor, circuit substrate and manufacturing method of ceramic capacitor | TAIYO YUDEN CO., LTD. |
10903013 | Dielectric powder and multilayer capacitor using the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10903014 | High energy density capacitor with high aspect micrometer structures and a giant colossal dielectric material | SMART HYBIRD SYSTEMS INCORPORATED |
10903015 | Capacitive energy storage device | CARVER SCIENTIFIC, INC. |
10903016 | Voltage tunable multilayer capacitor | AVX CORPORATION |
10903017 | Solid electrolytic capacitor | MURATA MANUFACTURING CO., LTD. |
10903018 | Substrate-electrode (SE) interface illuminated photoelectrodes and photoelectrochemical cells | REPSOL, S.A. |
10903019 | Compositions and methods of making metal-organic frameworks with redox-active centers | KING ABDULLAH UNIVERSITY OF SCIENCE AND TECHNOLOGY |
10903020 | Rolled supercapacitor and production process | NANOTEK INSTRUMENTS GROUP, LLC |
10903021 | Mechanism to operate a switch with a door mounted handle | EATON INTELLIGENT POWER LIMITED |
10903022 | Electrical circuit breaker assembly | EATON INTELLIGENT POWER LIMITED |
10903023 | System and method for monitoring circuit breakers | ABB POWER GRIDS SWITZERLAND AG |
10903024 | Keyboard device | -- |
10903025 | Keyboard with vibration function | -- |
10903026 | Modular keyboard | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10903027 | Keyboard device and electronic apparatus | LENOVO (SINGAPORE) PTE. LTD. |
10903028 | Rotary switch structure | -- |
10903029 | Circuit breaker inerlock for arc quenching device | EATON INTELLIGENT POWER LIMITED |
10903030 | Variable field magnetic couplers and methods for engaging a ferromagnetic workpiece | MAGSWITCH TECHNOLOGY WORLDWIDE PTY LTD. |
10903031 | Hybrid arc and ground fault circuit interrupter | YUEQING |
10903032 | Fuse | GROUP TALENTS LIMITED |
10903033 | Electronic module | SUMITOMO WIRING SYSTEMS, LTD. |
10903034 | Planar field emission transistor | WISYS TECHNOLOGY FOUNDATION, INC. |
10903035 | High-frequency vacuum electronic device | WISCONSIN ALUMNI RESEARCH FOUNDATION |
10903036 | Charged-particle beam device | HITACHI HIGH-TECH CORPORATION |
10903037 | Charged particle beam device | HITACHI HIGH-TECH CORPORATION |
10903038 | Charged particle beam axial alignment device, charged particle beam irradiation device and charged particle beam axial alignment method | SHIMADZU CORPORATION |
10903039 | Vacuum condition processing apparatus, system and method for specimen observation | FOCUS-EBEAM TECHNOLOGY (BEIJING) CO., LTD. |
10903040 | Composite charged particle beam apparatus | HITACHI HIGH-TECH SCIENCE CORPORATION |
10903041 | Pattern measuring method, pattern measuring tool and computer readable medium | HITACHI HIGH-TECH CORPORATION |
10903042 | Apparatus and method for inspecting a sample using a plurality of charged particle beams | TECHNISCHE UNIVERSITEIT DELFT |
10903043 | Method, device and system for remote deep learning for microscopic image reconstruction and segmentation | FEI COMPANY |
10903044 | Filling empty structures with deposition under high-energy SEM for uniform DE layering | APPLIED MATERIALS ISRAEL LTD. |
10903045 | Method and apparatus for atomic probe tomography | IMEC VZW |
10903046 | Inductive coil structure and inductively coupled plasma generation system | EN2CORE TECHNOLOGY, INC. |
10903047 | Precise plasma control system | EAGLE HARBOR TECHNOLOGIES, INC. |
10903048 | Substrate processing method and apparatus for controlling phase angles of harmonic signals | APPLIED MATERIALS, INC. |
10903049 | Plasma processing apparatus and measurement circuit | TOKYO ELECTRON LIMITED |
10903050 | Endpoint sensor based control including adjustment of an edge ring parameter for each substrate processed to maintain etch rate uniformity | LAM RESEARCH CORPORATION |
10903051 | Matching method and plasma processing apparatus | TOKYO ELECTRON LIMITED |
10903052 | Systems and methods for radial and azimuthal control of plasma uniformity | APPLIED MATERIALS, INC. |
10903053 | Plasma processing apparatus | SAMSUNG ELECTRONICS CO., LTD. |
10903054 | Multi-zone gas distribution systems and methods | APPLIED MATERIALS, INC. |
10903055 | Edge ring for bevel polymer reduction | APPLIED MATERIALS, INC. |
10903056 | Plasma source for rotating susceptor | APPLIED MATERIALS, INC. |
10903057 | Temperature adjustment device and substrate processing apparatus | TOKYO ELECTRON LIMITED |
10903058 | Apparatus for treating objects with plasma, use of this apparatus and method of using this apparatus | COATING PLASMA INDUSTRIE |
10903059 | Film formation apparatus | SHIBAURA MECHATRONICS CORPORATION |
10903060 | Method for mass spectrometric examination of gas mixtures and mass spectrometer therefor | LEYBOLD GMBH |
10903061 | Methods for crosstalk compensation | THERMO FISHER SCIENTIFIC (BREMEN) GMBH |
10903062 | Ion entry/exit device | MICROMASS UK LIMITED |
10903063 | Methods for confirming charged-particle generation in an instrument, and related instruments | BIOMERIEUX, INC. |
10903064 | Methods for detection of ion spatial distribution | THERMO FINNIGAN LLC |
10903066 | Heater support kit for bevel etch chamber | APPLIED MATERIALS, INC. |
10903067 | Cooled reflective adapter plate for a deposition chamber | APPLIED MATERIALS, INC. |
10903070 | Asymmetric wafer bow compensation by chemical vapor deposition | LAM RESEARCH CORPORATION |
10903083 | Substrate processing method, substrate processing apparatus and substrate processing system | TOKYO ELECTRON LIMITED |
10903084 | Method of etching silicon containing films selectively against each other | TOKYO ELECTRON LIMITED |
10903085 | Method for etching organic region | TOKYO ELECTRON LIMITED |
10903094 | Electrostatic puck assembly with metal bonded backing plate for high temperature processes | APPLIED MATERIALS, INC. |
10903097 | In-situ wafer temperature measurement and control | AXCELIS TECHNOLOGIES, INC. |
10903100 | Method of obtaining amount of deviation of a measuring device, and method of calibrating transfer position data in a processing system | TOKYO ELECTRON LIMITED |
10903105 | Flip chip bonding device and bonding method | SHANGHAI MICRO ELECTRONICS EQUIPMENT (GROUP) CO., LTD. |
10903134 | Silicon heat-dissipation package for compact electronic devices | -- |
10903140 | Bi-directional heatsink dampening force system | CISCO TECHNOLOGY, INC. |
10903141 | Thermal management of RF devices using embedded microjet arrays | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
10903149 | Semiconductor module, electric vehicle, and power control unit | FUJI ELECTRIC CO., LTD. |
10903159 | Electrical cable | MD ELEKTRONIK GMBH |
10903162 | Fuse element resistance enhancement by laser anneal and ion implantation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903178 | Isolation network for multi-way power divider/combiners | QORVO US, INC. |
10903185 | Bonding material and bonding method using same | DOWA ELECTRONICS MATERIALS CO., LTD. |
10903206 | Semiconductor device and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10903218 | Methods of incorporating leaker-devices into capacitor configurations to reduce cell disturb, and capacitor configurations incorporating leaker-devices | MICRON TECHNOLOGY, INC. |
10903247 | Scanning antenna and method for manufacturing same | SHARP KABUSHIKI KAISHA |
10903360 | Vertically integrated memory cells with complementary pass transistor selectors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903376 | Light receiving/emitting element, solar cell, optical sensor, light emitting diode, and surface emitting laser element | SONY CORPORATION |
10903378 | Photovoltaic cells comprising a layer of crystalline non-centrosymmetric light-absorbing material and a plurality of electrodes to collect ballistic carriers | DREXEL UNIVERSITY |
10903416 | Alloy thin films exhibiting perpendicular magnetic anisotropy | KOREA UNIVERSITY RESEARCH AND BUSINESS FOUNDATION |
10903436 | Display device | SAMSUNG DISPLAY CO., LTD. |
10903441 | Single-layer light-emitting diodes using organometallic halide perovskite/ionic-conducting polymer composite | THE FLORIDA STATE UNIVERSITY RESEARCH FOUNDATION, INC. |
10903469 | Interconnecting member occupying less space in battery module and battery module comprising same | LG CHEM, LTD. |
10903521 | Modified ionic liquids containing triazine | NOHMS TECHNOLOGIES, INC. |
10903538 | Distributed LC filter structure | MURATA MANUFACTURING CO., LTD. |
10903539 | Dielectric resonator having a sealed demetallized notch formed therein, for forming a dielectric filter and a base station therefrom | HUAWEI TECHNOLOGIES CO., LTD. |
10903540 | Dual-mode corrugated waveguide cavity filter | NOKIA SOLUTIONS AND NETWORKS OY |
10903541 | Packaging and thermalization of cryogenic dispersive resistive hybrid attenuators for quantum microwave circuits | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903542 | Variable radio frequency attenuator | THE BOEING COMPANY |
10903543 | PCB transmission lines having reduced loss | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
10903544 | Magnetic balun/transformer with post processing adjustments | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903545 | Method of making a mechanically stabilized radio frequency transmission line device | 3D GLASS SOLUTIONS, INC. |
10903546 | Planar balun transformer device | STMICROELECTRONICS S.R.L. |
10903547 | Electronic package | -- |
10903548 | Antenna module | SAMSUNG ELECTRONICS CO., LTD. |
10903549 | Reconfigurable antenna device suitable for three-segment type metal back cover | JRD COMMUNICATION (SHENZHEN) LTD. |
10903550 | Base station antennas including supplemental arrays | COMMSCOPE TECHNOLOGIES LLC |
10903551 | Antenna device | -- |
10903552 | Electronic device including antenna device having loop structure | SAMSUNG ELECTRONICS CO., LTD. |
10903553 | Display device with integrated antenna | GOOGLE LLC |
10903554 | Machine learning models for detecting the causes of conditions of a satellite communication system | HUGHES NETWORK SYSTEMS, LLC |
10903555 | Antenna system and side mirror for a vehicle incorporating said antenna | ADVANCED AUTOMOTIVE ANTENNAS, S.L.U. |
10903556 | Up-down zigzag additive spiral antenna | LOCKHEED MARTIN CORPORATION |
10903557 | Antenna device and electronic device | MURATA MANUFACTURING CO., LTD. |
10903558 | Top fed wideband dual pitch quadrifilar antenna | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
10903559 | Liquid-crystal antenna device and manufacturing method of the same | -- |
10903560 | Hermetically sealed module unit with integrated antennas | FRAUNHOFER-GESELLSCHAFT ZUR FOERDERUNG DER ANGEWANDTEN FORSCHUNG E.V. |
10903561 | Semiconductor device package and method of manufacturing the same | -- |
10903562 | Batteries as antenna for device | MICROSOFT TECHNOLOGY LICENSING, LLC |
10903563 | Communication device | -- |
10903564 | Communication apparatus | -- |
10903565 | Architectures and methods for novel antenna radiation optimization via feed repositioning | SPATIAL DIGITAL SYSTEMS, INC. |
10903566 | Electronic device antennas for performing angle of arrival detection | APPLE INC. |
10903567 | Calibrating a phased array system | INFINEON TECHNOLOGIES AG |
10903568 | Electrochromic reflectarray antenna | NOKIA TECHNOLOGIES OY |
10903569 | Reconfigurable radial waveguides with switchable artificial magnetic conductors | HUAWEI TECHNOLOGIES CO., LTD. |
10903570 | Apparatus and method for matching antenna impedance in wireless communication system | SAMSUNG ELECTRONICS CO., LTD. |
10903571 | Magnetic field coupling element, antenna device, and electronic equipment | MURATA MANUFACTURING CO., LTD. |
10903572 | Dual resonator for flat panel antennas | KYMETA CORPORATION |
10903573 | Antenna with ferromagnetic rods wound and coupled together | TDF |
10903574 | Low profile antenna—conformal | ANTENUM, INC. |
10903575 | Antenna module | TDK CORPORATION |
10903576 | Antenna assembly and electronic apparatus | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10903577 | Printed wiring board | IBIDEN CO., LTD. |
10903578 | Hybrid MIMO architecture using lens arrays | UNIVERSITY OF SOUTH FLORIDA |
10903579 | Sheet-type metamaterial and sheet-type lens | NATIONAL UNIVERSITY CORPORATION TOKYO UNIVERSITY OF AGRICULTURE AND TECHNOLOGY |
10903580 | Multi-band, dual-polarization reflector antenna | VIASAT INC. |
10903581 | Fixing structure to enhance the mechanical reliability of plate slot array antenna based on SIW technology | HONEYWELL INTERNATIONAL INC. |
10903582 | Antenna array and communications device | HUAWEI TECHNOLOGIES CO., LTD. |
10903583 | Nonplanar metamaterial polarizer and antenna system | BAE SYSTEMS INFORMATION AND ELECTRONIC SYSTEMS INTEGRATION INC. |
10903584 | Dipole antenna arrays | TEXAS INSTRUMENTS INCORPORATED |
10903585 | Antenna array | GALTRONICS USA, INC. |
10903587 | Resistance welding fastener, apparatus and methods | HOWMET AEROSPACE INC. |
10903588 | Dual contact bent IDCC header pin and two-thickness IDCC header pin | J.S.T. CORPORATION |
10903589 | Radio frequency optical acoustic communication modem | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
10903590 | Dual in-line memory module (DIMM) connector retention apparatus | VIAVI SOLUTIONS INC. |
10903591 | Electrical connector | -- |
10903592 | Memory card and electronic apparatus including the same | SAMSUNG ELECTRONICS CO., LTD. |
10903593 | Off the module cable assembly | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903594 | Board-to-board connector assembly for add-in cards | TE CONNECTIVITY CORPORATION |
10903595 | Electronic device | KABUSHIKI KAISHA TOSHIBA |
10903596 | Electrical connector having a plurality of restraints | -- |
10903597 | Connector | AUTONETWORKS TECHNOLOGIES, LTD. |
10903598 | Connector | SUMITOMO WIRING SYSTEMS, LTD. |
10903599 | Cable sealing and arrangement with a housing | AUTO-KABEL MANAGEMENT GMBH |
10903600 | Sealed plug-in connector | HIRSCHMANN AUTOMOTIVE GMBH |
10903601 | Textile device configured to cooperate with an electronic device and electronic device thereof | BIOSERENITY |
10903602 | Coaxial cable connector | -- |
10903603 | Electrical connector | ROSENBERGER HOCHFREQUENZTECHNIK GMBH |
10903604 | Connector with a housing that restricts excessive deflection of the lock arm | SUMITOMO WIRING SYSTEMS, LTD. |
10903605 | Connector with a retainer operable by a tool | SUMITOMO WIRING SYSTEMS, LTD. |
10903606 | Anti-theft structure for electronic control unit | HYUNDAI MOBIS CO., LTD. |
10903607 | Electronic unit | YAZAKI CORPORATION |
10903608 | Multi-directional motion monitoring of plugged electrical connector | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903609 | Connector | FUJITSU COMPONENT LIMITED |
10903610 | Self-lock structure of Ethernet connector for vehicle | AMPHENOL EAST ASIA ELECTRONIC TECHNOLOGY (SHEN ZHEN) CO., LTD. |
10903611 | Joint puller for busway assembly | EATON INTELLIGENT POWER LIMITED |
10903612 | Dock device with integrated clamp | AMAZON TECHNOLOGIES, INC. |
10903613 | Resin bonded carbonaceous brush and method of manufacturing the same | TOTAN KAKO CO., LTD. |
10903614 | Method and device for sealing contact points at electrical line connections | PKC SEGU SYSTEMELEKTRIK GMBH |
10903615 | Installation tool and method for installing electrical connector | TYCO ELECTRONICS (SHANGHAI) CO. LTD. |
10903616 | Laser processing machine | -- |
10903617 | Compact laser cavity and methods of manufacture | ARETE ASSOCIATES |
10903618 | Fixture assembly for testing edge-emitting laser diodes and testing apparatus having the same | -- |
10903619 | Semiconductor package | MITSUBISHI ELECTRIC CORPORATION |
10903620 | Semiconductor device, and method for manufacturing semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
10903621 | Circuit for driving a laser and method therefor | ARGO AI, LLC |
10903622 | Rigid high power and high speed lasing grid structures | OPTIPULSE INC. |
10903623 | Method and structure for manufacturable large area gallium and nitrogen containing substrate | SORAA LASER DIODE, INC. |
10903624 | Semiconductor laser element | NICHIA CORPORATION |
10903625 | Manufacturable laser diode formed on c-plane gallium and nitrogen material | SORAA LASER DIODE, INC. |
10903626 | Spark plug for increasing combustion speed of gasoline engine | HYUNDAI MOTOR COMPANY |
10903628 | Method for manufacturing spark plug | NGK SPARK PLUG CO., LTD. |
10903630 | Electrical power supply structures | SUPERIOR TRAY SYSTEMS INC. |
10903631 | Device and method for manipulating an inner conductor | KOMAX HOLDING AG |
10903636 | Electrical wall plate with accessory support | -- |
10903638 | Cable joint and method for mutually connecting a first cable end and a second cable end | LEIA B.V. |
10903642 | Arrangement, system, and method of interrupting current | SCIBREAK AB |
10903643 | Semiconductor current cutoff device including a semiconductor switch and a mechanical switch | MERSEN FRANCE SB SAS |
10903646 | Electrostatic protection circuit | HUAWEI TECHNOLOGIES CO., LTD. |
10903647 | Surge protection device | PHOENIX CONTACT GMBH & CO. KG |
10903653 | Voltage agnostic power reactor | SMART WIRES INC. |
10903660 | Wireless connector system circuit | NUCURRENT, INC. |
10903663 | Balancing circuit for an ultracapacitor module | AVX CORPORATION |
10903670 | Accessories with battery charger interface and battery pack interface | BLACK & DECKER INC. |
10903683 | UPS maintenance bypass systems using mode signaling | EATON INTELLIGENT POWER LIMITED |
10903688 | Wireless electrical energy transmission system with repeater | NUCURRENT, INC. |
10903690 | Current sharing apparatus for wireless power transfer | -- |
10903693 | Multiple interleaved coil structures for wireless power transfer | CHARGEDGE, INC. |
10903694 | Wireless power transmission device | GE HYBRID TECHNOLOGIES, LLC |
10903696 | Rectifier and rectenna device | MITSUBISHI ELECTRIC CORPORATION |
10903700 | Dust core, stator core, and stator | SUMITOMO ELECTRIC SINTERED ALLOY, LTD. |
10903710 | Producing method for electrical insulating structure, electrical insulating structure and rotating electrical machine | TOSHIBA MITSUBISHI-ELECTRIC INDUSTRIAL SYSTEMS CORPORATION |
10903726 | Stator and rotary electric machine | HONDA MOTOR CO., LTD. |
10903729 | Manufacturing coils for an axial flux rotating electrical machine | MAXXWELL MOTORS, INC. |
10903781 | Solar power system for marine dock | DESIGNER DIRECT, INC. |
10903788 | Grounding clamps | A.K. STAMPING COMPANY, INC. |
10903811 | Coaxial RF filter with discoidal capacitor | AVX CORPORATION |
10903812 | Trap filter and filter circuit | MURATA MANUFACTURING CO., LTD. |
10903833 | Continuously correcting capacitor switch controller system and method | VALQUEST SYSTEMS, INC. |
10903877 | Initial access procedure for multi-beam operation | -- |
10903879 | Wireless communications device | MICROSOFT TECHNOLOGY LICENSING, LLC |
10903883 | Antennas selection based on sensors | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10903885 | Providing communications coverage using hybrid analog/digital beamforming | RKF ENGINEERING SOLUTIONS LLC |
10903893 | Radio wave measurement device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10903906 | Re-generation and re-transmission of millimeter waves for building penetration using dongle transceivers | NXGEN PARTNERS IP, LLC |
10903915 | System for generating accurate reference signals for time-of-arrival based time synchronization | ZAINAR, INC. |
10903918 | Cognitive HF radio with tuned compact antenna | ARIZONA BOARD OF REGENTS ON BEHALF OF THE UNIVERSITY OF ARIZONA |
10904032 | Multi-use optical data, powerline data, and ground power interface for an airplane | THE BOEING COMPANY |
10904040 | Channel estimation in communications | NOKIA SOLUTIONS AND NETWORKS OY |
10904306 | Personal media streaming appliance system | SPOTIFY AB |
10904364 | X2 protocol programmability | PARALLEL WIRELESS, INC. |
10904370 | Handheld electronic device | APPLE INC. |
10904371 | Mobile terminal | LG ELECTRONICS INC. |
10904391 | Visual interfaces for telephone calls | 8X8, INC. |
10904472 | Transmission system | KABUSHIKI KAISHA TOSHIBA |
10904650 | Handle component, portable electronic device, and speaker device | YAMAHA CORPORATION |
10904652 | Earbud case with insert | APPLE INC. |
10904779 | Antenna structure, antenna device and wireless localization method | -- |
10904860 | Supporting or performing distribution of a paging message | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
10904958 | Induction cooking hob | WHIRLPOOL CORPORATION |
10904971 | Optical apparatus using multi-wavelength light | SAMSUNG ELECTRONICS CO., LTD. |
10904996 | Substrate support with electrically floating power supply | APPLIED MATERIALS, INC. |
10904997 | Printed circuit board, optical module, and optical transmission equipment | LUMENTUM JAPAN, INC. |
10904998 | System and method for via optimization in a printed circuit board | DELL PRODUCTS L.P. |
10904999 | Electronic apparatus, camera apparatus, and shield chassis | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10905000 | Display device and method of manufacturing electronic device using the same | SAMSUNG DISPLAY CO., LTD. |
10905001 | Accessory device comprising printed circuit board having flexible structure | SAMSUNG ELECTRONICS CO., LTD. |
10905004 | Interconnectable circuit boards | METROSPEC TECHNOLOGY, L.L.C. |
10905006 | Textile electronic device for smart clothing | BIOSERENITY |
10905009 | Display module and display device including the same | SAMSUNG DISPLAY CO., LTD. |
10905010 | Connecting arrangement and corresponding method for mounting an electronic component on a printed circuit board | ROBERT BOSCH GMBH |
10905011 | State detecting device | TDK CORPORATION |
10905018 | Television enclosures and related systems and methods | WIREPATH HOME SYSTEMS, LLC |
10905019 | Display device | LG ELECTRONICS INC. |
10905020 | Electronic device | -- |
10905021 | Electronic apparatus | TOSHIBA MEMORY CORPORATION |
10905022 | Method of manufacturing clad metal casing | -- |
10905023 | Harsh environment key panel and bezel structures | ROCKWELL COLLINS, INC. |
10905024 | Expansion card holding mechanism with a flow-guiding through hole aligned with a vent of a flow guiding structure in a device casing | INVENTEC (PUDONG) TECHNOLOGY CORPORATION |
10905025 | Interconnection module and server rack | FACEBOOK, INC. |
10905026 | Integration cell for seismic electronic equipment rack and method of transporting electronic equipment units thereby | ARA USA, LLC |
10905027 | Underground equipment vault system | -- |
10905028 | Structure for eliminating the impact of cold plate fouling | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10905029 | Cooling structure for electronic boards | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10905030 | Liquid-cooling within an air-cooled facility | FACEBOOK, INC. |
10905031 | Universal cooling baseboard module | BAIDU USA LLC |
10905032 | System and method for heat dissipation of storage device using movable fans | EMC IP HOLDING COMPANY LLC |
10905033 | Liquid-cooled electric drive component, powertrain, vehicle and method | SIEMENS AKTIENGESELLSCHAFT |
10905034 | Receiving device and method for production | BOMBARDIER PRIMOVE GMBH |
10905035 | Crossflow heat-exchangers | AMSCREEN GROUP LIMITED |
10905036 | Display cooler and display device using same | LG ELECTRONICS INC. |
10905037 | Electronic device having interference shielding structure | SAMSUNG ELECTRONICS CO., LTD. |
10905038 | Electromagnetic interference (“EMI”) sheet attenuators | GOOGLE LLC |
10905039 | Shielded conductive path and shielding pipe | SUMITOMO WIRING SYSTEMS, LTD. |
10905040 | Component feeder | FUJI CORPORATION |
10905041 | Methods for attachment and devices produced using the methods | ALPHA ASSEMBLY SOLUTIONS INC. |
10905211 | Case for a tablet shaped computer | STM MANAGEMENT PTY LTD. |
10905213 | Protective case for portable electronic device | OTTER PRODUCTS, LLC |
10905332 | Method of making a bone oxygenation measurement probe | VIOPTIX, INC. |
10905871 | Lead assemblies with arrangements to confirm alignment between terminals and contacts | BOSTON SCIENTIFIC NEUROMODULATION CORPORATION |
10905888 | Electrical connection for an AIMD EMI filter utilizing an anisotropic conductive layer | GREATBATCH LTD. |
10906028 | Synthesis of transition-metal adamantane salts and oxide nanocomposites, and systems and methods including the salts or the nanocomposites | SAUDI ARABIAN OIL COMPANY |
10906057 | Liquid-dispensing system, apparatus and method | INFINEON TECHNOLOGIES AG |
10906066 | Appartuses and processes for producing optical effect layers comprising oriented non-spherical magnetic or magnetizable pigment particles | SICPA HOLDING SA |
10906072 | Inspection device for inspecting a cable end of a cable and a method for cleaning | KOMAX HOLDING AG |
10906082 | Laminated core manufacturing apparatus and laminated core manufacturing method | JFE STEEL CORPORATION |
10906123 | Quick disconnect torch handle | THE ESAB GROUP INC. |
10906134 | Grain-oriented electrical steel sheet | NIPPON STEEL CORPORATION |
10906141 | Method for manufacturing device temperature control device and method for filling working fluid | DENSO CORPORATION |
10906163 | Power tool | MAKITA CORPORATION |
10906171 | Motor unit and robot | SEIKO EPSON CORPORATION |
10906173 | Smart cabinet | ZEZHI INTELLECTUAL PROPERTY SERVICE |
10906220 | Method for producing a luminescent 3D radar module cover, and injection-molding system | ROBERT BOSCH GMBH |
10906274 | Laminate substrate with sintered components | QORVO US, INC. |
10906382 | Roof construction for a vehicle and a semi-transparent photo voltaic panel therein | INALFA ROOF SYSTEMS GROUP B.V. |
10906405 | Power converter for railroad vehicle | FUJI ELECTRIC CO., LTD. |
10906407 | Compact inverter and motor vehicle comprising such an inverter | ACILTEK |
10906416 | Contact apparatus and charging contact unit and method for electrically connecting a vehicle to a charging station | SCHUNK TRANSIT SYSTEMS GMBH |
10906419 | Electrical charging system for a robot | -- |
10906455 | Lever switch mounted on a vehicle | TOYO DENSO KABUSHIKI KAISHA |
10906490 | Cover assembly | NISSAN NORTH AMERICA, INC. |
10906652 | Thermal management systems for unmanned aerial vehicles | INTEL CORPORATION |
10906803 | Planar cavity MEMS and related structures, methods of manufacture and design structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10906809 | Ozone generator with position-dependent discharge distribution | XYLEM IP MANAGEMENT S.à R.L. |
10906811 | Composition comprising optically and electronically active phosphorene | NORTHWESTERN UNIVERSITY |
10906839 | Low temperature cofired ceramic material, ceramic sintered body, and ceramic electronic component | MURATA MANUFACTURING CO., LTD. |
10907030 | Process for mitigation of whisker growth on a metallic substrate | BAE SYSTEMS CONTROLS INC. |
10907031 | Composite materials systems containing carbon and resin | LYTEN, INC. |
10907041 | Polyether polymer composition and sheet | ZEON CORPORATION |
10907081 | Rare-earth regenerator material particles, and group of rare-earth regenerator material particles, refrigerator and measuring apparatus using the same, and method for manufacturing the same | KABUSHIKI KAISHA TOSHIBA |
10907095 | Luminophore mixtures for use in dynamic lighting systems | LITEC-VERMOGENSVERWALTUNGSGESELLSCHAFT MBH |
10907234 | Grain-oriented electrical steel sheet and decarburized steel sheet used for manufacturing the same | NIPPON STEEL CORPORATION |
10907246 | Film-forming apparatus, method for producing film-formed product using same, and cooling panel | KOBE STEEL, LTD. |
10907252 | Horizontal heat choke faceplate design | APPLIED MATERIALS, INC. |
10907268 | Method for producing multi-layer bus bar unit | SUNCALL CORPORATION |
10907387 | Push button device with push actuation with improved kinematics for application in a vehicle | ILLINOIS TOOL WORKS INC. |
10907470 | Copper taped cable | HALLIBURTON ENERGY SERVICES, INC. |
10907532 | Controlled spark ignited flame kernel flow in fuel-fed prechambers | WOODWARD. INC. |
10907562 | Method and controller for controlling a switch valve | VITESCO TECHNOLOGIES GMBH |
10907605 | Ignition apparatus having a spring for electrically connecting a spark plug | BAYERISCHE MOTOREN WERKE AKTIENGESELLSCHAFT |
10907656 | Silent airflow generation equipment | -- |
10907670 | Clamp for standing seam | -- |
10907729 | Wire-based transmission shifter with integrated electrical switch control | GHSP, INC. |
10907784 | Multiple functions LED night light | -- |
10907816 | Connector, connector mounting board, illumination device, and display device | SAKAI DISPLAY PRODUCTS CORPORATION |
10907907 | Heat dissipation structure | -- |
10907928 | Electromagnetic rifle with spin-stabilized projectile | HONEYWELL FEDERAL MANUFACTURING & TECHNOLOGIES, LLC |
10907955 | Three-dimensional imager | FARO TECHNOLOGIES, INC. |
10907966 | Solid state ring laser gyroscope having a primary cavity and a pumping cavity | HONEYWELL INTERNATIONAL INC. |
10908000 | Antifouling accessory for field deployed sensors and instruments | YSI, INC. |
10908026 | System and method for calculating the spectral phase of laser pulses | SPHERE ULTRAFAST PHOTONICS, S.A. |
10908030 | Sensor element and method for producing a sensor element | EPCOS AG |
10908038 | Stretchable, conductive interconnect and/or sensor and method of making the same | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
10908082 | Gas analyzer | YOKOGAWA ELECTRIC CORPORATION |
10908104 | Radiation analysis apparatus | HITACHI HIGH-TECH SCIENCE CORPORATION |
10908135 | Quality control reagents and methods | PROMEGA CORPORATION |
10908165 | Method for determining whether a peptide comprises aspartate or isoaspartate | PURDUE RESEARCH FOUNDATION |
10908182 | Electrical connecting apparatus and contact | KABUSHIKI KAISHA NIHON MICRONICS |
10908183 | Active probe powered through driven coax cable | NATIONAL INSTRUMENTS CORPORATION |
10908185 | High-voltage lead-in insulating device | ORMAZABAL PROTECTION & AUTOMATION, S.L.U. |
10908201 | Detection device for measuring antenna | -- |
10908215 | Monitoring unit for monitoring an electrical circuit breaker and circuit breaker comprising such a monitoring unit | SCHNEIDER ELECTRIC INDUSTRIES SAS |
10908216 | Device for measuring a condition of an electric switch, electric switch and method for measuring a condition of an electric switch | EPCOS AG |
10908254 | Traveling-wave imaging manifold for high resolution radar system | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
10908270 | Portable ultrasound imaging system with active cooling | FUJIFILM SONOSITE, INC. |
10908328 | Retroreflectors | APPLE INC. |
10908355 | Wave plate and divided prism member | HAMAMATSU PHOTONICS K.K. |
10908376 | Conduits with capillary structures | DOW GLOBAL TECHNOLOGIES LLC |
10908382 | Lens driving unit, and a camera module and an optical appliance including the same | LG INNOTEK CO., LTD. |
10908429 | Extreme ultraviolet light generation system, laser beam size controlling method, and electronic device manufacturing method | GIGAPHOTON INC. |
10908448 | Display apparatus | INTERFACE TECHNOLOGY (CHENGDU) CO., LTD. |
10908487 | Light emitting device and projector | SEIKO EPSON CORPORATION |
10908499 | Two-dimensional conformal optically-fed phased array and methods of manufacturing the same | PHASE SENSITIVE INNOVATIONS, INC. |
10908631 | Knob assembly and cooking apparatus including a knob assembly | LG ELECTRONICS INC. |
10908647 | Display device and electronic device having same | SAMSUNG ELECTRONICS CO., LTD. |
10908649 | Interchangeable housing component for portable computing devices | MICROSOFT TECHNOLOGY LICENSING, LLC |
10908657 | Methods and systems for thermal control | PENSANDO SYSTEMS INC. |
10908658 | System and method for cooling computing devices within a facility | CORE SCIENTIFIC, INC. |
10908706 | Rechargeable electronic pen | WACOM CO., LTD. |
10908730 | Touch panel | JAPAN DISPLAY INC. |
10908746 | Window for display device with improved impact resistance, method for fabricating the same and display device including the same | SAMSUNG DISPLAY CO., LTD. |
10909338 | Radio frequency communication guiding device | HONG KONG R&D CENTRE FOR LOGISTICS AND SUPPLY CHAIN MANAGEMENT ENABLING TECHNOLOGIES LIMITED |
10909430 | Card tray of electrical connector assembly having a front surface feature for increasing a withdrawn travel distance | FOXCONN (KUNSHAN) COMPUTER CONNECTOR CO., LTD. |
10909438 | Passive RFID temperature sensors with liquid crystal elastomers | THE FLORIDA INTERNATIONAL UNIVERSITY BOARD OF TRUSTEES |
10909440 | RFID tag with integrated antenna | TEXAS INSTRUMENTS INCORPORATED |
10909823 | Home security light bulb adapter | HEIDI BEAR ENTERPRISES, LLC |
10910019 | Retractable guide features for data storage device carriers | WESTERN DIGITAL TECHNOLOGIES, INC. |
10910124 | Manufacturing method of foldable transparent electrode based on fiber, and foldable transparent electrode based on fiber therefrom | INDUSTRIAL COOPERATION FOUNDATION CHONBUK NATIONAL UNIVERSITY |
10910125 | Aluminum alloy wire, aluminum alloy strand wire, covered electrical wire, and terminal-equipped electrical wire | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
10910126 | Aluminum alloy wire, aluminum alloy strand wire, covered electrical wire, and terminal-equipped electrical wire | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
10910127 | Highly twinned, oriented polycrystalline diamond film and method of manufacture thereof | II-VI DELAWARE, INC. |
10910128 | Rodent repellent fiber optic cable | STICK-IN-THE-MUD, LLC |
10910129 | Method of manufacturing a rodent repellent cable | STICK-IN-THE-MUD, LLC |
10910130 | Corrosion-resistant terminal material, corrosion-resistant terminal, and wire-end structure | MITSUBISHI MATERIALS CORPORATION |
10910131 | Metal-clad multi-circuit electrical cable assembly | ENCORE WIRE CORPORATION |
10910133 | Linear shape member and producing method therefor | HITACHI METALS, LTD. |
10910134 | Electrical device comprising an insulating film | VALEO SIEMENS EAUTOMOTIVE FRANCE SAS |
10910135 | Surge arrester and associated manufacturing method | ABB POWER GRIDS SWITZERLAND AG |
10910136 | Semiconductor device, in-vehicle valve system and solenoid driver | RENESAS ELECTRONICS CORPORATION |
10910137 | Electromagnetic positioning system and operating method | ETO MAGNETIC GMBH |
10910138 | Gas-insulated electrical apparatus, in particular gas-insulated transformer or reactor | ABB POWER GRIDS SWITZERLAND AG |
10910139 | Compact common mode choke with differential noise suppression and high self resonant frequency | UNIVERSAL LIGHTING TECHNOLOGIES, INC. |
10910140 | Matrix transformer and winding structure | VIRGINIA TECH INTELLECTUAL PROPERTIES, INC. |
10910141 | Coil component | TAIYO YUDEN CO., LTD. |
10910142 | Air core coupled inductors and associated systems and methods | VOLTERRA SEMICONDUCTOR LLC |
10910143 | Winding-type coil component | MURATA MANUFACTURING CO., LTD. |
10910144 | Common mode filter | TDK CORPORATION |
10910145 | Chip electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10910146 | Three-phase reactor including vibration suppressing structure part | FANUC CORPORATION |
10910147 | Reactor and method for manufacturing reactor | AUTONETWORKS TECHNOLOGIES, LTD. |
10910148 | Transformer having noise reducing means | HYOSUNG HEAVY INDUSTRIES CORPORATION |
10910149 | Systems and methods for reducing undesired eddy currents | TAE TECHNOLOGIES, INC. |
10910150 | Reconfigurable coupled inductor | INTEL CORPORATION |
10910151 | Device for the contact-free transfer of electrical energy into a moving system of a shifting device | SCHNEEBERGER HOLDING AG |
10910152 | Laminated core manufacturing apparatus and laminated core manufacturing method | JFE STEEL CORPORATION |
10910153 | Superparamagnetic iron cobalt alloy and silica nanoparticles of high magnetic saturation and a magnetic core containing the nanoparticles | TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC. |
10910154 | Winding apparatus and coil component manufacturing method | MURATA MANUFACTURING CO., LTD. |
10910155 | Electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10910156 | Power storage module | AUTONETWORKS TECHNOLOGIES, LTD. |
10910157 | Electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10910158 | Capacitor and method for fabricating the same | SHENZHEN WEITONGBO TECHNOLOGY CO., LTD. |
10910159 | Edder compound and capacitor thereof | CAPACITOR SCIENCES INCORPORATED |
10910160 | Capacitor module having rounded rectangular prism-shaped capacitor elements | TOYOTA JIDOSHA KABUSHIKI KAISHA |
10910161 | Capacitor component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10910162 | Multilayer ceramic electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10910163 | Multilayer electronic component and board having the same mounted thereon | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10910164 | Biaxially stretched polypropylene film for capacitors, metallized film, and capacitor | OJI HOLDINGS CORPORATION |
10910165 | Process, a structure, and a supercapacitor | UNIVERSITY OF TECHNOLOGY SYDNEY |
10910166 | Printable composition for an ionic gel separation layer for energy storage devices | PRINTED ENERGY PTY LTD |
10910167 | Electrochemical device and method for manufacturing same | TDK CORPORATION |
10910168 | Electronic device | SAMSUNG DISPLAY CO., LTD. |
10910169 | Apparatus, system, and method for providing an electrical notification system | -- |
10910170 | Electronic device and electronic device manufacturing method | SAMSUNG ELECTRONICS CO., LTD. |
10910171 | Switch device and rubber contact | KABUSHIKI KAISHA TOKAI RIKA DENKI SEISAKUSHO |
10910172 | Thin photoelectric mechanical keyboard switch | -- |
10910173 | Button restoring mechanism and electronic device having same | HONGFUJIN PRECISION ELECTRONICS (ZHENGZHOU) CO., LTD. |
10910174 | Push-button switch | OMRON CORPORATION |
10910175 | Key structure | LITE-ON ELECTRONICS (GUANGZHOU) LIMITED |
10910176 | Control device configured to provide visual feedback | LUTRON TECHNOLOGY COMPANY LLC |
10910177 | Knife switch | XUJI (XIAMEN) INTELLIGENT SWITCHGEAR MANUFACTURING CO., LTD. |
10910178 | Particle extraction system for an interrupter | ABB POWER GRIDS SWITZERLAND AG |
10910179 | Vacuum circuit breaker with improved configuration | TAVRIDA ELECTRIC HOLDING AG |
10910180 | Actuator | DAICEL CORPORATION |
10910181 | Projectile assembly and electric circuit breaker device | DAICEL CORPORATION |
10910182 | Contactor coil control circuit | MORNSUN GUANGZHOU SCIENCE & TECHNOLOGY CO., LTD. |
10910183 | Power supply control device, power supply control method, and computer program | AUTONETWORKS TECHNOLOGIES, LTD. |
10910184 | Sealed relay | MEIDENSHA CORPORATION |
10910185 | Method for the fabrication of electron field emission devices including carbon nanotube electron field emission devices | CORPORATION FOR NATIONAL RESEARCH INITIATIVES |
10910186 | Ion generation device with brush-like discharge electrodes | SHARP KABUSHIKI KAISHA |
10910187 | X-ray tube cathode flat emitter support mounting structure and method | GENERAL ELECTRIC COMPANY |
10910188 | Radiation anode target systems and methods | VARIAN MEDICAL SYSTEMS, INC. |
10910189 | Portable accelerator based X-ray source for active interrogation systems | EUCLID BEAMLABS, LLC |
10910190 | X-ray tube | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
10910191 | X-ray tube and X-ray generation device | HAMAMATSU PHOTONICS K.K. |
10910192 | Ion source, ion implantation apparatus, and ion source operating method | ULVAC, INC. |
10910193 | Particle detection assembly, system and method | EL-MUL TECHNOLOGIES LTD. |
10910194 | Charged particle beam device and optical-axis adjusting method thereof | HITACHI HIGH-TECH CORPORATION |
10910195 | Substrate support with improved process uniformity | LAM RESEARCH CORPORATION |
10910196 | Mode-switching plasma systems and methods of operating thereof | TOKYO ELECTRON LIMITED |
10910197 | Impedance matching network model based correction scheme and performance repeatability | MKS INSTRUMENTS, INC. |
10910198 | Spacecraft propulsion devices and systems with microwave excitation | MOMENTUS INC. |
10910199 | Method of controlling an adjustable nozzle and method of making a semiconductor device | -- |
10910200 | Plasma processing apparatus and precoating method | TOKYO ELECTRON LIMITED |
10910201 | Synthetic wavelengths for endpoint detection in plasma etching | TOKYO ELECTRON LIMITED |
10910202 | Plasma sensing device, plasma monitoring system and method of controlling plasma processes | SAMSUNG ELECTRONICS CO., LTD. |
10910203 | Rate enhanced pulsed DC sputtering system | ADVANCED ENERGY INDUSTRIES, INC. |
10910204 | Cleanliness monitor and a method for monitoring a cleanliness of a vacuum chamber | APPLIED MATERIALS ISRAEL LTD. |
10910205 | Categorization data manipulation using a matrix-assisted laser desorption/ionization time-of-flight mass spectrometer | HIGHLAND INNOVATIONS INC. |
10910207 | Ion modification | SMITHS DETECTION-WATFORD LIMITED |
10910208 | Systems and approaches for semiconductor metrology and surface analysis using secondary ion mass spectrometry | NOVA MEASURING INSTRUMENTS, INC. |
10910209 | MALDI-TOF mass spectrometers with delay time variations and related methods | BIOMERIEUX, INC. |
10910210 | Ultraviolet sterilizer | USHIO DENKI KABUSHIKI KAISHA |
10910211 | Electrical potential energy to electrical kinetic energy converter, ozone generator, and light emitter | SANTA CLARA |
10910212 | Materials and optical components for color filtering in a lighting apparatus | SAVANT TECHNOLOGIES, LLC |
10910214 | Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium | KOKUSAI ELECTRIC CORPORATION |
10910222 | Method for manufacturing semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
10910226 | Method of producing a semiconductor laser and semiconductor laser | OSRAM OLED GMBH |
10910227 | Bottom and side plasma tuning having closed loop control | APPLIED MATERIALS, INC. |
10910228 | Surface treatment of carbon containing films using organic radicals | MATTSON TECHNOLGOY, INC. |
10910232 | Copper plasma etching method and manufacturing method of display panel | SAMSUNG DISPLAY CO., LTD. |
10910242 | Temperature controller for manufacturing semiconductor | -- |
10910246 | Hold checking method and unhold checking method for wafer | DISCO CORPORATION |
10910248 | Electronic component mounting apparatus | SHINKAWA LTD. |
10910271 | Wafer dicing using femtosecond-based laser and plasma etch | APPLIED MATERIALS, INC. |
10910304 | Tight pitch wirings and capacitor(s) | GLOBALFOUNDRIES U.S. INC. |
10910305 | Microelectronic devices designed with capacitive and enhanced inductive bumps | INTEL CORPORATION |
10910321 | Semiconductor device and method of making the same | -- |
10910329 | Semiconductor package device and method of manufacturing the same | -- |
10910449 | Electronic device and manufacturing method for same | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10910514 | Molded etch masks | FACEBOOK TECHNOLOGIES, LLC |
10910516 | Optoelectronic semiconductor body and method for producing an optoelectronic semiconductor body | OSRAM OLED GMBH |
10910555 | Magnetic memory element incorporating perpendicular enhancement layer | AVALANCHE TECHNOLOGY, INC. |
10910556 | Magnetic and spin logic devices based on Jahn-Teller materials | INTEL CORPORATION |
10910557 | Apparatus and methods of fabricating a magneto-resistive random access memory (MRAM) device | APPLIED MATERIALS, INC. |
10910588 | Display module and display device comprising the same | SAMSUNG DISPLAY CO., LTD. |
10910616 | Battery pack for vehicle and vehicle comprising battery pack | LG CHEM, LTD. |
10910621 | Electrical modules with bus bar locating and separating features | FORD GLOBAL TECHNOLOGIES, LLC |
10910634 | Electroactive ionic liquids and surface-modified substrates containing them | -- |
10910638 | Method of making hard carbon materials | FARAD POWER, INC |
10910688 | Dielectric phase shifting unit, dielectric phase shifter and base station antenna | COMBA TELECOM TECHNOLOGY (GUANGZHOU) LIMITED |
10910690 | Directional coupler | MURATA MANUFACTURING CO., LTD. |
10910691 | Multiple input multiple output antenna devices | THE FLORIDA INTERNATIONAL UNIVERSITY BOARD OF TRUSTEES |
10910692 | In-glass high performance antenna | TAOGLAS GROUP HOLDINGS LIMITED |
10910693 | 5G-enabled integrated roofing accessory and methods of use thereof | BUILDING MATERIALS INVESTMENT CORPORATION |
10910694 | Radio frequency identification (RFID) tag device and related methods | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10910695 | On-chip antenna | TDK CORPORATION |
10910696 | Mobile device | -- |
10910697 | Mobile terminal | LG ELECTRONICS INC. |
10910698 | Mobile device and antenna structure | -- |
10910699 | Slim triple band antenna array for cellular base stations | COMMSCOPE TECHNOLOGIES LLC |
10910700 | Omnidirectional antenna for mobile communication service | KMW INC. |
10910701 | Low-profile, impedance-robust radio antenna | BODYCAP |
10910702 | Active electronically steered array for satellite communications | AVL TECHNOLOGIES, INC. |
10910703 | Antenna system loaded in vehicle | LG ELECTRONICS INC. |
10910704 | Systems for manufacturing an antenna | A.K. STAMPING COMPANY, INC. |
10910705 | Antenna in package device having substrate stack | TEXAS INSTRUMENTS INCORPORATED |
10910706 | Radar sensor housing design | -- |
10910707 | Transmission device and antenna down-tilt control system | COMBA TELECOM TECHNOLOGY (GUANGZHOU) LIMITED |
10910708 | Antenna device and electronic device comprising antenna | SAMSUNG ELECTRONICS CO., LTD. |
10910709 | Control architecture for electronically scanned array | ROCKWELL COLLINS, INC. |
10910710 | Methods and systems for distortion redirection in phased arrays | MAXLINEAR, INC. |
10910711 | Beamforming calibration | LOON LLC |
10910712 | Active electronically scanned array (AESA) antenna configuration for simultaneous transmission and receiving of communication signals | RAYTHEON COMPANY |
10910713 | Reconfigurable rotational reflectarrays | THE FLORIDA INTERNATIONAL UNIVERSITY BOARD OF TRUSTEES |
10910714 | Configurable power combiner and splitter | QUALCOMM INCORPORATED |
10910715 | Antenna arrangement and a device comprising such an antenna arrangement | PROANT AB |
10910716 | RFID infinity antenna | SATO HOLDINGS CORPORATION |
10910717 | Antenna device | SUMIDA CORPORATION |
10910718 | Transparent antenna and transparent antenna-attached display device | SHARP KABUSHIKI KAISHA |
10910719 | Antenna device and antenna system | -- |
10910720 | Antenna | TDK CORPORATION |
10910721 | Simple and compact filtering dielectric resonator antenna | SOUTH CHINA UNIVERSITY OF TECHNOLOGY |
10910722 | Dielectric resonator antenna having first and second dielectric portions | ROGERS CORPORATION |
10910723 | Planar antenna for digital television | SHENZHEN TUKO TECHNOLOGY CO, LTD. |
10910724 | Trace antennas and circuit board including trace antennas | TAOGLAS GROUP HOLDINGS LIMITED |
10910725 | Dual contra-wound helical antenna for a communication device | MOTOROLA SOLUTIONS, INC. |
10910726 | Slot antenna and terminal | HUAWEI TECHNOLOGIES CO., LTD. |
10910727 | Vivaldi horn antennas incorporating FPS | FRACTAL ANTENNA SYSTEMS, INC. |
10910728 | Structure, antenna, wireless communication module, and wireless communication device | KYOCERA CORPORATION |
10910729 | Conducted OTA test fixture | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
10910730 | Attachable antenna field director for omnidirectional drone antennas | -- |
10910731 | High performance flat panel antennas for dual band, wide band and dual polarity operation | COMMSCOPE TECHNOLOGIES LLC |
10910732 | Collocated end-fire antenna and low-frequency antenna systems, devices, and methods | WISPRY, INC. |
10910733 | Terminal fitting | AUTONETWORKS TECHNOLOGIES, LTD. |
10910734 | Shielded cable with terminal | AUTONETWORKS TECHNOLOGIES, LTD. |
10910736 | Charging inlet | SUMITOMO WIRING SYSTEMS, LTD. |
10910737 | Rail terminal assembling structure | -- |
10910738 | Cable assembly for common mode noise mitigation | COMMSCOPE, INC. OF NORTH CAROLINA |
10910739 | Coaxial cable connector provided with a housing comprising paired crimping pieces | HIROSE ELECTRIC CO., LTD. |
10910740 | Terminal base, triple terminal base, and in-vehicle apparatus | YAZAKI CORPORATION |
10910741 | Connector assembly, connector pair of connector assembly and forming method of connector assembly | JAPAN AVIATION ELECTRONICS INDUSTRY, LIMITED |
10910742 | Terminal connector with better compatibility | LEOCO (SUZHOU) PRECISE INDUSTRIAL CO., LTD. |
10910743 | Electrical assembly and method | LEAR CORPORATION |
10910744 | Vehicular camera having coaxial connector | MAGNA ELECTRONICS INC. |
10910745 | Circuit board connector apparatus | HIROSE ELECTRIC CO., LTD. |
10910746 | Memory and power mezzanine connectors | INTEL CORPORATION |
10910747 | Engagement structure of Ethernet connector for vehicle | AMPHENOL EAST ASIA ELECTRONIC TECHNOLOGY |
10910748 | Cable socket connector assembly for an electronic | TE CONNECTIVITY CORPORATION |
10910749 | Tip connector for fluidic and electrical connection | ECOLE POLYTECHNIQUE FEDERALE DE LAUSANNE (EPFL) |
10910750 | Connector device with guide surface | YAZAKI CORPORATION |
10910751 | Coaxial cable connectors having port grounding | PPC BROADBAND, INC. |
10910752 | Large current terminal and connector | AUTONETWORKS TECHNOLOGIES, LTD. |
10910753 | Connector | AUTONETWORKS TECHNOLOGIES, LTD. |
10910754 | Stacked connector | SUMITOMO WIRING SYSTEMS, LTD. |
10910755 | Connector storage device | -- |
10910756 | Electrical connector having an outer shell with a front portion and a rear portion larger than the front portion | FOXCONN (KUNSHAN) COMPUTER CONNECTOR CO., LTD. |
10910757 | Connector having slidable locking cover | YAZAKI CORPORATION |
10910758 | Electrical connector with guiding feature comprising two ramps | APTIV TECHNOLOGIES LIMITED |
10910759 | Connector | JAPAN AVIATION ELECTRONICS INDUSTRY, LIMITED |
10910760 | Modular connector with a rotatable locking part for engaging with a mating modular connector | -- |
10910761 | Connector having a ferrite and a sealing member | SUMITOMO WIRING SYSTEMS, LTD. |
10910762 | Connector | SUMITOMO WIRING SYSTEMS, LTD. |
10910763 | Assembly for connecting connector with conduit | -- |
10910764 | Coupling connector comprising a slider part | ODU GMBH & CO. KG |
10910765 | Lever-type connector | SUMITOMO WIRING SYSTEMS, LTD. |
10910766 | Connector system | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
10910767 | Floating female socket with self-return function and coaxial connector including such female socket | GOLDENCONN ELECTRONIC TECHNOLOGY CO., LTD |
10910768 | Moveable floating connector | FACEBOOK, INC. |
10910769 | Connector structure with multiple disengagement mechanisms | YAZAKI CORPORATION |
10910770 | High frequency connector with kick-out | FCI USA LLC |
10910771 | Cable fixture and electronic device | FUJITSU LIMITED |
10910772 | Electrical safety system for wet areas | CONDUCTOR HUB PTY LTD |
10910773 | Power conversion device with electric arc suppression | -- |
10910774 | Shielding structure for a contact module of an electrical connector | TE CONNECTIVITY SERVICES GMBH |
10910775 | Drive element with an overload coupler for an electrical connector with a drive and also an electrical connector with such a drive element | TE CONNECTIVITY GERMANY GMBH |
10910776 | Systems and methods using electrical receptacles for integrated power control, communication and monitoring | BRAINWAVE RESEARCH CORPORATION |
10910777 | Charging connection for a motor vehicle | AUDI AG |
10910778 | Conductive coaxial connector | TYCO ELECTRONICS (SHANGHAI) CO. LTD. |
10910779 | Board-to-board electrical connector set having projecting portions and guiding portions | MURATA MANUFACTURING CO., LTD. |
10910780 | Modular electrical system utilizing four wire circuitry | -- |
10910781 | Power plug conversion unit | OLYMPUS CORPORATION |
10910782 | Treatment, before the bonding of a mixed Cu-oxide surface, by a plasma containing nitrogen and hydrogen | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10910783 | Circuit module and method for manufacturing circuit module | YAZAKI CORPORATION |
10910784 | Foldable plug assembly | BAE SYSTEMS PLC |
10910785 | Connector-connecting jig | FANUC CORPORATION |
10910786 | Laser cavity optical alignment | UNIVERSITY OF MARYLAND, COLLEGE PARK |
10910787 | Method for preserving the synchronism of a Fourier Domain Mode Locked (FDML) laser | OPTORES GMBH |
10910789 | Device having a reinforcement layer and method for producing a device | OSRAM OLED GMBH |
10910790 | Semiconductor device package and method for producing same | LG INNOTEK CO., LTD. |
10910791 | Low speckle laser array and image display thereof | XIAMEN SANAN INTEGRATED CIRCUIT CO., LTD. |
10910792 | Hybrid silicon lasers on bulk silicon substrates | SAMSUNG ELECTRONICS CO., LTD. |
10910793 | Low modulation-voltage cryogenic diode structure | HRL LABORATORIES, LLC |
10910794 | Light-emitting device comprising photonic cavity | SAMSUNG ELECTRONICS CO., LTD. |
10910795 | Arrester for surge protection | TDK ELECTRONICS AG |
10910796 | Spark plug | NGK SPARK PLUG CO., LTD. |
10910797 | Insulator arrangement for a spark plug arrangement, and spark plug arrangement | FEDERAL-MOGUL IGNITION GMBH |
10910798 | Apparatus and method for ignition of a plasma system and for monitoring health of the plasma system | MKS INSTRUMENTS, INC. |
10910799 | Connecting device with conical interface and flexible insulator | MITSUBISHI ELECTRIC CORPORATION |
10910804 | Cable management device and coupling assembly for rack system | -- |
10910805 | Adapter for mounting cable hangers | -- |
10910807 | Vehicle-mounted block heater cord extender with extendable arm and wireless warning unit | -- |
10910808 | Cord reel including a conductive polymeric sheath with a conductive EMI drain | KONNECTRONIX, INC. |
10910809 | Attenuation element | LEONI KABEL GMBH |
10910817 | DC circuit breaker | MITSUBISHI ELECTRIC CORPORATION |
10910825 | Input impedance management and leakage current detection | EATON INTELLIGENT POWER LIMITED |
10910828 | Current limiting circuit, DC power supply connector, and DC power source device | SONY CORPORATION |
10910845 | Terminal, power adapter for charging terminal, and charging line for coupling terminal and power adapter | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10910854 | Methods and apparatus for a tablet computer system incorporating a battery charging station | ALFI, INC. |
10910855 | Wearable multifunction power bank | -- |
10910862 | Electromagnetic shielding for wireless power transfer systems | APPLE INC. |
10910864 | Wireless power transmitting device and method | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
10910879 | Passive wireless power adapter | CONVENIENTPOWER HK LIMITED |
10910880 | Power transmission device, power reception device, and wireless power supply system | MURATA MANUFACTURING CO., LTD. |
10910881 | Electronic apparatus | KABUSHIKI KAISHA TOSHIBA |
10910885 | Power transmission-side apparatus | MITSUBISHI ELECTRIC CORPORATION |
10910886 | Heating system with wireless communication function | HITENX (WUXI) TECHNOLOGY CO., LTD. |
10910917 | Compact thermally efficient traction motor inverter | BEIJING E. MOTOR ADVANCE CO. LTD. |
10910927 | Localized induction heat treatment of electric motor components | FORD GLOBAL TECHNOLOGIES, LLC |
10910954 | Power saving technique in detach condition for USB-power delivery with integrated synchronous recitifier controller | CYPRESS SEMICONDUCTOR CORPORATION |
10910987 | Motor control method | TOYOTA JIDOSHA KABUSHIKI KAISHA |
10911014 | Electronic component | MURATA MANUFACTURING CO., LTD. |
10911016 | Wideband balun | ANALOG DEVICES, INC. |
10911022 | Duplexer | SNAPTRACK, INC. |
10911024 | Acoustic wave filter, acoustic wave device, multiplexer, and communication apparatus | KYOCERA CORPORATION |
10911031 | Superconducting circuit for processing input signals | MICROSOFT TECHNOLOGY LICENSING, LLC |
10911076 | Antenna device, communication device, and communication method | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10911078 | Millimeter-scale bluetooth low energy transmitter with dual purpose loop antenna | THE REGENTS OF THE UNIVERSITY OF MICHIGAN |
10911088 | Wireless communication apparatus and structure for mounting communication equipment | NEC CORPORATION |
10911092 | Antenna tuning control using general purpose input/output data | STMICROELECTRONICS (TOURS) SAS |
10911099 | Method and apparatus for communications using electromagnetic waves and an insulator | AT&T INTELLECTUAL PROPERTY I, L.P. |
10911158 | Use of high speed radio frequency protocols for communication with pipeline pigs and inspection tools | TDW DELAWARE, INC. |
10911297 | Patch panel for QSFP+ cable | VAPOR IO INC. |
10911710 | Outdoor television with waterproof structure | KEEWIN DISPLAY CO., LTD. |
10911727 | Image sensor with optical communication capabilities | APPLE INC. |
10911741 | Device for communication, method of operating such device | NOKIA TECHNOLOGIES OY |
10911863 | Illuminated user interface architecture | APPLE INC. |
10911901 | Positioning solution | TELIA COMPANY AB |
10911962 | Dynamic beam steering for vehicle communications | INTEL CORPORATION |
10911963 | Active antenna system | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
10912155 | Heating plate, conductive pattern sheet, vehicle, and method of manufacturing heating plate | DAI NIPPON PRINTING CO., LTD. |
10912165 | Microwave heating device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10912177 | Intelligent lighting control system learning exclusion systems | RACEPOINT ENERGY, LLC |
10912180 | X-ray source apparatus and control method thereof | KOREA UNIVERSITY RESEARCH AND BUSINESS FOUNDATION |
10912181 | Method for detecting high-voltage flashovers in X-ray equipment and X-ray equipment | SIEMENS HEALTHCARE GMBH |
10912186 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
10912187 | Printed board | MITSUBISHI ELECTRIC CORPORATION |
10912188 | High-frequency component | MURATA MANUFACTURING CO., LTD. |
10912189 | Circuit board | -- |
10912194 | Printed circuit board | -- |
10912195 | Multi-embedded radio frequency board and mobile device including the same | THE BOEING COMPANY |
10912196 | Power distribution assembly | GE AVIATION SYSTEMS LIMITED |
10912197 | Display device | SAMSUNG DISPLAY CO., LTD. |
10912201 | Electronic device and production method thereof | STANLEY ELECTRIC CO., LTD. |
10912202 | Method of manufacturing printed circuit board | LG INNOTEK CO., LTD. |
10912203 | Component mounting method and component mounting apparatus | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10912204 | Electronic device and rigid-flexible substrate module | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10912205 | Light-emitting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10912206 | Display device | -- |
10912207 | Display apparatus | TOP VICTORY INVESTMENTS LIMITED |
10912208 | Housing contacting system of a control device | KNORR-BREMSE SYSTEME FUER NUTZFAHRZEUGE GMBH |
10912209 | Medical implant as well as method for the production thereof | CORTEC GMBH |
10912210 | Display device | -- |
10912211 | Flexure for accommodating misalignments in an assembly | RAYTHEON COMPANY |
10912212 | Display device | SHANGHAI TIANMA AM-OLED CO., LTD. |
10912213 | Foldable display device maintaining a folding angle by magnetic force | LG DISPLAY CO., LTD. |
10912214 | Flexible display device | LG ELECTRONICS INC. |
10912215 | Display device | SAMSUNG DISPLAY CO., LTD. |
10912216 | Bidirectional installation module for modular electronic system | CISCO TECHNOLOGY, INC. |
10912217 | Enclosure for electrical equipment | ENCLOSURES UNLIMITED INC. |
10912218 | Corrosion prevention cover for module connector in a network device | CISCO TECHNOLOGY, INC. |
10912219 | Waterproof structure | -- |
10912220 | Protection and assembly of outer glass surfaces of an electronic device housing | APPLE INC. |
10912221 | Detachable carrier and related server apparatus | -- |
10912222 | Cooling system, cooling device, and electronic system | FUJITSU LIMITED |
10912223 | Electric module, electrical system comprising such an electric module, and corresponding production methods | VALEO SIEMENS EAUTOMOTIVE FRANCE SAS |
10912224 | Thermally conductive vibration isolating connector | AMAZON TECHNOLOGIES, INC. |
10912225 | Systems having fluid conduit carriers for holding different portions of a fluid conduit and methods of using the same | LENOVO ENTERPRISE SOLUTIONS (SINGAPORE) PTE. LTD. |
10912226 | Wireless battery charging module | HYUNDAI MOTOR COMPANY |
10912227 | Methods, systems, and assemblies for cooling an electronic component | RENSSELAER POLYTECHNIC INSTITUTE |
10912228 | Data center including self-expanding gap filler for decreasing air leakage between adjacent elements in the data center | TECHNOGUARD INC. |
10912229 | Cooling system for high density racks with multi-function heat exchangers | BAIDU USA LLC |
10912230 | Hybrid multi-function door design for electronic racks | BAIDU USA LLC |
10912231 | Automotive integrated power module and capacitor | FORD GLOBAL TECHNOLOGIES, LLC |
10912232 | Electronic circuit board and power conversion device | MITSUBISHI ELECTRIC CORPORATION |
10912233 | Controller with heat sink clamping plate for enhanced thermal properties | ROCKWELL AUTOMATION TECHNOLOGIES, INC. |
10912234 | Controller with fan motoring and control | ROCKWELL AUTOMATION TECHNOLOGIES, INC. |
10912235 | Motor | NIDEC TOSOK CORPORATION |
10912236 | Monitoring apparatus for a cooling apparatus | DR. ING. H.C. F. PORSCHE AKTIENGESELLSCHAFT |
10912237 | Systems and methods for humidity control in utility scale power inverters | SCHNEIDER ELECTRIC SOLAR INVERTERS USA, INC. |
10912238 | Display apparatus | LG DISPLAY CO., LTD. |
10912239 | Switchable electromagnetic shield | SANKO TEKSTIL ISLETMELERI SAN. VE TIC. A.S. |
10912240 | Setup supporting device, component mounter, setup supporting method | YAMAHA HATSUDOKI KABUSHIKI KAISHA |
10912241 | Component mounting device | YAMAHA HATSUDOKI KABUSHIKI KAISHA |
10912360 | Magnetic pouch attachment mechanism with crash stable locking teeth | FERNO-WASHINGTON, INC. |
10912362 | Portable storage device with power distribution system | -- |
10912448 | Cable connection structure, imaging module, and endoscope | OLYMPUS CORPORATION |
10912477 | Modular cable organization system | PRAGMATIC MEDICAL DEVICES, LLC |
10912488 | Apparatus and method for catheter navigation and tip location | BARD ACCESS SYSTEMS, INC. |
10912636 | Textured surfaces for breast implants | ESTABLISHMENT LABS S.A. |
10912693 | Patient immersion and support surface life determination using RADAR and RFID | HILL-ROM SERVICES, INC. |
10912940 | Connection joints for joining wires and pads constructed of different conductive materials and methods of making the same | ADVANCED BIONICS AG |
10912945 | Hermetic terminal for an active implantable medical device having a feedthrough capacitor partially overhanging a ferrule for high effective capacitance area | GREATBATCH LTD. |
10912953 | Adaptive pencil beam scanning | VARIAN MEDICAL SYSTEMS PARTICLE THERAPY GMBH |
10912966 | Valve assembly | MARIOFF CORPORATION OY |
10912986 | Dynamic rigidity mechanism | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10913011 | Method and system for demulsifier testing | BAKER HUGHES, A GE COMPANY, LLC |
10913045 | Porous microsphere and method for preparing the same | -- |
10913212 | Near-field microwave heating system and method | -- |
10913240 | Interior material having composite layer structure capable of light emission through various logo patterns and method of manufacturing the same | ANYANG-SI |
10913257 | Component produced by additive manufacturing | JOHNSON MATTHEY PUBLIC LIMITED COMPANY |
10913406 | Wire protection pipe and wire harness | SUMITOMO WIRING SYSTEMS, LTD. |
10913424 | Perfected switch | CEV LAB S.R.L. CON UNICO SOCIO |
10913680 | Lead-free glass composition, glass composite material, glass paste, sealing structure, electrical/electronic component and coated component | HITACHI, LTD. |
10913681 | Glass-ceramic article and glass-ceramic for electronic device cover plate | CDGM GLASS CO., LTD. |
10913806 | (Meth)acrylic conductive material | OSAKA ORGANIC CHEMICAL INDUSTRY LTD. |
10913864 | Modified carbon nanotubes and methods of forming carbon nanotubes | BATTELLE MEMORIAL INSTITUTE |
10913865 | Modified metal nanoplate and conductive paste comprising the same | -- |
10913872 | Ethylene-alpha-olefin copolymer-triallyl phosphate composition | DOW GLOBAL TECHNOLOGIES LLC |
10913995 | Pretreatment assembly and method for treating work pieces | STOLLE MACHINERY COMPANY, LLC |
10913997 | Arc evaporation source | KOBE STEEL, LTD. |
10913998 | Magnetically enhanced high density plasma-chemical vapor deposition plasma source for depositing diamond and diamond-like films | IONQUESTCORP. |
10914146 | Micro-controller-based switch assembly for wellbore systems and method | GEODYNAMICS, INC. |
10914155 | Electric powered hydraulic fracturing pump system with single electric powered multi-plunger pump fracturing trailers, filtration units, and slide out platform | U.S. WELL SERVICES, LLC |
10914212 | 48V electrically heated catalyst system for a vehicle | VITESCO TECHNOLOGIES USA, LLC |
10914308 | Crossflow blower apparatus and system | INTEL CORPORATION |
10914329 | Attachment apparatuses and associated methods of use and manufacture | APPLE INC. |
10914403 | Adjustable mounting apparatus | -- |
10914424 | Service device and method for using a multi-component insulating gas during maintenance of electrical switchgear systems | DILO ARMATUREN UND ANLAGEN GMBH |
10914451 | Optical unit and optical apparatus | RICOH COMPANY, LTD. |
10914472 | Oven | SAMSUNG ELECTRONICS CO., LTD. |
10914534 | Directional antennas from fractal plasmonic surfaces | FRACTAL ANTENNA SYSTEMS, INC. |
10914627 | Configurable laser monitor photodiode in optical modulation amplitude controller | SEMTECH CORPORATION |
10914649 | Ionization gauge and cartridge | CANON ANELVA CORPORATION |
10914713 | Systems and methods for pesticide detection using mass spectroscopy | PERKINELMER HEALTH SCIENCES, INC. |
10914757 | Connection module | TERADYNE, INC. |
10914774 | Measurement method and system for increasing the effective size of a quiet zone | ROHDE & SCHWARZ GMBH & CO. KG |
10914802 | Magnetic resonance imaging systems and methods | SYNAPTIVE MEDICAL INC. |
10914818 | Angle-resolving FMCW radar sensor | ROBERT BOSCH GMBH |
10914821 | Calibration and alignment of coherent lidar system | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
10914822 | Dual-laser chip-scale lidar for simultaneous range-doppler sensing | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
10914837 | Object detection systems | ONVECTOR TECHNOLOGIES LLC |
10914842 | Data recovery device for hypersonic vehicles | THE AEROSPACE CORPORATION |
10914867 | Hardcoated glass-ceramic articles | CORNING INCORPORATED |
10914902 | Methods for altering properties of a radiation beam | TERADIODE, INC. |
10914941 | Electromagnetic radiation beam scanning system and method | AVAVA, INC. |
10914942 | Electromagnetic radiation beam scanning system and method | AVAVA, INC. |
10915001 | Light deflector and light output device including the same | SAMSUNG ELECTRONICS CO., LTD. |
10915002 | Optical beam-steering devices and methods utilizing surface scattering metasurfaces | ELWHA LLC |
10915029 | Particle irradiation apparatus, beam modifier device, and semiconductor device including a junction termination extension zone | INFINEON TECHNOLOGIES AG |
10915065 | Image forming apparatus equipped with fan for cooling a plurality of circuit boards | CANON KABUSHIKI KAISHA |
10915074 | Display device | LG ELECTRONICS INC. |
10915084 | Field device switch monitor | ROSEMOUNT INC. |
10915146 | Electronic device, method and apparatus for controlling flexible panel | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
10915153 | Device for mobile device temperature control | -- |
10915181 | Push button switch with operation unit latched to base | OMRON CORPORATION |
10915182 | Keyboard | -- |
10915212 | Conductive film, conductive film having three-dimensional shape, method of producing the same, method of producing stretched film, and touch sensor film | FUJIFILM CORPORATION |
10915687 | Breadboard and electronics experimentation system | JOEBOTICS INCORPORATED |
10916267 | Aluminum alloy substrate for magnetic recording medium and method for manufacturing the same, substrate for magnetic recording medium, magnetic recording medium, and hard disc drive | SHOWA DENKO K.K. |
10916268 | Aluminum alloy substrate for magnetic recording medium and method for manufacturing the same, substrate for magnetic recording medium, magnetic recording medium, and hard disc drive | SHOWA DENKO K.K. |
10916273 | Plugging calibration tool | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916282 | Control of switching trajectory in spin orbit torque devices by micromagnetic configuration | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916286 | Assisted write method for MRAM testing and field applications | -- |
10916357 | Aluminum alloy foil for electrode collector and production method therefor | UACJ CORPORATION |
10916359 | Electric wire conductor, covered electric wire, and wiring harness | AUTONETWORKS TECHNOLOGIES, LTD. |
10916360 | Method for manufacturing an electrical wire | YAZAKI CORPORATION |
10916361 | Oxide superconductor and method for manufacturing the same | KABUSHIKI KAISHA TOSHIBA |
10916362 | Feedthrough device and signal conductor path arrangement | ASML NETHERLANDS B.V. |
10916363 | Cover for an electronic device | APPLE INC. |
10916364 | Tunable inductor arrangement, transceiver, method and computer program | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
10916365 | Reactor and reactor manufacturing method | AUTONETWORKS TECHNOLOGIES, LTD. |
10916366 | Inductor and method of manufacturing the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10916367 | Circuit device and power conversion device | MITSUBISHI ELECTRIC CORPORATION |
10916368 | Bobbin and coil assembly and electromagnet equipment including same | KOREA BASIC SCIENCE INSTITUTE |
10916369 | Inductor for high frequency and high power applications | KONINKLIJKE PHILIPS N.V. |
10916370 | Engine assembly with vibration-isolated ignition coil apparatus | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
10916371 | Wireless power transmission device and electrical apparatus | TYCO ELECTRONICS (SHANGHAI) CO. LTD. |
10916372 | Method for producing rare-earth magnets, and rare-earth-compound application device | SHIN-ETSU CHEMICAL CO., LTD. |
10916373 | R-T-B sintered magnet and production method therefor | HITACHI METALS, LTD. |
10916374 | Manufacturing method of coil component and manufacturing apparatus of coil component | SUMIDA CORPORATION |
10916375 | Electronic device | TDK CORPORATION |
10916376 | Electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10916377 | Multilayer ceramic capacitor and method of manufacturing the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10916378 | Capacitance element having capacitance forming units arranged and electrically connected in series | MURATA MANUFACTURING CO., LTD. |
10916379 | Electromechanical variable-capacitance capacitor with four electrodes | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10916380 | Quantum dot-sensitized solar cell and method of making the same | UNITED ARAB EMIRATES UNIVERSITY |
10916381 | Modulating electron transfer dynamics at hybrid interfaces via self-assembled multilayers | THE FLORIDA STATE UNIVERSITY RESEARCH FOUNDATION. INC. |
10916382 | Photoelectric conversion element and photoelectric conversion element module | SHARP KABUSHIKI KAISHA |
10916383 | Multilayered graphene and methods of making the same | BOARD OF SUPERVISORS OF LOUISIANA STATE UNIVERSITY AND AGRICULTURAL AND MECHANICAL COLLEGE |
10916384 | Fibrous electrode and supercapacitor using same | IUCF-HYU (INDUSTRY-UNIVERSITY COOPERATION FOUNDATION HANYANG UNIVERSITY) |
10916385 | Control device base that attaches to the paddle actuator of a mechanical switch | LUTRON TECHNOLOGY COMPANY LLC |
10916386 | Handle assembly with defeater and related methods | ROCKWELL AUTOMATION TECHNOLOGIES, INC. |
10916387 | Module cover for a key module for a key, key module for a key, and method for producing a key | CHERRY GMBH |
10916388 | Switch device | KABUSHIKI KAISHA TOKAI RIKA DENKI SEISAKUSHO |
10916389 | Push switch | CITIZEN ELECTRONICS CO., LTD. |
10916390 | Side key structure and electronic device having same | HONGFUJIN PRECISION ELECTRONICS (ZHENGZHOU) CO., LTD. |
10916391 | Arc flash detection systems and components thereof | -- |
10916392 | Reinforcement structure for a vacuum interrupter | EATON INTELLIGENT POWER LIMITED |
10916393 | Actuating system for a vacuum bottle | SCHNEIDER ELECTRIC INDUSTRIES SAS |
10916394 | Gas circuit breaker | HITACHI, LTD. |
10916395 | Switch | MAGGMA GROUP IP LIMITED |
10916396 | Load controller and load control method | YAZAKI CORPORATION |
10916397 | Control device for an electromagnetic drive of a switching apparatus | EATON INTELLIGENT POWER LIMITED |
10916398 | Electromagnetic relay | DENSO ELECTRONICS CORPORATION |
10916399 | Electron gun and apparatus incorporating the same | CITY UNIVERSITY OF HONG KONG |
10916400 | High temperature annealing in X-ray source fabrication | BAKER HUGHES, A GE COMPANY, LLC |
10916401 | X-ray tube | FUJIFILM CORPORATION |
10916402 | Electron beam irradiation device and electron beam irradiation method | HAMAMATSU PHOTONICS K.K. |
10916403 | Ion beam apparatus including slit structure for extracting ion beam | SAMSUNG ELECTRONICS CO., LTD. |
10916404 | TEM-based metrology method and system | NOVA MEASURING INSTRUMENTS LTD. |
10916405 | Atom probe inspection device, field ion microscope, and distortion correction method | TOSHIBA MEMORY CORPORATION |
10916406 | Multiple charged particle beam writing apparatus and multiple charged particle beam writing method | NUFLARE TECHNOLOGY, INC. |
10916407 | Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates | APPLIED MATERIALS, INC. |
10916408 | Apparatus and method of forming plasma using a pulsed waveform | APPLIED MATERIALS, INC. |
10916409 | Active control of radial etch uniformity | LAM RESEARCH CORPORATION |
10916410 | Plasma processing apparatus | TOKYO ELECTRON LIMITED |
10916411 | Sensor-to-sensor matching methods for chamber matching | TOKYO ELECTRON LIMITED |
10916412 | Surface modifying device | KASUGA DENKI, INC. |
10916413 | Electron multipliers | ADAPTAS SOLUTIONS PTY LTD |
10916414 | Ion beam focus adjustment | IONPATH, INC. |
10916415 | Liquid trap or separator for electrosurgical applications | MICROMASS UK LIMITED |
10916419 | Insulator, capacitor with the same and fabrication method thereof, and method for fabricating semiconductor device | KEY FOUNDRY CO., LTD. |
10916420 | Processing method and plasma processing apparatus | TOKYO ELECTRON LIMITED |
10916423 | Paste composition and method for forming silicon germanium layer | TOYO ALUMINIUM KABUSHIKI KAISHA |
10916437 | Methods of forming micropatterns and substrate processing apparatus | SAMSUNG ELECTRONICS CO., LTD. |
10916449 | Semiconductor package and method for fabricating base for semiconductor package | -- |
10916472 | Self-aware and correcting heterogenous platform incorporating integrated semiconductor processing modules and method for using same | TOKYO ELECTRON LIMITED |
10916493 | Direct current blocking capacitors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916496 | Circuit module | MURATA MANUFACTURING CO., LTD. |
10916521 | Electrical connector with an elastic body having carbon nanotubes and method for manufacturing same | SHIN-ETSU POLYMER CO., LTD. |
10916569 | Thin-film transistor and method of forming an electrode of a thin-film transistor | H.C. STARCK INC. |
10916582 | Vertically-strained silicon device for use with a perpendicular magnetic tunnel junction (PMTJ) | SPIN MEMORY, INC. |
10916705 | Formulations with a low particle content | MERCK PATENT GMBH |
10916712 | Perovskite and other solar cell materials | HEE SOLAR, L.L.C. |
10916719 | Flexible display | LG DISPLAY CO., LTD. |
10916742 | Battery pack, electrical device, and charging device | MURATA MANUFACTURING CO., LTD. |
10916753 | Lithium metal—seawater battery cells having protected lithium electrodes | POLYPLUS BATTERY COMPANY |
10916757 | Battery, battery module for the battery, and bus bar therefor | VOLTLABOR GMBH |
10916759 | Power storage system | SANYO ELECTRIC CO., LTD. |
10916761 | Low melting temperature metal purification and deposition | APPLIED MATERIALS, INC. |
10916779 | Dispersant for resin collectors, material for resin collectors, and resin collector | SANYO CHEMICAL INDUSTRIES, LTD. |
10916782 | Solid-liquid electrolyte for use in a battery | -- |
10916794 | Winding apparatus | MANZ ITALY S.R.L. |
10916800 | Apparatus of reactive cathodic arc evaporator for plating lithium-compound thin film and method thereof | -- |
10916801 | Manufacturing method of electrode body and electrode body | TOYOTA JIDOSHA KABUSHIKI KAISHA |
10916818 | Self-activating thermal management system for battery pack | BAIDU USA LLC |
10916819 | Multi-stage sodium heat engine for electricity and heat production | ENLIGHTEN INNOVATIONS INC. |
10916821 | Metamaterial waveguides and shielded bridges for quantum circuits | CALIFORNIA INSTITUTE OF TECHNOLOGY |
10916822 | Antenna device and method for producing antenna device | RICOH COMPANY, LTD. |
10916823 | Broadband transition from stripline to substrate integrated waveguide | BENCHMARK ELECTRONICS, INC. |
10916824 | Directional coupler and communication unit | MURATA MANUFACTURING CO., LTD. |
10916825 | Deployable, conformal, reflector antennas | ORBITAL COMPOSITES, INC. |
10916826 | Communication device and antenna with dynamic antenna tuning | MOTOROLA SOLUTIONS, INC. |
10916827 | Wireless module | FUJITSU COMPONENT LIMITED |
10916828 | Radio antenna element arm retaining clip | COMMSCOPE TECHNOLOGIES LLC |
10916829 | Semiconductor package structure having antenna module | SJ SEMICONDUCTOR (JIANGYIN) CORPORATION |
10916830 | Earbud system | BOSE CORPORATION |
10916831 | Protective shell | -- |
10916832 | Electronic device slot antennas | APPLE INC. |
10916833 | Antenna using coupling and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
10916834 | Conductive plate used as near field communication antenna and terminal | HUAWEI TECHNOLOGIES CO., LTD. |
10916835 | Phased array antennas having switched elevation beamwidths and related methods | COMMSCOPE TECHNOLOGIES LLC |
10916836 | Vehicular antenna assembly including GNSS antenna and SDARS antenna with reflector | -- |
10916837 | Circularly polarized omni-directional antenna | VIDEO AERIAL SYSTEMS, LLC |
10916838 | Electronic module | -- |
10916839 | Electronic equipment | SONY CORPORATION |
10916840 | Electronic device with multi-piece antenna structure for increased strength and connection stability | -- |
10916841 | Techniques to increase antenna-to-antenna isolation suitable for enhanced MIMO performance | NVIDIA CORPORATION |
10916842 | Isolators for antenna systems and related antenna systems | COMMSCOPE TECHNOLOGIES LLC |
10916843 | Method and system to reduce the impact of electromagnetic pulses on cellular tower sites | -- |
10916844 | Array antennas having a plurality of directional beams | UBIQUITI INC. |
10916845 | Blockchain cellular system | -- |
10916846 | Antenna with multiple coupled regions | ETHERTRONICS, INC. |
10916847 | Multi-band antenna | -- |
10916848 | Antenna | YAMAHA CORPORATION |
10916849 | Dual-band antenna | HUAWEI TECHNOLOGIES CO., LTD. |
10916850 | Omni-directional antenna for a cylindrical body | DURACELL U.S. OPERATIONS, INC. |
10916851 | Mobile electronic device | -- |
10916852 | Antenna device capable of generating specific radiation pattern | -- |
10916853 | Conformal antenna with enhanced circular polarization | THE BOEING COMPANY |
10916854 | Antenna structure with integrated coupling element and semiconductor package using the same | -- |
10916855 | Contoured-shape antenna with wide bandwidth | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
10916856 | Dual band quadrifilar helix antenna | GARMIN SWITZERLAND GMBH |
10916857 | Antenna device and method for operating antenna | SAMSUNG ELECTRONICS CO., LTD. |
10916858 | System, device and method for tuning a remote antenna | NSL COMM LTD |
10916859 | Inflatable reflector antenna and related methods | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
10916860 | Compact high-gain pattern reconfigurable antenna | -- |
10916861 | Three-dimensional antenna array module | MOVANDI CORPORATION |
10916862 | Multifunctional signal transceiver | SHENZHEN ANTOP TECHNOLOGY LIMITED |
10916863 | Antenna system with dielectric array and methods for use therewith | AT&T INTELLECTUAL PROPERTY I, L.P. |
10916864 | Electrical conduction path | SUMITOMO WIRING SYSTEMS, LTD. |
10916865 | Coaxial cable connector | PPC BROADBAND, INC. |
10916866 | Coaxial cable connector | -- |
10916867 | Resin molded product | SUMITOMO WIRING SYSTEMS, LTD. |
10916868 | Press-fit contact pin | INTERPLEX INDUSTRIES, INC. |
10916869 | Press-fit terminal | AISIN SEIKI KABUSHIKI KAISHA |
10916870 | Electrical connector | FOXCONN (KUNSHAN) COMPUTER CONNECTOR CO., LTD. |
10916871 | Connection device | TE CONNECTIVITY ITALIA DISTRIBUTION S.R.L. |
10916872 | Plate-like conductive member connection structure and plate-like conductive path | AUTONETWORKS TECHNOLOGIES, LTD. |
10916873 | Connection assembly, female contact, and connection method thereof | APTIV TECHNOLOGIES LIMITED |
10916874 | Connector with displacement restricting member for locking to the connector housing and to restrict displacement of the terminal unit | AUTONETWORKS TECHNOLOGIES, LTD. |
10916875 | Connector and connector assembly | MOLEX, LLC |
10916876 | Terminal unit and connector | AUTONETWORKS TECHNOLOGIES, LTD. |
10916877 | QSFP-DD connector backshell with vertically arranged rows of cables | MELLANOX TECHNOLOGIES, LTD. |
10916878 | Unitary molded USB device | WESTERN DIGITAL TECHNOLOGIES, INC. |
10916879 | Can box with metallic reinforcement piece | FUDING PRECISION COMPONENTS (SHENZHEN) CO., LTD. |
10916880 | Multiplex receptacle adapter | -- |
10916881 | High voltage wet-mate connection assembly | BENESTAD SOLUTIONS AS |
10916882 | Cable tether system | MASIMO CORPORATION |
10916883 | Connector fitting body | SUMITOMO WIRING SYSTEMS, LTD. |
10916884 | Method of operating a connector latch for a housing | J.S.T. CORPORATION |
10916885 | High-speed connector for automobile | AMPHENOL ASSEMBLETECH(XIAMEN) CO., LTD |
10916886 | Connector fixture | KITAGAWA INDUSTRIES CO., LTD. |
10916887 | Plug connector having a housing module with two housings interlocked by deformed tongue having two legs | FOXCONN INTERCONNECT TECHNOLOGY LIMITED |
10916888 | Connector | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
10916889 | Management of securable computing resources | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916890 | Connector provided with a moveable detector body | SUMITOMO WIRING SYSTEMS, LTD. |
10916891 | Electrical connector having improved grounding structure | FOXCONN (KUNSHAN) COMPUTER CONNECTOR CO., LTD. |
10916892 | Shielded, electronic connector | PHOENIX CONTACT GMBH & CO. KG |
10916893 | Crosstalk shield | ITT MANUFACTURING ENTERPRISES LLC |
10916894 | Connector configurable for high performance | AMPHENOL CORPORATION |
10916895 | Double-shielded high-speed docking connector | OUPIIN ELECTRONIC (KUNSHAN) CO., LTD. |
10916896 | Electrical device connector apparatus with power isolation capability | -- |
10916897 | Battery mounted fuse holder | AEES INC. |
10916898 | Safety switch arrangement for a personal care appliance | KONINKLIJKE PHILIPS N.V. |
10916899 | Locking electrical device | -- |
10916900 | Cable | SONY CORPORATION |
10916901 | Electrical connectors with electrical bonding features | FILCONN INC. |
10916902 | Coaxial connector assembly | HIROSE ELECTRIC CO., LTD. |
10916903 | System having a cable assembly and plug and receptacle connectors | CREGANNA UNLIMITED COMPANY |
10916904 | Power connection assembly | WHIRLPOOL CORPORATION |
10916905 | External electrical power distribution apparatus | -- |
10916906 | Electrical connector assembly | MOLEX, LLC |
10916907 | Ultra-compact optical pulse compressor | CARNEGIE MELLON UNIVERSITY |
10916908 | High-power, single-mode fiber sources | NLIGHT, INC. |
10916909 | Ultra-wideband Raman amplifier with comb source | FACEBOOK, INC. |
10916910 | Line narrowing module | GIGAPHOTON INC. |
10916911 | Laser systems utilizing fiber bundles for power delivery and beam switching | TERADIODE, INC. |
10916912 | Optical module | MITSUBISHI ELECTRIC CORPORATION |
10916913 | Method of manufacturing light emitting device using shrink fitting | NICHIA CORPORATION |
10916914 | Light module | MITSUBISHI ELECTRIC CORPORATION |
10916915 | Distributed feedback semiconductor laser device | -- |
10916916 | Vertical cavity surface emitting laser including meta structure reflector and optical device including the vertical cavity surface emitting laser | SAMSUNG ELECTRONICS CO., LTD. |
10916917 | Method of manufacturing surface emitting laser | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
10916918 | Hetero-structure-based integrated photonic devices, methods and applications | UNIVERSITY OF CENTRAL FLORIDA RESEARCH FOUNDATION, INC. |
10916919 | Krypton-85-free spark gap with a discharge probe | GENERAL ELECTRIC COMPANY |
10916920 | Holding arrangement and arrangement of at least two stack spark gaps | PHOENIX CONTACT GMBH & CO. KG |
10916921 | Spark plug with improved tightness | ROBERT BOSCH GMBH |
10916922 | Mountable electrical system | EATON INTELLIGENT POWER LIMITED |
10916923 | Extendable cable distribution device and method for manufacturing extendable cable distribution device | KMW INC. |
10916924 | Recessed equipment boxes and related assemblies and methods | WIREPATH HOME SYSTEMS, LLC |
10916926 | Fireproof airtight electrical box | -- |
10916927 | Bridge power module with high-joule in-wall surge protection | J&C GROUP, INC. |
10916931 | Temperature sensing and fault detection for paralleled double-side cooled power modules | INFINEON TECHNOLOGIES AG |
10916935 | Leakage current detection and interruption (LCDI) device with ignition containment features | TOWER MANUFACTURING CORP |
10916938 | ESD-protective surface-mount composite component | MURATA MANUFACTURING CO., LTD. |
10916939 | Low leakage transient overvoltage protection circuit using a series connected metal oxide varistor (MOV) and silicon controlled rectifier (SCR) | STMICROELECTRONICS (TOURS) SAS |
10916941 | Supply and control unit for electrical devices of a production system and method therefore | ONESUBSEA IP UK LIMITED |
10916949 | Power supply device and an associated method thereof | GENERAL ELECTRIC TECHNOLOGY GMBH |
10916950 | Method of making a wireless connector receiver module | NUCURRENT, INC. |
10916953 | Housing for an electricity charging station and method for producing same | DR. ING. H.C. F. PORSCHE AKTIENGESELLSCHAFT |
10916969 | Method and apparatus for providing power using an inductive coupling | AT&T INTELLECTUAL PROPERTY I, L.P. |
10916971 | Wireless power transfer ecosystem and coils operating on substantially different power levels | MEDIATEK SINGAPORE PTE. LTD. |
10916974 | Wireless power receivers that receive power during traversal of a prescribed path | THE INVENTION SCIENCE FUND I LLC |
10917002 | Capacitor discharge device inside sub module of MMC converter | HYOSUNG HEAVY INDUSTRIES CORPORATION |
10917013 | Augmented multi-stage boost converter | CIRRUS LOGIC, INC. |
10917016 | Electrical-power-supplying device for a wall plug provided with a connector and wall plug provided with a connector and comprising such an electrical-power-supplying device | SCHNEIDER ELECTRIC INDUSTRIES SAS |
10917051 | Wireless architectures and digital pre-distortion (DPD) techniques using closed loop feedback for phased array transmitters | INTEL CORPORATION |
10917062 | Method of manufacturing electronic component and electronic component | TDK CORPORATION |
10917063 | Multilayer LC filter | MURATA MANUFACTURING CO., LTD. |
10917066 | Input/output apparatus of multiplexer, and multiplexer | HUAWEI TECHNOLOGIES CO., LTD. |
10917091 | Galvanic isolation circuit and system and a corresponding method of operation | STMICROELECTRONICS S.R.L. |
10917102 | Signal gauge | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
10917124 | Method and apparatus for electromagnetic field manipulation using near-field and far-field sensing | PHOTONIC SYSTEMS, INC. |
10917132 | Switchless transceiver integrated programmable differential topology | ROCKWELL COLLINS, INC. |
10917135 | Collision mitigation in low-power, frequency-hopping, wide-area network | SEMTECH CORPORATION |
10917137 | NFC antenna in a mobile device | STMICROELECTRONICS AUSTRIA GMBH |
10917139 | Magnetic coupling device and communication system | KABUSHIKI KAISHA TOSHIBA |
10917145 | Radio frequency transmitter with reduced interconnect signal paths for beamforming | QORVO US, INC. |
10917158 | System and method for beam switching and reporting | QUALCOMM INCORPORATED |
10917162 | Phase or amplitude compensation for beam-former | -- |
10917321 | Disaggregated physical memory resources in a data center | INTEL CORPORATION |
10917364 | Modular network switch components and assembly thereof and method of use | -- |
10917605 | Display device | SAMSUNG ELECTRONICS CO., LTD. |
10917773 | Near-field wireless device | NXP B.V. |
10917956 | Control attachment configured to provide power to a load and method of configuring a control attachment | SMART POWER PARTNERS LLC |
10917958 | Illumination system and method for maintaining a common illumination value on a release command sent from a keypad | LUTRON KETRA, LLC |
10917960 | Deposition apparatus | CANON ANELVA CORPORATION |
10917962 | Electronic device | RENESAS ELECTRONICS CORPORATION |
10917963 | Thermal insulation and temperature control of components | PALO ALTO RESEARCH CENTER INCORPORATED |
10917970 | Display panel and display | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10917971 | Electronic component, power supply device, and method of manufacturing coil | SONY CORPORATION |
10917974 | Circuit board incorporating electronic component and manufacturing method thereof | TDK CORPORATION |
10917975 | Vertical circuit board printer | SHENZHEN JINGJIANG YUNCHUANG TECHNOLOGY CO., LTD. |
10917977 | Connector for joining two housing parts, and a housing including two housing parts and at least one connector | ROBERT BOSCH GMBH |
10917978 | Light-emitting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10917979 | Control box | HUNTER INDUSTRIES, INC. |
10917980 | Three-dimensional structures and related methods of forming three-dimensional structures | APPLE INC. |
10917981 | Adjustable embedded display unit backframe | -- |
10917982 | Metal housing and ventilation structure employing same | NITTO DENKO CORPORATION |
10917983 | Thermal isolation of flight recorder memory core | L3 TECHNOLOGIES, INC. |
10917984 | Foldable display apparatus for a motor vehicle | AUDI AG |
10917985 | Flexible display device | LG DISPLAY CO., LTD. |
10917986 | Dock for a portable electronic device | ACCO BRANDS CORPORATION |
10917987 | Method and apparatus for managing static electricity | -- |
10917988 | Material removal from inner surface to preserve perception of outer surface aesthetics | LUTRON TECHNOLOGY COMPANY LLC |
10917989 | Display screen protector | CORNING INCORPORATED |
10917990 | Display device | SAMSUNG DISPLAY CO., LTD. |
10917991 | Circuit board mounting in confined space | HALLIBURTON ENERGY SERVICES, INC. |
10917992 | High power multilayer module having low inductance and fast switching for paralleling power devices | CREE FAYETTEVILLE, INC. |
10917993 | Fan gantry | INVENTEC (PUDONG) TECHNOLOGY CORPORATION |
10917994 | Wickless capillary driven constrained vapor bubble heat pipes for application in rack servers | INTEL CORPORATION |
10917995 | Cooling device | NIDEC CORPORATION |
10917996 | System and method for device level thermal management and electromagnetic interference management | DELL PRODUCTS L.P. |
10917997 | Drive cage panels to divert flows of air | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
10917998 | Rack information handling system having modular liquid distribution (MLD) conduits | DELL PRODUCTS L.P. |
10917999 | Power module, power module assembly and assembling method thereof | DELTA ELECTRONICS (THAILAND) PUBLIC COMPANY LIMITED |
10918000 | Component supply device | FUJI CORPORATION |
10918001 | Component placing method | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10918156 | Pressure detection sensor and pressure detection insole including same | LG INNOTEK CO., LTD. |
10918166 | Inductor | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10918203 | Pedestal leg assembly | ITC INCORPORATED |
10918262 | Insert molded product, electrical signal connector, endoscope, and insert molding method | OLYMPUS CORPORATION |
10918287 | System for non-invasive measurement using cameras and time of flight detection | OMNI MEDSCI, INC. |
10918437 | Catheter with coaxial thermocouple | BIOSENSE WEBSTER (ISRAEL) LTD. |
10918448 | Surgical table base construction for heat dissipation from housed power electronics | VERB SURGICAL INC. |
10918449 | Surgical system instrument manipulator | INTUITIVE SURGICAL OPERATIONS, INC |
10918866 | Medical device communication and charging assemblies for use with implantable signal generators, and associated systems and methods | NEVRO CORP. |
10918881 | Exchangeable laser and array thereof | NANJING |
10919007 | Organic material purification composition and method of purifying organic materials using the same | SAMSUNG DISPLAY CO., LTD. |
10919079 | Method of manufacturing band-shaped metal wire member including bonded portion | SUMITOMO WIRING SYSTEMS, LTD. |
10919081 | Punching method, punching device, and method for manufacturing laminated iron core | JFE STEEL CORPORATION |
10919103 | Inductor and system for welding for maintaining welding arcs | ILLINOIS TOOL WORKS INC. |
10919158 | Three-dimensional electromechanical adhesive devices and related systems and methods | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
10919205 | Method and device for the production of an elongated product, and elongated product | LEONI KABEL GMBH |
10919218 | Interlace calibration and methods of use thereof | GENERAL ELECTRIC COMPANY |
10919353 | Receptacle assemblies | R&S SHAEFFER PROPERTIES LLC |
10919391 | Cooling apparatus capable of determining valve malfunction | HONDA MOTOR CO., LTD. |
10919404 | Transformer device for a charging station for electrically charging vehicles with at least two charging points | DR. ING. H.C. F. PORSCHE AKTIENGESELLSCHAFT |
10919453 | Power box side step | MAGNA EXTERIORS INC. |
10919465 | Vehicular circuit body | YAZAKI CORPORATION |
10919710 | Transport unit, transfer apparatus, and transfer method | HIRATA CORPORATION |
10919711 | Component mounting device | FUJI CORPORATION |
10919725 | Method and apparatus for deployment of a device system | BAKER HUGHES, A GE COMPANY, LLC |
10919729 | Self-retractable coiled electrical cable | HALLIBURTON ENERGY SERVICES, INC. |
10919778 | Method for producing iron-based oxide magnetic particle powder | DOWA ELECTRONICS MATERIALS CO., LTD. |
10919806 | Device comprising a cable or cable accessory containing a fire-resistant composite layer | NEXANS |
10919809 | MnZn ferrite and its production method | HITACHI METALS, LTD. |
10919880 | Organic molecules, in particular for use in optoelectronic devices | CYNORA GMBH |
10920051 | Resin composition and electrical cable | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
10920077 | Conductive silicone composition and silicone composite material manufactured thereby | LG CHEM, LTD. |
10920087 | Hydrogenated isotopically enriched boront trifluoride dopant source gas composition | ENTEGRIS, INC. |
10920147 | Flame or fire retarding agents and their manufacture and use | -- |
10920292 | FeNi ordered alloy and method for manufacturing FeNi ordered alloy | DENSO CORPORATION |
10920306 | Aluminum alloy wire rod and producing method thereof | HITACHI METALS, LTD. |
10920310 | Reactive sputter deposition of dielectric films | VIAVI SOLUTIONS INC. |
10920315 | Plasma foreline thermal reactor system | APPLIED MATERIALS, INC. |
10920319 | Ceramic showerheads with conductive electrodes | APPLIED MATERIALS, INC. |
10920320 | Plasma health determination in semiconductor substrate processing reactors | APPLIED MATERIALS, INC. |
10920343 | Fixed orientation weaving apparatus | -- |
10920357 | Washing apparatus | LG ELECTRONICS INC. |
10920722 | Wire with electrostatically conductive insulator | WALBRO LLC |
10920728 | Fuel injector, method for ascertaining the position of a movable armature, and motor control | VITESCO TECHNOLOGIES GMBH |
10920772 | Dual motor gear pump | CHILLDYNE, INC. |
10920782 | Low-profile, high-power pump for electronics fluid cooling system | ASIA VITAL COMPONENTS (CHINA) CO., LTD. |
10920803 | Monitoring device | DENSO CORPORATION |
10920808 | Noise suppression member | KITAGAWA INDUSTRIES CO., LTD. |
10920937 | Light emitting device | NICHIA CORPORATION |
10920946 | In-mold electronics package | -- |
10920948 | Automotive light device with high efficiency and high directivity white light | VALEO NORTH AMERICA, INC. |
10920967 | Neon lamp end cap boot and method of installation | -- |
10921024 | Drain feature for a junction box of a water heater | HAIER US APPLIANCE SOLUTIONS, INC. |
10921062 | Cooling fan and heat dissipating module including the same | INVENTEC (PUDONG) TECHNOLOGY CORPORATION |
10921067 | Water-cooling radiator structure with internal partition member | -- |
10921070 | Connector assembly for liquid cooling | -- |
10921184 | Stimulated Raman scattering spectroscope based on passive Q-switch and use thereof in industrial molecular analysis | OPTIQGAIN LTD. |
10921187 | Methods and devices for standoff differential Raman spectroscopy with increased eye safety and decreased risk of explosion | PENDAR TECHNOLOGIES, LLC |
10921194 | Electrical contact thermal sensing system | TE CONNECTIVITY CORPORATION |
10921204 | Compact sensor connector for single-use fluid measurement | ROSEMOUNT INC. |
10921251 | Chamber component part wear indicator and a system for detecting part wear | APPLIED MATERIALS, INC. |
10921295 | Automated system for detection of silicon species in phosphoric acid | ELEMENTAL SCIENTIFIC, INC. |
10921300 | Internal server air quality station | MICROSOFT TECHNOLOGY LICENSING, LLC |
10921306 | Electronic part containing a metal component sourced from a conflict-free mine site and a method of forming thereof | AVX CORPORATION |
10921374 | Diagnosis device, energy storage apparatus, and diagnosis method | GS YUASA INTERNATIONAL LTD. |
10921386 | Methods and devices for calculating winding currents at a delta side for a transformer | ABB POWER GRIDS SWITZERLAND AG |
10921392 | Stacked structure, magnetoresistive effect element, magnetic head, sensor, high frequency filter, and oscillator | TDK CORPORATION |
10921420 | Dual-sided radar systems and methods of formation thereof | INFINEON TECHNOLOGIES AG |
10921421 | Radar module | NXP USA, INC. |
10921435 | Method of detecting an object | NXP USA, INC. |
10921442 | Sensor with flat-beam generation antenna | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
10921524 | Crimped mm-wave waveguide tap connector | INTEL CORPORATION |
10921531 | LC type connector with push/pull assembly for releasing connector from a receptacle using a cable boot | SENKO ADVANCED COMPONENTS, INC. |
10921536 | Heat sink for optical transceiver | ARISTA NETWORKS, INC. |
10921582 | Apparatus for deflecting and/or modulating laser radiation | LILAS GMBH |
10921606 | Optical multiplexer | MITSUBISHI ELECTRIC CORPORATION |
10921618 | Optical modulating device and apparatus including the same | SAMSUNG ELECTRONICS CO., LTD. |
10921620 | Optical modulator and optical transmission apparatus | SUMITOMO OSAKA CEMENT CO., LTD. |
10921654 | Liquid crystal-based high-frequency device and high-frequency switch | SAMSUNG ELECTRONICS CO., LTD. |
10921660 | Circuit board, display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10921707 | Self-adaptive halogen treatment to improve photoresist pattern and magnetoresistive random access memory (MRAM) device uniformity | -- |
10921761 | Power drop assembly with processor and memory | HALL LABS LLC |
10921791 | Production plan generation device, production plan generation method, and production plan | FUJITSU LIMITED |
10921858 | Case including metal for an electronic device and electronic device having the same | SAMSUNG ELECTRONICS CO., LTD. |
10921859 | Composite electronics cases and methods of making and using the same | SECURAPLANE TECHNOLOGIES, INC. |
10921863 | Virtual pivot hinge with multi-part friction linkage | MICROSOFT TECHNOLOGY LICENSING, LLC |
10921865 | Input touchpad module and computing device having a touch member with improved swinging stability | -- |
10921867 | Field device of modular construction | ROBODEV GMBH |
10921868 | Multi-mode cooling system and method with evaporative cooling | AMAZON TECHNOLOGIES, INC. |
10921869 | Chassis embedded heat pipe | INTEL CORPORATION |
10921895 | Multi-directional actuating module | CK MATERIALS LAB CO., LTD. |
10921905 | Keyboard module | LITE-ON ELECTRONICS (GUANGZHOU) LIMITED |
10921906 | Pen-shaped position indicator | WACOM CO., LTD. |
10921913 | Rotatable knob interface | SYNAPTICS INCORPORATED |
10922260 | Modular carrier form factors for computing platforms | LIQID INC. |
10922443 | System for protecting an input device | INGENICO GROUP |
10922503 | Multi frequency signatures using nano/microscale three dimensional printed structures | LOCATORX, INC. |
10922513 | Electronic component and electronic device comprising same | SAMSUNG ELECTRONICS CO., LTD. |
10922603 | Security device using a thick dipole antenna | AVERY DENNISON RETAIL INFORMATION SERVICES, LLC |
10922809 | Method for detecting voids and an inspection system | APPLIED MATERIALS, INC. |
10922828 | Meta projector and electronic apparatus including the same | SAMSUNG ELECTRONICS CO., LTD. |
10922947 | Rescue signal transmission system | SUZUKI MOTOR CORPORATION |
10922950 | Relay with an intermediate alert mechanism | SCHNEIDER ELECTRIC LOGISTICS ASIA PTE LTD. |
10923149 | Aluminum alloy substrate for magnetic recording medium and method for manufacturing the same, substrate for magnetic recording medium, magnetic recording medium, and hard disc drive | SHOWA DENKO K.K. |
10923169 | Magnetic recording array and magnetic recording device | TDK CORPORATION |
10923240 | Methods related to valve actuators having motors with peek-insulated windings | FLOWSERVE MANAGEMENT COMPANY |
10923244 | Phosphor screen for MEMS image intensifiers | ELBIT SYSTEMS OF AMERICA, LLC |
10923245 | Terminal material for connectors and method for producing same | MITSUBISHI MATERIALS CORPORATION |
10923246 | Flame retardant electrical cable | PRYSMIAN S.P.A. |
10923248 | Method for producing a metal film | VDM METALS INTERNATIONAL GMBH |
10923249 | Electroless plating of silver onto graphite | HENKEL IP & HOLDING GMBH |
10923250 | Wiring harness production mounting | LASELEC |
10923251 | Arrangement having a coaxial resistor | SIEMENS AKTIENGESELLSCHAFT |
10923252 | Resistor, circuit board, and electronic device | KYOCERA CORPORATION |
10923253 | Resistor component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10923254 | Permanent magnet, motor, and generator | KABUSHIKI KAISHA TOSHIBA |
10923255 | Magnetic material, permanent magnet, rotary electrical machine, and vehicle | KABUSHIKI KAISHA TOSHIBA |
10923256 | R-T-B-based sintered magnet and method for producing same | HITACHI METALS, LTD. |
10923257 | Powder mixture for powder magnetic core, and powder magnetic core | KOBE STEEL, LTD. |
10923258 | Dust core and inductor element | TDK CORPORATION |
10923259 | Coil component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10923260 | Magnetorheological fluid composition and vibration damping device using same | HONDA MOTOR CO., LTD. |
10923261 | Magnetic fastening assembly | MICROSOFT TECHNOLOGY LICENSING, LLC |
10923262 | Inductor | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10923263 | Magnetic core fixing structure | AUTONETWORKS TECHNOLOGIES, LTD. |
10923264 | Electronic component and method of manufacturing the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10923265 | Coil component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10923266 | Coil component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10923267 | Transformer | BRONX |
10923268 | Wireless power transmitting module and installation method thereof | -- |
10923269 | Arrangement for compensating disturbance voltages induced in a transformer | EPCOS AG |
10923270 | Common-mode choke coil | MURATA MANUFACTURING CO., LTD. |
10923271 | Core and transformer | FUJI ELECTRIC CO., LTD. |
10923272 | Magnetic flux leakage compensation structure | SHANDONG POWER EQUIPMENT CO., LTD. |
10923273 | Coil design for wireless power transfer | INTEGRATED DEVICE TECHNOLOGY, INC. |
10923274 | Current transformer module and power supply device including the same | AMOSENSE CO., LTD. |
10923275 | Stationary induction apparatus | MITSUBISHI ELECTRIC CORPORATION |
10923276 | Coil electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10923277 | Low noise capacitors | AVX CORPORATION |
10923278 | Multi-layer ceramic capacitor | TAIYO YUDEN CO., LTD. |
10923279 | Multilayer ceramic electronic component | MURATA MANUFACTURING CO., LTD. |
10923280 | Film capacitor, combination type capacitor, inverter, and electric vehicle | KYOCERA CORPORATION |
10923281 | Capacitor | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10923282 | Electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD |
10923283 | Multilayer ceramic electronic component having an external electrode which includes a graphene platelet | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10923284 | Capacitor component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10923285 | Multilayer ceramic electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10923286 | Method and apparatus for compensating for high thermal expansion coefficient mismatch of a stacked device | NXP USA, INC. |
10923287 | Vascular cooled capacitor assembly and method | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
10923288 | Method for producing electrode for aluminum electrolytic capacitor | NIPPON LIGHT METAL COMPANY, LTD. |
10923289 | Stacked type capacitor package structure without carbon paste layer, stacked type capacitor thereof, and polymer composite layer | -- |
10923290 | Electrolytic capacitor-specific electrode member and electrolytic capacitor | JAPAN CAPACITOR INDUSTRIAL CO., LTD. |
10923291 | Oxide on edges of metal anode foils | PACESETTER, INC. |
10923292 | Wiring module | AUTONETWORKS TECHNOLOGIES, LTD. |
10923293 | High frequency supercapacitors and methods of making same | TEXAS TECH UNIVERSITY SYSTEM |
10923294 | Carbon paste and capacitor element for a solid electrolytic capacitor using carbon paste | MURATA MANUFACTURING CO., LTD. |
10923295 | Compositions and methods for energy storage device electrodes | MAXWELL TECHNOLOGIES, INC. |
10923296 | Method for manufacturing positive electrode including conductive polymer layer selectively formed on surface of carbon layer disposed on current collector for power storage device, and method for manufacturing power storage device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10923297 | Switch monitoring device, switch state detection circuit, and a vehicle-mounted switch system | ROHM CO., LTD. |
10923298 | Compact pole unit for fast switches and circuit breakers | EATON INTELLIGENT POWER LIMITED |
10923299 | Switch including waterproof and light leak prevention structure | ALPS ALPINE CO., LTD. |
10923300 | Disconnect switch with a detent mechanism to protect against over-rotation | LEVITON MANUFACTURING CO., INC. |
10923301 | Double throw switch operating mechanism | THE DURHAM COMPANY |
10923302 | Switchgear | MITSUBISHI ELECTRIC CORPORATION |
10923303 | Vacuum circuit breaker, gas insulated switchgear and air insulated switchgear mounted with the vacuum circuit breaker | MITSUBISHI ELECTRIC CORPORATION |
10923304 | Vacuum circuit breaker operating mechanism | EATON INTELLIGENT POWER LIMITED |
10923305 | No-voltage output and voltage output switching circuit | MITSUBISHI ELECTRIC CORPORATION |
10923306 | Ion source with biased extraction plate | APPLIED MATERIALS, INC. |
10923307 | Electron beam generator | -- |
10923308 | Method and system for energy resolved chroma imaging | FEI COMPANY |
10923309 | GeH<sub>4</sub>/Ar plasma chemistry for ion implant productivity enhancement | APPLIED MATERIALS, INC. |
10923310 | Ion beam treatment process for producing a scratch-resistant high-transmittance antireflective sapphire | IONICS FRANCE |
10923311 | Cathode for ion source comprising a tapered sidewall | XIA TAI XIN SEMICONDUCTOR (QING DAO) LTD. |
10923312 | Magnetic lens and exciting current control method | FOCUS-EBEAM TECHNOLOGY (BEIJING) CO., LTD. |
10923313 | Charged particle beam device and method of operating a charged particle beam device | ICT INTEGRATED CIRCUIT TESTING GESELLSCHAFT FüR HALBLEITERPRüFTECHNIK MBH |
10923314 | Method of image acquisition and electron microscope | JEOL LTD. |
10923315 | Charged particle beam apparatus, and method of adjusting charged particle beam apparatus | HITACHI HIGH-TECH CORPORATION |
10923316 | Primary beam scanning apparatus and signal processing method | JEOL LTD. |
10923317 | Detecting defects in a logic region on a wafer | KLA CORP. |
10923318 | Optical alignment correction using convolutional neural network evaluation of a beam image | FEI COMPANY |
10923319 | Method for projecting a beam of particles onto a substrate with correction of scattering effects | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10923320 | System for tunable workpiece biasing in a plasma reactor | APPLIED MATERIALS, INC. |
10923321 | Apparatus and method of generating a pulsed waveform | APPLIED MATERIALS, INC. |
10923322 | Articulated direct-mount inductor and associated systems and methods | LAM RESEARCH CORPORATION |
10923323 | Plasma generating unit and plasma processing apparatus | TOKYO ELECTRON LIMITED |
10923324 | Microwave plasma source | VERITY INSTRUMENTS, INC. |
10923325 | Method of controlling an implanter operating in plasma immersion | ION BEAM SERVICES |
10923326 | Gas spraying apparatus for substrate processing apparatus and substrate processing apparatus | JUSUNG ENGINEERING CO., LTD. |
10923327 | Chamber liner | APPLIED MATERIALS, INC. |
10923328 | Plasma processing method and plasma processing apparatus | TOKYO ELECTRON LIMITED |
10923329 | Substrate processing apparatus and substrate processing method | TOKYO ELECTRON LIMITED |
10923330 | Surface polymer coatings | EUROPLASMA NV |
10923331 | Plasma cleaning device and process | SURFX TECHNOLOGIES LLC |
10923332 | Plasma processing method | TOKYO ELECTRON LIMITED |
10923333 | Substrate processing apparatus and substrate processing control method | TOKYO ELECTRON LIMITED |
10923334 | Selective deposition of hardmask | APPLIED MATERIALS, INC. |
10923335 | System and method for loading an ion trap | DUKE UNIVERSITY |
10923336 | Systems and methods for collision induced dissociation of ions in an ion trap | PURDUE RESEARCH FOUNDATION |
10923337 | Ion trap mass spectrometer and ion trap mass spectrometry method | SHIMADZU CORPORATION |
10923338 | Ion focusing | PURDUE RESEARCH FOUNDATION |
10923339 | Orthogonal acceleration time-of-flight mass spectrometry | SHIMADZU CORPORATION |
10923343 | High-k dielectric layer, fabricating method thereof and multi-function equipment implementing such fabricating method | -- |
10923357 | Element chip and manufacturing process thereof | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10923362 | Manufacturing process of element chip | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10923367 | Process chamber for etching low K and other dielectric films | APPLIED MATERIALS, INC. |
10923369 | Temperature controlling apparatus, temperature controlling method, and placing table | TOKYO ELECTRON LIMITED |
10923379 | Methods for controlling clamping of insulator-type substrate on electrostatic-type substrate support structure | LAM RESEARCH CORPORATION |
10923380 | Electrostatically clamped edge ring | LAM RESEARCH CORPORATION |
10923394 | Platform and method of operating for integrated end-to-end fully self-aligned interconnect process | TOKYO ELECTRON LIMITED |
10923406 | Spectral reflectometry window heater | TEXAS INSTRUMENTS INCORPORATED |
10923411 | Method for manufacturing an ultrathin heat dissipation structure | AVARY HOLDING (SHENZHEN) CO., LIMITED. |
10923419 | Semiconductor device and a method of increasing a resistance value of an electric fuse | RENESAS ELECTRONICS CORPORATION |
10923457 | Multi-die module with contactless coupler and a coupling loss reduction structure | TEXAS INSTRUMENTS INCORPORATED |
10923478 | Reduction of roughness on a sidewall of an opening | MICRON TECHNOLOGY, INC. |
10923608 | Conductive paste for solar cell, solar cell and manufacturing method thereof, and solar cell module | -- |
10923609 | Solar cell module | ZEON CORPORATION |
10923611 | Method of fabricating a radio frequency transparent photovoltaic cell | RAYTHEON COMPANY |
10923615 | Light source-integrated light sensing system and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
10923623 | Semiconductor layer including compositional inhomogeneities | SENSOR ELECTRONIC TECHNOLOGY, INC. |
10923646 | Superconducting switch having a persistent and a non-persistent state | MICROSOFT TECHNOLOGY LICENSING, LLC |
10923648 | Memory cell, memory array, method of forming and operating memory cell | AGENCY FOR SCIENCE, TECHNOLOGY AND RESEARCH |
10923649 | Spin current magnetization rotation magnetoresistance effect element, and magnetic memory | TDK CORPORATION |
10923652 | Top buffer layer for magnetic tunnel junction application | APPLIED MATERIALS, INC. |
10923686 | Heat dissipating structure of a flexible display | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10923702 | Connection module | AUTONETWORKS TECHNOLOGIES, LTD. |
10923704 | Electrode coated with a film obtained from an aqueous solution comprising a water-soluble binder, production method thereof and uses of same | HYDRO-QUÉBEC |
10923722 | Materials with extremely durable intercalation of lithium and manufacturing methods thereof | GROUP14 TECHNOLOGIES, INC. |
10923724 | Device and method of manufacturing high aspect ratio structures | NEDERLANDSE ORGANISATIE VOOR TOEGEPAST-NATUURWETENSCHAPPELIJK ONDERZOEK TNO |
10923730 | Electrodeposited copper foil with anti-burr property | -- |
10923734 | Temperature-control arrangement for an electrical energy store | DR. ING. H.C. F. PORSCHE AKTIENGESELLSCHAFT |
10923771 | Method for manufacturing laminated electrode body | TOYOTA JIDOSHA KABUSHIKI KAISHA |
10923773 | Contacting unit for electrically contacting at least one electronics segment of an electronics module and method | TE CONNECTIVITY GERMANY GMBH |
10923784 | Heat-conductive sheet and battery pack using same | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10923789 | Energy storage and power supply system with reserve mode and override | GOAL ZERO LLC |
10923790 | Low-loss silicon on insulator based dielectric microstrip line | CITY UNIVERSITY OF HONG KONG |
10923792 | Microwave feeding module and circuit board structure | -- |
10923793 | Antenna device, manhole cover equipped with antenna device, and power distribution panel equipped with same | HITACHI, LTD. |
10923794 | Base station including antenna having two-way tilting structure | SAMSUNG ELECTRONICS CO., LTD. |
10923795 | Hidden multi-band window antenna | PITTSBURGH GLASS WORKS, LLC |
10923796 | Adaptable locking mechanism for cost-effective series production | SAAB AB |
10923797 | Antenna alignment device | CENTURYLINK INTELLECTUAL PROPERTY LLC |
10923798 | Antenna support and antenna position control system | GUANGZHOU CHANGEN ELECTRONIC TECHNOLOGY CO., LTD |
10923799 | Antenna structure and electronic device therewith | SAMSUNG ELECTRONICS CO., LTD. |
10923800 | Packaged electronic device having integrated antenna and locking structure | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
10923801 | Antenna structure and wireless communication device using same | -- |
10923802 | Near field communication antenna module and portable terminal having the same | AMOTECH CO., LTD. |
10923803 | Loop antenna system and mobile terminal | AAC TECHNOLOGIES PTE. LTD. |
10923804 | Antenna array with integrated filters | COMMSCOPE TECHNOLOGIES LLC |
10923805 | Antenna assembly for an aircraft | AIRBUS OPERATIONS GMBH |
10923806 | Broadband helical microstrip antenna | HALL LABS LLC |
10923807 | Combo type antenna module | AMOTECH CO., LTD. |
10923808 | Antenna system | HUAWEI TECHNOLOGIES CO., LTD. |
10923809 | Communication apparatus, electronic apparatus and antenna adjustment method | -- |
10923810 | Supplemental device for an antenna system | DEERE & COMPANY |
10923811 | Integrated filtering for band rejection in an antenna element | HUAWEI TECHNOLOGIES CO., LTD. |
10923812 | Wireless telecommunications network | CCS TECHNOLOGIES LLC |
10923813 | Antenna device and method for reducing grating lobe | MITSUBISHI ELECTRIC CORPORATION |
10923815 | Compact switched line phase shifter for a microstrip phased array antenna | UNIVERSITY OF NEW HAMPSHIRE |
10923816 | Antenna system of mobile terminal and mobile terminal | AAC TECHNOLOGIES PTE. LTD. |
10923817 | Antenna system and mobile terminal | AAC TECHNOLOGIES PTE. LTD. |
10923818 | Dual-fed dual-frequency hollow dielectric antenna | CITY UNIVERSITY OF HONG KONG |
10923820 | Electronic device for supporting short-range wireless communication using first coil and second coil positioned next to first coil | SAMSUNG ELECTRONICS CO., LTD. |
10923822 | Wireless communications antenna | WITS CO., LTD. |
10923823 | Patch antenna | AMOTECH CO., LTD. |
10923824 | Capacitively coupled patch antenna | TALLYSMAN WIRELESS INC. |
10923825 | Spiral antenna system | SRC, INC. |
10923826 | Double helical antenna | WADE ANTENNA INC. |
10923827 | Soldier-mounted antenna | TRIVEC-AVANT CORPORATION |
10923828 | Lens arrays configurations for improved signal performance | MATSING, INC. |
10923829 | Vehicle-mounted radar deflectors | WAYMO LLC |
10923830 | Quick solder chip connector for massive multiple-input multiple-output antenna systems | PC-TEL, INC. |
10923831 | Waveguide-fed planar antenna array with enhanced circular polarization | THE BOEING COMPANY |
10923832 | Co-construction antenna module | -- |
10923833 | Reinforced female wire terminal | APTIV TECHNOLOGIES LIMITED |
10923834 | Intermediate product and method for crimping an electrical conductor | TE CONNECTIVITY GERMANY GMBH |
10923835 | Grounding devices, systems, and associated kits and methods | ONE ENERGY ENTERPRISES LLC |
10923836 | Deformable radio frequency interference shield | PCT INTERNATIONAL, INC. |
10923837 | Terminal block with sealing terminal lug | FORD GLOBAL TECHNOLOGIES, LLC |
10923838 | Connecting terminal and electrical apparatus | NEXANS |
10923839 | Linking board displacement mechanism and electronic apparatus | -- |
10923840 | Energy saving USB receptacle | RAFFEL SYSTEMS, LLC |
10923841 | Port for heat sink on active cable end | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10923842 | Systems and methods for signal integrity insertion loss minimization in input/output backplanes | DELL PRODUCTS L.P. |
10923843 | Receptacle assembly having cabled receptacle connector | TE CONNECTIVITY SERVICES GMBH |
10923844 | Printed circuit board assembly and electrical connector assembly | APTIV TECHNOLOGIES LIMITED |
10923845 | Electrical equipment for an automobile vehicle | VAKO SIEMENS EAUTOMOTIVE FRANCE SAS |
10923846 | Modular high performance contact element | TE CONNNECTIVITY SERVICES GMBH |
10923847 | Male terminal position assurance (TPA) device for a connector and method for assembling thereof | J.S.T. CORPORATION |
10923848 | Modular barrel contact system for electrical connectors | CARLISLE INTERCONNECT TECHNOLOGIES, INC. |
10923849 | Connector | J.S.T. MFG. CO., LTD. |
10923850 | Device for securing an object on a rail | WOEHNER GMBH & CO., KG ELEKTROTECHNISCHE SYSTEME |
10923851 | Outdoor electrical plug and socket cover | AMPFIBIAN HOLDINGS PTY LTD |
10923852 | Female connecting member, male connecting member, and mobile terminal | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10923854 | Connector and connector assembly | MOLEX, LLC |
10923855 | Connector to prevent misconnection of contacts without the use of a projection and a groove | JAPAN AVIATION ELECTRONICS INDUSTRY, LIMITED |
10923856 | Polarization feature for a receptacle cage | TE CONNECTIVITY CORPORATION |
10923857 | Connector module and connector for transmitting HF signals | ODU GMBH & CO. KG |
10923858 | Electrical connector | DAI-ICHI SEIKO CO., LTD. |
10923859 | Crosstalk reducing connector pin geometry | INTEL CORPORATION |
10923860 | Method for shielding and grounding a connector assembly from electromagnetic interference (EMI) using conductive seal and conductive housing | J.S.T. CORPORATION |
10923861 | Electromagnetic shield for an electrical terminal with integral spring contact arms | APTIV TECHNOLOGIES LIMITED |
10923862 | Connector | -- |
10923863 | High voltage connector and method for assembling thereof | J.S.T. CORPORATION |
10923864 | Overvoltage protection module for a modular plug connector | HARTING ELECTRIC GMBH & CO. KG |
10923865 | Electronic apparatus connection cable with identification information providing function | -- |
10923866 | Connection terminal and terminal connection structure | YAZAKI CORPORATION |
10923867 | Plug assemblies | R&S SHAEFFER PROPERTIES LLC |
10923868 | Low voltage power distribution system | IDEAL INDUSTRIES, INC. |
10923869 | Vehicle harness connector interface assemblies | TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC. |
10923870 | Care unit | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10923871 | Manufacturing method of crimping terminal | YAZAKI CORPORATION |
10923872 | Tool for disconnecting a connector | TERADYNE, INC. |
10923873 | Laser processing apparatus | DISCO CORPORATION |
10923874 | Multiple soliton comb generation method and device | ECOLE POLYTECHNIQUE FEDERALE DE LAUSANNE (EPFL) |
10923875 | Integrated component for an optical amplifier | LUMENTUM OPERATIONS LLC |
10923876 | Phase-change material (PCM) embedded heat exchanger assembly for laser diode cooling and systems and methods thereof | LOCKHEED MARTIN CORPORATION |
10923877 | Surface-mount laser apparatus and output optical power monitoring method | HUAWEI TECHNOLOGIES CO., LTD. |
10923878 | Method and system for providing directional light sources with broad spectrum | SORAA LASER DIODE, INC. |
10923879 | Method for fabricating an elctro-absorption modulated laser and electro-absorption modulated laser | FRAUNHOFER-GESELLSCHAFT ZUR FÖRDERUNG DER ANGEWANDTEN FORSCHUNG E.V. |
10923880 | Semiconductor laser device, diffraction grating structure, and diffraction grating | FURUKAWA ELECTRIC CO., LTD. |
10923881 | Monolithic integrated semiconductor random laser | TAIYUAN UNIVERSITY OF TECHNOLOGY |
10923882 | Nitride semiconductor light-emitting device | USHIO DENKI KABUSHIKI KAISHA |
10923883 | Optical device, optical unit, display device, and prism fixing method | RICOH COMPANY, LTD. |
10923884 | Two-section edge-emitting laser | MICROSOFT TECHNOLOGY LICENSING, LLC |
10923885 | Surge protection component and method for producing a surge protection component | EPCOS AG |
10923887 | Wire for an ignition coil assembly, ignition coil assembly, and methods of manufacturing the wire and ignition coil assembly | TENNECO INC. |
10923889 | Ganging a plurality of wall mounted electric devices | CRESTRON ELECTRONICS, INC. |
10923891 | Pressure relief mechanisms for gas insulated switchgear (GIS) housings and related GIS housings | EATON INTELLIGENT POWER LIMITED |
10923893 | Tubing structure with magnetic control | -- |
10923895 | Hinged mud ring assembly | HUBBELL INCORPORATED |
10923896 | Modular electrical control system | GOOGLE LLC |
10923900 | Low voltage protection device with clock testing | ABB SCHWEIZ AG |
10923911 | Controllable electrical outlet with a controlled wired output | LUTRON TECHNOLOGY COMPANY LLC |
10923921 | Wireless power transfer systems for surfaces | WITRICITY CORPORATION |
10923926 | Connector-based high-voltage lockout function | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
10923928 | USB interface for recharging an electronic device, intended to equip a transport vehicle | ALSTOM TRANSPORT TECHNOLOGIES |
10923929 | Charging system with compressible contacts | STARKEY LABORATORIES, INC. |
10923939 | Wireless power transmitter and receiver | LG ELECTRONICS INC. |
10923942 | Activation of electronic devices | RHEON LABS LTD |
10923949 | Wireless power supply device and electrical equipment | TYCO ELECTRONICS (SHANGHAI) CO. LTD. |
10923952 | Secondary-side output boost technique in power converters and wireless power transfer systems | CHARGEDGE, INC. |
10923955 | Wireless power system with resonant circuit tuning | APPLE INC. |
10923957 | Wireless power transfer system | THE UNIVERSITY OF HONG KONG |
10923958 | Power transmitter, resonance-type contactless power supply and control method thereof | SILERGY SEMICONDUCTOR TECHNOLOGY (HANGZHOU) LTD |
10923962 | Power transmission device non-contact power feeding system with detection circuit to detect current amplitude in transmission-side coil | ROHM CO., LTD. |
10923966 | Coil structures for alignment and inductive wireless power transfer | CHARGEDGE, INC. |
10923986 | Magnetic anti-lock device | -- |
10923990 | External unit and motor | MINEBEA MITSUMI INC. |
10923992 | Drive device and electric power steering device using same | DENSO CORPORATION |
10923993 | Alignment method and apparatus for electric conductors | HONDA MOTOR CO., LTD. |
10923994 | Method for producing lamellae for a lamellae package, in particular for electrical machines and generators, device having at least one punch press, and lamellae and lamellae package produced according to the method | KIENLE + SPIESS GMBH |
10923995 | Adhesive laminate core manufacturing apparatus and core laminator therefor | POSCO DAEWOO CORPORATION |
10924025 | Regenerative cascaded H bridge power supply | ROCKWELL AUTOMATION TECHNOLOGIES, INC. |
10924026 | Regenerative cascaded H bridge power supply | ROCKWELL AUTOMATION TECHNOLOGIES, INC. |
10924058 | Local oscillator distribution for a millimeter wave semiconductor device | GLOBALFOUNDRIES INC. |
10924145 | Proximity-independent SAR mitigation | MICROSOFT TECHNOLOGY LICENSING, LLC |
10924149 | Underground base station | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
10924169 | Small cell antennas suitable for MIMO operation | COMMSCOPE TECHNOLOGIES LLC |
10924184 | Transmission apparatus, transmission method, reception apparatus, and reception method | SONY CORPORATION |
10924230 | Avoiding or correcting inter-cell interference based on an azimuthal modification | SPRINT COMMUNICATIONS COMPANY L.P. |
10924247 | State prediction process and methodology | ETHERTRONICS, INC. |
10924638 | Compact, low cost VCSEL projector for high performance stereodepth camera | INTEL CORPORATION |
10924841 | Bluetooth sport earphone | SHENZHEN GRANDSUN ELECTRONIC CO., LTD. |
10924942 | Apparatus and methods for transmitting or receiving electromagnetic waves | AT&T INTELLECTUAL PROPERTY I, L.P. |
10925115 | Signal booster with coaxial cable connections | WILSON ELECTRONICS, LLC |
10925121 | Hand held induction heater and various transformer embodiments therefor | -- |
10925127 | Discharge lamp drive device, light source device, projector, and discharge lamp drive method | SEIKO EPSON CORPORATION |
10925146 | Ion source chamber with embedded heater | APPLIED MATERIALS, INC. |
10925148 | Printed circuit board assembly | SAMSUNG ELECTRONICS CO., LTD. |
10925149 | High frequency module, board equipped with antenna, and high frequency circuit board | MURATA MANUFACTURING CO., LTD. |
10925151 | Systems and methods for providing a high speed interconnect system with reduced crosstalk | EAGLE TECHNOLOGY, LLC |
10925153 | System and method to provide connection pads for high speed cables | DELL PRODUCTS L.P. |
10925159 | Circuit device | DONGGUAN LUXSHARE TECHNOLOGIES CO., LTD. |
10925160 | Electronic device with a display assembly and silicon circuit board substrate | AMAZON TECHNOLOGIES, INC. |
10925161 | Printed circuit board | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10925164 | Stackable passive component | APPLE INC. |
10925166 | Protection fixture | -- |
10925173 | Programmable AC power distribution device | -- |
10925174 | Field serviceable and replaceable assembly | MANUFACTURING RESOURCES INTERNATIONAL, INC. |
10925175 | Compression gasket for an electronic assembly | -- |
10925176 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
10925177 | Heat dissipation in an electronic circuit and method | COMET AG |
10925178 | Avionic sliding rack | BELL HELICOPTER TEXTRON INC. |
10925179 | Cooling structures having shielding for electromagnetic inteference | ARISTA NETWORKS, INC. |
10925180 | IT container system design approach for fast deployment and high compatibility application scenarios | BAIDU USA LLC |
10925181 | Converter | LG INNOTEK CO., LTD. |
10925182 | Dual heat transfer assembly for a receptacle assembly | TE CONNECTIVITY CORPORATION |
10925183 | 3D extended cooling mechanism for integrated server | -- |
10925184 | Data center | NTT LTD JAPAN CORPORATION |
10925185 | Modular data center with integrated return air plenum for external side-mounted air cooling unit | DELL PRODUCTS L.P. |
10925186 | Vertical lift heat transfer device for pluggable modules | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
10925187 | Remote heat exchanger arm for direct contact liquid cooling for rack mounted equipment | DELL PRODUCTS L.P. |
10925188 | Self-contained immersion cooling server assemblies | MICROSOFT TECHNOLOGY LICENSING, LLC |
10925189 | System of heated air staging chamber for server cluster of data center | ERS GX HOLDING PTE LTD |
10925190 | Leak detection and response system for liquid cooling of electronic racks of a data center | BAIDU USA LLC |
10925191 | Methods and apparatus to manage power delivery for a data center based on predicted power consumption | VMWARE, INC. |
10925192 | Using predictive analytics in electrochemical and electromechanical systems | MODIUS INC. |
10925193 | Control device for a gearbox control system of a motor vehicle | ROBERT BOSCH GMBH |
10925194 | Dual chamber wind tunnels for a welding-type power source | ILLINOIS TOOL WORKS INC. |
10925195 | Outdoor display apparatus | SAMSUNG ELECTRONICS CO., LTD. |
10925196 | Dimensionally-constrained device faraday cage | MICROSOFT TECHNOLOGY LICENSING, LLC |
10925197 | Electrical filter for resistively earthed systems | JOY GLOBAL UNDERGROUND MINING LLC |
10925198 | Shaft device, mounting head, and surface mounter | YAMAHA HATSUDOKI KABUSHIKI KAISHA |
10925199 | Component mounter | FUJI CORPORATION |
10925200 | Mounting processing method, mounting system, exchange control device, and component mounter | FUJI CORPORATION |
10925201 | Optimization device for production line | FUJI CORPORATION |
10925366 | Process for making a case for a mobile device | TECH 21 LICENSING LIMITED |
10925393 | Bracket device | -- |
10925398 | Slide rail assembly | -- |
10925405 | Solar charged beach chair assembly | -- |
10925412 | Wall hanging system | BLACK & DECKER INC. |
10925464 | Imaging unit and endoscope | OLYMPUS CORPORATION |
10925571 | Intra-oral imaging sensor with operation based on output of a multi-dimensional sensor | DENTAL IMAGING TECHNOLOGIES CORPORATION |
10925985 | Systems and methods for sterilization using nonthermal plasma generation | ILLINOIS TOOL WORKS INC. |
10926030 | Apparatus, system and method for fluid delivery | DEKA PRODUCTS LIMITED PARTNERSHIP |
10926099 | Utility module interface | -- |
10926190 | Purified lithium bis(fluorosulfonyl)imide (LiFSI) products, methods of purifying crude LiFSI, and uses of purified LiFSI products | SES HOLDINGS PTE. LTD. |
10926255 | Apparatus and method for providing a time varying voltage | NOKIA TECHNOLOGIES OY |
10926287 | Method of calibrating a dispenser | ILLINOIS TOOL WORKS INC. |
10926333 | Caster assembly | URBAN MINING TECHNOLOGY COMPANY, INC. |
10926421 | Fluid and cable management adapter for delivering utilities to an operable machine component | INTEGRATED PACKAGING MACHINERY, LLC |
10926439 | Overmolded electronic components for transaction cards and methods of making thereof | COMPOSECURE, LLC |
10926651 | Method for controlling power transmitting device in wireless power transmission system and power transmitting device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10926653 | Traction battery charging arrangement | DR. ING. H.C. F. PORSCHE AKTIENGESELLSCHAFT |
10926697 | Switch structure | HONDA MOTOR CO., LTD. |
10926714 | Vehicle camera with EMI shielding | MAGNA ELECTRONICS INC. |
10926719 | Power supply trunk line routing structure for vehicle and vehicle | TOYOTA JIDOSHA KABUSHIKI KAISHA |
10926720 | Rubber spool grommets for assembly to vehicle | FORD GLOBAL TECHNOLOGIES, LLC |
10926866 | Autopilot and manual control switching | RATIER-FIGEAC SAS |
10927013 | Double perovskite | OXFORD UNIVERSITY INNOVATION LIMITED |
10927045 | Ceramic composite materials and methods | FLORIDA STATE UNIVERSITY RESEARCH FOUNDATION, INC. |
10927430 | Material for laminated iron core, and method of manufacturing laminated iron core | JFE STEEL CORPORATION |
10927449 | Extension of PVD chamber with multiple reaction gases, high bias power, and high power impulse source for deposition, implantation, and treatment | APPLIED MATERIALS, INC. |
10927459 | Systems and methods for atomic layer deposition | ASM IP HOLDING B.V. |
10927466 | Passivating window and capping layer for photoelectrochemical cells | ALLIANCE FOR SUSTAINABLE ENERGY, LLC |
10927473 | Oxide coatings for metal surfaces | APPLE INC. |
10927665 | Composite slickline communication | PARADIGM TECHNOLOGY SERVICES B.V. |
10927806 | Solenoid drive for a starter for an internal combustion engine | MAHLE INTERNATIONAL GMBH |
10927826 | Electrical device and method having an electrical cord set convertible between different electrical amperage ratings | GLOBE (JIANGSU) CO., LTD. |
10927848 | Fan module and electronic device | -- |
10927907 | Control method of an electromagnetic brake with a controllable armature disc movement | CHR. MAYR GMBH + CO. KG |
10927996 | Wall mounted electronic device and corresponding method for mounting | INTERDIGITAL MADISON PATENT HOLDINGS, SAS |
10928027 | Card edge connector for a lighting module | VALEO NORTH AMERICA, INC. |
10928070 | Electro-mechanical interface for an appliance | BREVILLE PTY LIMITED |
10928139 | Assembly and process for heat transfer with three surfaces | ADVANCED COOLING TECHNOLOGIES, INC. |
10928141 | Heat exchanger for cooling multiple layers of electronic modules | DANA CANADA CORPORATION |
10928142 | Water-cooling head | -- |
10928145 | Dual zone common catch heat exchanger/chiller | APPLIED MATERIALS, INC. |
10928200 | Frequency pulling reduction in SBS laser | HONEYWELL INTERNATIONAL INC. |
10928265 | Gas analysis with an inverted magnetron source | MKS INSTRUMENTS, INC. |
10928335 | Adaptive specimen image acquisition using an artificial neural network | FEI COMPANY |
10928343 | Water recognition system, electronic device including the same, and method of recognizing water thereby | SAMSUNG ELECTRONICS CO., LTD. |
10928347 | Electrical tree test method, electrode structure, and electrode setting assembly | TOSHIBA MITSUBISHI-ELECTRIC INDUSTRIAL SYSTEMS CORPORATION |
10928358 | Mass spectrometer using judgement condition for display | SHIMADZU CORPORATION |
10928363 | Method and device for chromatographic mass spectrometry | SHIMADZU CORPORATION |
10928374 | Non-invasive measurement of blood within the skin using array of laser diodes with Bragg reflectors and a camera system | OMNI MEDSCI, INC. |
10928420 | Probe pin and inspection unit | OMRON CORPORATION |
10928424 | Shunt resistor | SUNCALL CORPORATION |
10928430 | High precision decade capacitance standard box | CHENGDU KAIPU ELECTRONIC SCIENCE AND TECHNOLOGIES CO. LTD. |
10928441 | Circuit device, tester, inspection device, and method of adjusting bending of circuit board | TOKYO ELECTRON LIMITED |
10928484 | Directional radar transmitting and receiving system | GARDENIA INDUSTRIAL LIMITED |
10928561 | Enclosures having an improved tactile surface | CORNING INCORPORATED |
10928582 | Display device | FUNAI ELECTRIC CO., LTD. |
10928600 | Transmitter optical subassembly (TOSA) with laser diode driver (LDD) circuitry mounted to feedthrough of TOSA housing | APPLIED OPTOELECTRONICS, INC. |
10928601 | Network topology modules | SEAGATE TECHNOLOGY LLC |
10928614 | Diffractive concentrator structures | SEARETE LLC |
10928625 | Actuator device and method for operating an actuator device | ETO MAGNETIC GMBH |
10928649 | Wearable electronic device with articulated joint | SNAP INC. |
10928658 | Heat management for electronic devices | SNAP INC. |
10928661 | Semiconductor device having bent portion | JAPAN DISPLAY INC. |
10928699 | Electrochromic device | LEAPHIGH INC. |
10928734 | Optical assembly for guiding an output beam of a free electron laser | CARL ZEISS SMT GMBH |
10928740 | Three-dimensional calibration structures and methods for measuring buried defects on a three-dimensional semiconductor wafer | KLA CORPORATION |
10928855 | Dock with actively controlled heatsink for a multi-form factor Information Handling System (IHS) | DELL PRODUCTS L.P. |
10928862 | Electronic device having handle | -- |
10928865 | Electronic apparatus | LENOVO (SINGAPORE) PTE. LTD. |
10928866 | Universal low profile to full height form factor adapter | DELL PRODUCTS L.P. |
10928868 | Heat dissipating assembly and electronic device using the same | -- |
10928869 | Heat dissipation module | -- |
10928879 | Architecture for improving reliability of mult-server system | ZHENGZHOU YUNHAI INFORMATION TECHNOLOGY CO., LTD. |
10928923 | Apparatuses, systems, and methods for representing user interactions with real-world input devices in a virtual space | FACEBOOK TECHNOLOGIES, LLC |
10928933 | Mouse device | -- |
10929635 | Display device including a fingerprint scanner | LG DISPLAY CO., LTD. |
10929732 | Power receiving-type information acquisition and transmission device, and information acquisition system | NEJILAW INC. |
10929741 | Cross-registration for unclonable chipless RFID tags | UNIVERSITY OF FLORIDA RESEARCH FOUNDATION, INCORPORATED |
10930102 | Method for employing a RFID walk-through gate | NEC CORPORATION |
10930409 | Resin compositions comprising sorbic esters | ELANTAS GMBH |
10930410 | Flat flexible cable with bonded ground wires and method for forming same | DELL PRODUCTS L.P. |
10930411 | Hybrid cable assembly having shielded and unshielded portions | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930412 | Coupled power and control cable | SOUTHWIRE COMPANY, LLC |
10930413 | Shield connection structure and wire harness | AUTONETWORKS TECHNOLOGIES, LTD. |
10930414 | Method for extracting crosslinking by-products from a crosslinked electrically insulating system of a power cable and related power cable | PRYSMIAN S.P.A. |
10930415 | Data cable for areas at risk of explosion | LEONI KABEL GMBH |
10930416 | Coaxial cable and medical cable | HITACHI METALS, LTD. |
10930417 | Rapid consolidation method for preparing bulk metastable iron-rich materials | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
10930418 | Magnetic shielding unit for magnetic security transmission, module comprising same, and portable device comprising same | AMOSENSE CO., LTD. |
10930419 | Inductor | NISSAN MOTOR CO., LTD. |
10930420 | Coil component | TAIYO YUDEN CO., LTD. |
10930421 | Method of tuning an inductance of an inductive sensor | SIMMONDS PRECISION PRODUCTS, INC. |
10930422 | Power electronics device with improved isolation performance | MURATA MANUFACTURING CO., LTD. |
10930423 | Single magnetic assembly combining three independent magnetics using a modified “E” core with four winding windows | UNIVERSAL LIGHTING TECHNOLOGIES, INC. |
10930424 | Low profile inductor | UNIVERSAL LIGHTING TECHNOLOGIES, INC. |
10930425 | Inductor | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10930426 | Coil component | TAIYO YUDEN CO., LTD. |
10930427 | Coil component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10930428 | Flat adjustable capacitor for magnetic resonance scanner | SIEMENS HEALTHCARE GMBH |
10930429 | Tunable magnetic core structure | UNIVERSAL LIGHTING TECHNOLOGIES, INC. |
10930430 | Coil assembly | ETAEM GMBH |
10930431 | Power transmission unit | YAZAKI CORPORATION |
10930432 | Wide range capacitor standard box | CHENGDU KAIPU ELECTRONIC SCIENCE AND TECHNOLOGIES CO. LTD. |
10930433 | Multilayer ceramic electronic component | MURATA MANUFACTURING CO., LTD. |
10930434 | Multi-layered ceramic electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10930435 | Multilayer element and LC filter | MURATA MANUFACTURING CO., LTD. |
10930436 | Multilayer ceramic capacitor | TAIYO YUDEN CO., LTD. |
10930437 | Capacitor stack insulation | CARDIAC PACEMAKERS, INC. |
10930438 | Multilayer ceramic capacitor with reduced thickness | MURATA MANUFACTURING CO., LTD. |
10930439 | Multilayer capacitor including liquid pocket | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10930440 | Multilayer ceramic electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10930441 | Nitrogen-doping porous graphene material in supercapacitor and production method thereof | -- |
10930442 | Light-transmitting electrode having carbon nanotube film, solar cell, method for producing light-transmitting electrode having carbon nanotube film, and method for manufacturing solar cell | UNIVERSITY OF TOKYO |
10930443 | Photoelectric conversion element | RICOH COMPANY, LTD. |
10930444 | Electrochemical device | TDK CORPORATION |
10930445 | Rotary operating device, method of controlling rotary operating device, and storage medium | ALPS ALPINE CO., LTD. |
10930446 | Circuit breakers with gas-blocking members and related methods | EATON INTELLIGENT POWER LIMITED |
10930447 | Method for positioning inhibitor switch | JATCO LTD |
10930448 | Push-button switch member | SHIN-ETSU POLYMER CO., LTD. |
10930449 | Electric switch | JOHNSON ELECTRIC INTERNATIONAL AG |
10930450 | Keyswitch | -- |
10930451 | Keyswitch with adjustable tactile feedback | -- |
10930452 | Electronic kill and physical cover switch | PURISM |
10930453 | Rotary switch | EWAC HOLDING B.V. |
10930454 | Insulation arrangement for a high or medium voltage assembly | SIEMENS AKTIENGESELLSCHAFT |
10930455 | Switch based control of lighting fixture integrated device | SIGNIFY HOLDING B.V. |
10930456 | Microelectromechanical systems switch die | QORVO US, INC. |
10930457 | Electromagnetic relay deicing system including control circuit | SUBARU CORPORATION |
10930458 | Low-voltage circuit breaker | EATON INTELLIGENT POWER LIMITED |
10930459 | Electromagnetic relay | FUJITSU COMPONENT LIMITED |
10930460 | Relay structure with heat dissipation function | -- |
10930461 | Electronic circuit breaker with lockout mechanism integrated into electronic trip mechanism | SIEMENS INDUSTRY, INC. |
10930462 | Trip free relay | ROCKWELL AUTOMATION TECHNOLOGIES, INC. |
10930463 | Ventilated fuse housing | LITTELFUSE, INC. |
10930464 | Vapour monitoring | EXCILLUM AB |
10930465 | Boron x-ray window | MOXTEK, INC. |
10930466 | Ion milling apparatus and sample holder | JEOL LTD. |
10930467 | Sample holder system and sample observation apparatus | JEOL LTD. |
10930468 | Charged particle beam apparatus using focus evaluation values for pattern length measurement | HITACHI HIGH-TECH CORPORATION |
10930469 | Charged particle beam writing apparatus and charged particle beam writing method | NUFLARE TECHNOLOGY, INC. |
10930470 | Pulsed, bidirectional radio frequency source/load | MKS INSTRUMENTS, INC. |
10930471 | Methods and apparatus for etching semiconductor structures | APPLIED MATERIALS, INC. |
10930472 | Methods for forming a metal silicide interconnection nanowire structure | APPLIED MATERIALS, INC. |
10930473 | Apparatus and method for plasma synthesis of carbon nanotubes | FGV CAMBRIDGE NANOSYSTEMS LIMITED |
10930474 | Toroidal plasma channel with varying cross-section areas along the channel | MKS INSTRUMENTS, INC. |
10930475 | Graded in-situ charge trapping layers to enable electrostatic chucking and excellent particle performance for boron-doped carbon films | APPLIED MATERIALS, INC. |
10930476 | Plasma processing device | HITACHI HIGH-TECH CORPORATION |
10930477 | Plasma processing apparatus | TOKYO ELECTRON LIMITED |
10930478 | Apparatus with optical cavity for determining process rate | LAM RESEARCH CORPORATION |
10930479 | Smart chamber and smart chamber components | APPLIED MATERIALS, INC. |
10930480 | Ion detectors and methods of using them | PERKINELMER HEALTH SCIENCES, INC. |
10930481 | Sample quantitation with a miniature mass spectrometer | PURDUE RESEARCH FOUNDATION |
10930482 | Adaptive and targeted control of ion populations to improve the effective dynamic range of mass analyser | MICROMASS UK LIMITED |
10930484 | Ion detector | HAMAMATSU PHOTONICS K.K. |
10930485 | Ion source for an ion mobility spectrometer | HAMILTON SUNDSTRAND CORPORATION |
10930486 | Device for extracting volatile species from a liquid | DANMARKS TEKNISKE UNIVERSITET |
10930487 | Double bend ion guides and devices using them | PERKINELMER HEALTH SCIENCES CANADA, INC. |
10930488 | Mass spectrometer, sampling probe, and analysis method | SHIMADZU CORPORATION |
10930489 | Automatic control of flow rate for sample introduction system responsive to sample intensity | ELEMENTAL SCIENTIFIC, INC. |
10930514 | Method and apparatus for the planarization of surfaces | FEI COMPANY |
10930526 | Rare-earth oxide based coatings based on ion assisted deposition | APPLIED MATERIALS, INC. |
10930531 | Adaptive control of wafer-to-wafer variability in device performance in advanced semiconductor processes | APPLIED MATERIALS, INC. |
10930533 | Substrate processing apparatus, substrate processing system and method of manufacturing semiconductor device | KOKUSAI ELECTRIC CORPORATION |
10930560 | Laser-based separation method | SILTECTRA GMBH |
10930575 | Reconfigurable cooling assembly for integrated circuitry | INTEL CORPORATION |
10930576 | Gallium-nitride based devices implementing an engineered substrate structure | QROMIS, INC. |
10930577 | Device for cooling electrical components | ROBERT BOSCH GMBH |
10930578 | Circuit device | AUTONETWORKS TECHNOLOGIES, LTD. |
10930579 | Self-contained liquid cooled semiconductor packaging | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930584 | Electronic component and three-terminal capacitor | MURATA MANUFACTURING CO., LTD. |
10930598 | Display device and flexible circuit board thereof | HKC CORPORATION LIMITED |
10930609 | Method of forming a solder bump structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930620 | Multi-chip detector appratus | KOREA UNIVERSITY RESEARCH AND BUSINESS FOUNDATION |
10930677 | Alternative designs for addressing contacts that enhance bend ability of TFT backplanes | PALO ALTO RESEARCH CENTER INCORPORATED |
10930823 | Light-emitting device, method for designing light-emitting device, method for driving light-emitting device, illumination method, and method for manufacturing light-emitting device | CITIZEN ELECTRONICS CO., LTD. |
10930836 | Reducing surface loss and stray coupling in quantum devices using dielectric thinning | GOOGLE LLC |
10930837 | HTS magnet sections | TOKAMAK ENERGY LTD |
10930879 | Display device | LG DISPLAY CO., LTD. |
10930881 | Foldable display apparatus and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
10930883 | Foldable display device | SAMSUNG DISPLAY CO., LTD. |
10930924 | Chemical-free production of surface-stabilized lithium metal particles, electrodes and lithium battery containing same | GLOBAL GRAPHENE GROUP, INC. |
10930933 | Conductive polymer binder for a novel silicon/graphene anode in lithium ion batteries | BAYERISCHE MOTOREN WERKE AKTIENGESELLSCHAFT |
10930962 | Nitrogen battery, fuel synthesizing apparatus, and fuel synthesizing method | KABUSHIKI KAISHA TOSHIBA |
10930979 | Energy storage device and method of manufacturing energy storage device | BLUE ENERGY CO., LTD. |
10930987 | Microfabricated air bridges for planar microwave resonator circuits | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930988 | Resin with plating layer and method of manufacturing the same | HITACHI METALS, LTD. |
10930989 | Structural body, laminated structure of structural body, and antenna structure | NEC CORPORATION |
10930990 | Device having at least one subset of stripline sections on opposite sides of an electrically conductive structure and configured to have positive coupling | INFINEON TECHNOLOGIES AG |
10930991 | Method and/or apparatus for frictionless wideband high-power radio-frequency power transmission across a freely moving interface | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
10930992 | Method and apparatus for communicating between waveguide systems | AT&T INTELLECTUAL PROPERTY I, L.P. |
10930993 | Antenna device and reception device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10930994 | Waveguide transition comprising a feed probe coupled to a waveguide section through a waveguide resonator part | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
10930995 | Power divider/combiner | MITSUBISHI ELECTRIC CORPORATION |
10930996 | Integrated cell site sector | COMMSCOPE TECHNOLOGIES LLC |
10930997 | Connection structure | SZ DJI TECHNOLOGY CO., LTD. |
10930998 | Antenna system and electronic device | AAC TECHNOLOGIES PTE. LTD. |
10930999 | Antenna module and mobile terminal | AAC TECHNOLOGIES PTE. LTD. |
10931000 | Antenna module and electronic device including the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10931001 | Electronic apparatus and smartphone | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10931002 | Light transmitting wearable device with transparent and translucent components | DISNEY ENTERPRISES, INC. |
10931003 | Antenna with modular radiating elements | -- |
10931004 | Enhanced MIMO communication systems using reconfigurable metasurface antennas and methods of using same | DUKE UNIVERSITY |
10931005 | Hearing device incorporating a primary antenna in conjunction with a chip antenna | STARKEY LABORATORIES, INC. |
10931006 | Chip antenna | KABUSHIKI KAISHA TOSHIBA |
10931007 | Antenna module, manufacturing method thereof, and electronic device including the antenna module | LG ELECTRONICS INC. |
10931008 | Antenna module and mobile terminal | AAC TECHNOLOGIES PTE. LTD. |
10931009 | Methods for selectively shielding radio frequency modules | SKYWORKS SOLUTIONS, INC. |
10931010 | Anti-EMI antenna | -- |
10931011 | Antenna system | -- |
10931012 | Device with programmable reflector for transmitting or receiving electromagnetic waves | AT&T INTELLECTUAL PROPERTY I, L.P. |
10931013 | Electronic device having dual-frequency ultra-wideband antennas | APPLE INC. |
10931014 | High gain and large bandwidth antenna incorporating a built-in differential feeding scheme | SAMSUNG ELECTRONICS CO., LTD. |
10931015 | Antenna unit and electronic device | MURATA MANUFACTURING CO., LTD. |
10931016 | Three-dimensional inverted-F antenna element and antenna assembly and communication system having the same | TE CONNECTIVITY CORPORATION |
10931017 | Antenna | AGC INC. |
10931018 | Multi-feed dielectric antenna system with core selection and methods for use therewith | AT&T INTELLECTUAL PROPERTY I, L.P. |
10931019 | Helix antenna | LOCKHEED MARTIN CORPORATION |
10931020 | Waveguide feed network architecture for wideband, low profile, dual polarized planar horn array antennas | VIASAT, INC. |
10931021 | Antenna lens array for tracking multiple devices | MATSING, INC. |
10931022 | Reconfigurable arrays with multiple unit cells | THE FLORIDA INTERNATIONAL UNIVERSITY BOARD OF TRUSTEES |
10931023 | MIMO coupler array with high degrees of freedom | RF DSP INC. |
10931024 | Linear-to-CP polarizer with enhanced performance in VICTS antennas | THINKOM SOLUTIONS, INC. |
10931025 | Method for designing gradient index lens and antenna device using same | NEC CORPORATION |
10931026 | Portable BDA apparatus and method | -- |
10931027 | Method for array elements arrangement of l-shaped array antenna based on inheritance of acquired character | DONGGUAN UNIVERSITY OF TECHNOLOGY |
10931028 | Compact electronic scanning antenna | THALES |
10931029 | Device and method for adjusting beam by using lens in wireless communication system | SAMSUNG ELECTRONICS CO., LTD. |
10931030 | Center fed open ended waveguide (OEWG) antenna arrays | WAYMO LLC |
10931031 | Compact antenna having three-dimensional multi-segment structure | TOPCON POSITIONING SYSTEMS, INC. |
10931032 | Split diamond antenna element for controlling azimuth pattern in different array configurations | QUINTEL CAYMAN LIMITED |
10931033 | Multi-polarization millimeter wave (mmWave) transmitter/receiver architecture with shared power amplifiers | QORVO US, INC. |
10931034 | Antenna system and mobile terminal | AAC TECHNOLOGIES PTE. LTD. |
10931035 | Parasitic elements for isolating orthogonal signal paths and generating additional resonance in a dual-polarized antenna | QUINTEL CAYMAN LIMITED |
10931036 | Fluidic wire connectors | TEVERI LLC |
10931037 | Dual contact IDC header pin | J.S.T. CORPORATION |
10931038 | Terminal-attached electric wire and wire harness | AUTONETWORKS TECHNOLOGIES, LTD. |
10931039 | Flexible coaxial connector | CISCO TECHNOLOGY, INC. |
10931040 | Controlled-impedance circuit board connector assembly | ARDENT CONCEPTS, INC. |
10931041 | Cable connector having a slider for compression | PPC BROADBAND, INC. |
10931042 | Electrical connection system with two connection branches | TE CONNECTIVITY SERVICES GMBH |
10931043 | Electrical connector | -- |
10931044 | Board-to-board connector and board-to-board connector assembly | -- |
10931045 | Connector | JAPAN AVIATION ELECTRONICS INDUSTRY, LIMITED |
10931046 | Circuit device | AUTONETWORKS TECHNOLOGIES, LTD. |
10931047 | Connector and display device having the same | SAMSUNG DISPLAY CO., LTD. |
10931048 | Decoupled spring and electrical path in connector interface | APPLE INC. |
10931049 | Terminal, connector, and connector manufacturing method | HIROSE ELECTRIC CO., LTD. |
10931050 | High-frequency electrical connector | AMPHENOL CORPORATION |
10931051 | Connector and receptacle | TYCO ELECTRONICS (SHANGHAI) CO. LTD. |
10931052 | Connectors with contacts bonded to tongue for improved structural integrity | APPLE INC. |
10931053 | Expandable electrical device cover | HUBBELL INCORPORATED |
10931054 | Connector structure including plate spring member provided at bottom of mating hood, and backlash regulating member provided on opposite side of mating hood bottom and urged opposite to fitting direction of mating hood by plate spring member | YAZAKI CORPORATION |
10931055 | Multifunction connector | 3M INNOVATIVE PROPERTIES COMPANY |
10931056 | Connector | AUTONETWORKS TECHNOLOGIES, LTD. |
10931057 | Waterproof ejection mechanism for a card connector | JUST CONNECTOR (KUNSHAN) CO., LTD |
10931058 | Gaskets for sealing spring-loaded contacts | APPLE INC. |
10931059 | Hermetic edge-connect headers and corresponding connectors | NATIONAL TECHNOLOGY & ENGINEERING SOLUTIONS OF SANDIA, LLC |
10931060 | Connector with an annular shaped magnetic core and an insulating potting agent | YAZAKI CORPORATION |
10931061 | Charging plug for electric automobiles and the production thereof | DR. ING. H.C. F. PORSCHE AKTIENGESELLSCHAFT |
10931062 | High-frequency electrical connector | AMPHENOL CORPORATION |
10931063 | High speed connector assembly, socket connector and grounding plate | OUPIIN ELECTRONIC (KUNSHAN) CO., LTD. |
10931064 | Electrical connector having conformal pin organizer | TE CONNECTIVITY CORPORATION |
10931065 | Connector including plate-shaped conductor and casing with through hole | -- |
10931066 | System and method for sensing temperature of a receptacle | HUBBELL INCORPORATED |
10931067 | Common mode choke | HOLLAND ELECTRONICS, LLC |
10931068 | Connector having a grounding member operable in a radial direction | PPC BROADBAND, INC. |
10931069 | High-density electrical connector | ATL TECHNOLOGY, LLC |
10931070 | Information handling system hinge with integrated coaxial cable connection | DELL PRODUCTS L.P. |
10931071 | Wiring module and connection member for wiring module | AUTONETWORKS TECHNOLOGIES, LTD. |
10931072 | Transmitting device, reception device, and optical-electrical composite cable | SONY CORPORATION |
10931073 | Retractable charging adapter assembly | -- |
10931074 | Assembly of worldwide AC adapter supporting foldable prongs | DELL PRODUCTS L.P. |
10931075 | Apparatus and method for optical isolation | SPI LASERS UK LIMITED |
10931076 | Exciting a crystal of a pockels cell | TRUMPF SCIENTIFIC LASERS GMBH + CO. KG |
10931077 | Optical reference cavity | GOVERNMENT OF THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF COMMERCE |
10931078 | Method and device for generating pulsed laser radiation | TOPTICA PHOTONICS AG |
10931079 | Brillouin sensing system using optical microwave frequency discriminators and scrambler | ZIBO QI-RED PHOTOELECTRIC TECHNOLOGY CO., LTD. |
10931080 | Laser package with high precision lens | WAYMO LLC |
10931081 | Method of producing an optoelectronic lighting device and optoelectronic lighting device | OSRAM OLED GMBH |
10931083 | Optical apparatus including a cooling device and a gap | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
10931084 | Edge-emitting semiconductor laser and method for operating a semiconductor laser | OSRAM OLED GMBH |
10931085 | Super structure grating and tunable laser | HUAWEI TECHNOLOGIES CO., LTD. |
10931086 | Spark plug including a ground electrode having slanted surfaces and a facing portion facing a distal end surface of a center electrode | DENSO CORPORATION |
10931087 | Ignition plug, control system, internal combustion engine, and internal combustion engine system | NGK SPARK PLUG CO., LTD. |
10931091 | Misaligned deadend clamp | HUBBELL INCORPORATED |
10931093 | Disconnect switch for interupption dc circuit between DC power source and load | ELLENBERGER & POENSGEN GMBH |
10931106 | Apparatus and method for altering the properties of materials by processing through the application of a magnetic field | DYNAPULSE, L.L.C. |
10931117 | Landfill gas powered datacenter | ANNAPOLIS |
10931118 | Wireless connector transmitter module with an electrical connector | NUCURRENT, INC. |
10931136 | Portable electronic device | ZAPGO LTD |
10931148 | Waveform design for RF power transfer | BOARD OF REGENTS, THE UNIVERSITY OF TEXAS SYSTEM |
10931151 | Combination antenna module and portable electronic device including same | AMOTECH CO., LTD. |
10931152 | Method of manufacturing magnetic field shielding sheet and magnetic field shielding sheet formed thereby | AMOSENSE CO., LTD. |
10931159 | Electrical insulating structure producing method, electrical insulating structure and rotating electrical machine | TOSHIBA MITSUBISHI-ELECTRIC INDUSTRIAL SYSTEMS CORPORATION |
10931160 | Actuator | MURAKAMI CORPORATION |
10931166 | Flexible display and display method thereof | HUIZHOU CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10931173 | Combined cooling and dust extrusion device and method | GE RENEWABLE TECHNOLOGIES |
10931179 | Fiber grounding brush | AKTIEBOLAGET SKF |
10931192 | Discrete capacitor structure | TEXAS INSTRUMENTS INCORPORATED |
10931206 | Power supply for output of various specifications | FUJI ELECTRIC CO., LTD. |
10931251 | Structure and method of manufacture for acoustic resonator or filter devices using improved fabrication conditions and perimeter structure modifications | AKOUSTIS, INC. |
10931252 | Magnetoinductive waveguide | OXFORD UNIVERSITY INNOVATION LTD. |
10931253 | Cascaded filter circuit with hybrid acoustic LC filter | SKYWORKS SOLUTIONS, INC. |
10931254 | Front end module | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10931263 | Filter circuits having a resonator-based filter and a magnetically-coupled filter | QORVO US, INC. |
10931274 | Temperature-sensitive bias circuit | GLOBALFOUNDRIES U.S. INC. |
10931319 | Antenna module, terminal, control method and device and storage medium | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
10931323 | Antenna and foldable electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
10931325 | Antenna assembly for a vehicle | AIRGAIN, INC. |
10931343 | Transmitting method, transmitting device, receiving method and receiving device | PANASONIC INTELLECTUAL PROPERTY CORPORATION OF AMERICA |
10931364 | Satellite payload comprising a dual reflective surface reflector | AIRBUS DEFENCE AND SPACE SAS |
10931550 | Out-of-band management techniques for networking fabrics | INTEL CORPORATION |
10931800 | Method for manufacturing housing and mobile terminal | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10931862 | Vehicle system for communication with trailer sensor | MAGNA ELECTRONICS INC. |
10931935 | Structured light projection module based on VCSEL array light source | ORBBEC INC. |
10932018 | Method for removing static differential delays between signals transported over an optical transport network | ADVA OPTICAL NETWORKING SE |
10932031 | Displayer | BOE TECHNOLOGY GROUP CO., LTD. |
10932049 | Sounding device | AAC TECHNOLOGIES PTE. LTD. |
10932051 | Speaker | AAC ACOUSTIC TECHNOLOGIES (SHENZHEN) CO., LTD. |
10932055 | Speaker | AAC TECHNOLOGIES PTE. LTD. |
10932063 | Thin and flexible self-powered vibration transducer employing triboelectric nanogeneration | GEORGIA TECH RESEARCH CORPORATION |
10932330 | Device to support cooking container for smart under range | PEACEWORLD CO., LTD |
10932331 | Methods and apparatus to provide asymmetrical magnetic fields, and induction heating using asymmetrical magnetic fields | ILLINOIS TOOL WORKS INC. |
10932332 | Methods and apparatus to provide asymmetrical magnetic fields, and induction heating using asymmetrical magnetic fields | ILLINOIS TOOL WORKS INC. |
10932343 | Switch protection apparatus and operating method thereof | EXPRESS IMAGING SYSTEMS, LLC |
10932347 | Intelligent lighting control system electrical connector apparatuses, systems, and methods | RACEPOINT ENERGY, LLC |
10932350 | Extreme ultraviolet light generation system | GIGAPHOTON INC. |
10932351 | Electrode array for a dielectrically impeded plasma treatment | CINOGY, GMBH |
10932353 | Antenna for generating plasma, and plasma treatment device and antenna structure provided with antenna for generating plasma | NISSIN ELECTRIC CO., LTD. |
10932354 | Split structure particle accelerators | RADIABEAM TECHNOLOGIES, LLC |
10932356 | Electronic equipment | SONY INTERACTIVE ENTERTAINMENT INC. |
10932359 | Circuit board and electrical connector with the same | OUPIIN ELECTRONIC (KUNSHAN) CO., LTD. |
10932367 | Chip resistor, method of producing chip resistor and chip resistor packaging structure | ROHM CO., LTD. |
10932375 | Display device | SAMSUNG DISPLAY CO., LTD. |
10932376 | Display device | SAMSUNG DISPLAY CO., LTD. |
10932377 | Housing for electrical components and method for connecting a housing body to a housing cover | VITESCO TECHNOLOGIES GERMANY GMBH |
10932378 | Connector having pins extending to more than one printed circuit board | VITESCO TECHNOLOGIES USA, LLC |
10932379 | Furniture member having circuit board assembly | LA-Z-BOY INCORPORATED |
10932380 | Systems and methods for display integration | MICROSOFT TECHNOLOGY LICENSING, LLC |
10932381 | Display panel support frame and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10932382 | Cold worked metal housing for a portable electronic device | APPLE INC. |
10932383 | Device sled extension limit latch | FACEBOOK, INC. |
10932384 | Cover window and display apparatus having the same | SAMSUNG DISPLAY CO., LTD. |
10932385 | Media wall | LG ELECTRONICS INC. |
10932386 | Electronic module and method for producing same | DYCONEX AG |
10932387 | Quick release for online insertion and removal of a module in a distributed network system | CISCO TECHNOLOGY, INC. |
10932388 | Pluggable power distribution units for modular edge power systems | ABB POWER ELECTRONICS INC. |
10932389 | Frame member attached to mobile terminal, operation device for machine including frame member, and computer program for mobile terminal | FANUC CORPORATION |
10932390 | Immersion tank and immersion cooling device | FUJITSU LIMITED |
10932391 | Liquid-cooling heat exchange apparatus | -- |
10932392 | Vehicle thermal management system | AAVID THERMAL CORP. |
10932393 | Torsional heat pipe | INTEL CORPORATION |
10932394 | Electronics housing with heat sink | PARKER-HANNIFIN CORPORATION |
10932395 | Thermal management device for use on electronics in a transportation vehicle | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
10932396 | Electric vehicle inverter module heat sink | SF MOTORS, INC. |
10932397 | Power conversion device | DENSO CORPORATION |
10932398 | Electromagnetic interference (EMI) shielding products using titanium monoxide (TiO) based materials | 3M INNOVATIVE PROPERTIES COMPANY |
10932399 | Electromagnetic shielding material and methods of formation | THE BOEING COMPANY |
10932400 | Header panel assembly for preventing air circulation above electronic equipment enclosure | CHATSWORTH PRODUCTS, INC. |
10932401 | Component mounting machine | FUJI CORPORATION |
10932655 | Electromagnetic actuator for a surgical instrument and method for producing same | OLYMPUS WINTER & IBE GMBH |
10932733 | Multiple-dimension imaging sensor with operation based on movement detection | DENTAL IMAGING TECHNOLOGIES CORPORATION |
10932734 | Portable x-ray generation device having electric field emission x-ray source | VATECH CO., LTD. |
10932901 | Vision correction with laser refractive index changes | UNIVERSITY OF ROCHESTER |
10932950 | Apparatus, system and method of ultrasonic power delivery in a surgical system | JOHNSON & JOHNSON SURGICAL VISION, INC. |
10933313 | Programmable actuation inputs of an accessory and methods thereof | STEELSERIES APS |
10933442 | Processes for producing effects layers | SICPA HOLDING SA |
10933482 | Soldering method and soldering structure | YAZAKI CORPORATION |
10933505 | Arrangement to enclose a circuit board | ATLAS COPCO AIRPOWER, NAAMLOZE VENNOOTSCHAP |
10933559 | Method of producing spark plug insulator | DENSO CORPORATION |
10933604 | Method and apparatus for making bags | CMD CORPORATION |
10933679 | Screen printing plate and manufacturing method of electronic component | TAIYO YUDEN CO., LTD. |
10933685 | Decorative panel made of flat glass | SCHOTT AG |
10933718 | Vehicle configured to prevent oil entrapment within refrigerant system and corresponding method | FORD GLOBAL TECHNOLOGIES, LLC |
10933757 | Meshwork and device for detecting an object in a magnetic field, method for producing the meshwork, and inductive charging unit | BAYERISCHE MOTOREN WERKE AKTIENGESELLSCHAFT |
10933759 | Power transmission system, foreign object detection device, and coil device | IHI CORPORATION |
10933769 | Load detection sensor unit | FUJIKURA LTD. |
10933952 | Bearing arrangement for an electric swivel | SINGLE BUOY MOORINGS INC. |
10934016 | Protective shield including hybrid nanofiber composite layers | RAYTHEON TECHNOLOGIES CORPORATION |
10934170 | Carbon nanotube composite and carbon nanotube wire | FURUKAWA ELECTRIC CO., LTD. |
10934178 | Using waste heat from a data center cooling system to facilitate low-temperature desalination | ORACLE INTERNATIONAL CORPORATION |
10934186 | Method and system for changing a property of a polar liquid | -- |
10934208 | Edge and corner-strengthened articles and methods for making same | CORNING INCORPORATED |
10934216 | Rare-earth oxide based chamber material | APPLIED MATERIALS, INC. |
10934420 | Polymer composition for cable applications with advantageous electrical properties | BOREALIS AG |
10934432 | Conductive polyamide resin composition | TOYOBO CO., LTD. |
10934615 | Method of metallic component surface modification for electrochemical applications | TREADSTONE TECHNOLOGIES, INC. |
10934616 | Cathode device and sputtering apparatus | ULVAC, INC. |
10934619 | Gas supply unit and substrate processing apparatus including the gas supply unit | ASM IP HOLDING B.V. |
10934620 | Integration of dual remote plasmas sources for flowable CVD | APPLIED MATERIALS, INC. |
10934621 | Gas injection module, substrate processing apparatus, and method of fabricating semiconductor device using the same | SAMSUNG ELECTRONICS CO., LTD. |
10934623 | Nano-coating protection method for electrical connectors | JIANGSU FAVORED NANOTECHNOLOGY CO., LTD |
10934741 | Electric fence insulator | GALLAGHER GROUP LIMITED |
10934785 | Downhole wet connection systems | HALLIBURTON ENERGY SERVICES, INC. |
10934789 | System and method for terminating cable | ACCESSESP UK LIMITED |
10934921 | Heater element as sensor for temperature control in transient systems | WATLOW ELECTRIC MANUFACTURING COMPANY |
10934923 | Heat shield assembly for shielding a wire harness | CATERPILLAR INC. |
10934936 | Cooling system in a hybrid electric propulsion gas turbine engine for cooling electrical components therein | ROLLS-ROYCE NORTH AMERICAN TECHNOLOGIES, INC. |
10935009 | Artificial muscle actuators | LINTEC OF AMERICA, INC. |
10935050 | Mount bracket | -- |
10935056 | Electromagnet for a hydraulic system | AUDI AG |
10935057 | Adapter plate for HF structures | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
10935150 | Component mounter | FUJI CORPORATION |
10935151 | Solenoid actuator with firing pin position detection | TLX TECHNOLOGIES, LLC. |
10935182 | Mobile device and computer device stand | SOLUTION EXPERT 33 INTERNATIONAL CO., LTD. |
10935193 | Wavelength conversion of primary light by means of a conversion body | OSRAM GMBH |
10935194 | Optical component, method of manufacturing same, and light emitting device | NICHIA CORPORATION |
10935198 | Streetlight retrofit to provide access for wireless and cellular networks | T-MOBILE USA, INC. |
10935202 | LED lighting unit | LUMILEDS LLC |
10935225 | Exit light with plug-in housing | HUBBELL INCORPORATED |
10935227 | Systems and methods for coupling a metal core PCB to a heat sink | FLURENCE BIOENGINEERING, INC. |
10935324 | Methods for cooling the interior of an enclosure | NOREN PRODUCTS INC. |
10935333 | Compositions and systems for bidirectional energy transfer and thermally enhanced solar absorbers | GENERATIVE TECHNOLOGY OPERATIVES, LLC |
10935370 | Deformometer for determining deformation of an optical cavity optic | GOVERNMENT OF THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF COMMERCE |
10935414 | Liquid level indicating device and liquid-cooled electric appliance | TOSHIBA INDUSTRIAL PRODUCTS AND SYSTEMS CORP |
10935428 | Spectrum measurement apparatus and spectrum measurement method | TOHOKU TECHNO ARCH CO., LTD. |
10935429 | Substrate processing apparatus, substrate processing module, and semiconductor device fabrication method | SAMSUNG ELECTRONICS CO., LTD. |
10935445 | Wearable touch button assembly | MAS INNOVATION (PRIVATE) LIMITED |
10935473 | Inline dilution and autocalibration for ICP-MS speciation analysis | ELEMENTAL SCIENTIFIC, INC. |
10935505 | Scanning electron microscope and method for determining crystal orientations | JEOL LTD. |
10935558 | Methods for detecting vitamin D metabolites by mass spectrometry | QUEST DIAGNOSTICS INVESTMENTS INCORPORATED |
10935566 | Acceleration sensor | HITACHI, LTD. |
10935577 | Voltage booster isolation transformer system and method of operating the same | HUBBELL INCORPORATED |
10935605 | Battery array activating assembly and method | FORD GLOBAL TECHNOLOGIES, LLC |
10935614 | Systems, devices and methods for wireless transmission of signals through a faraday cage | INNOVERE MEDICAL INC. |
10935622 | Apparatus and method for determining a position of a transmitter | FRAUNHOFER-GESELLSCHAFT ZUR FOERDERUNG DER ANGEWANDTEN FORSCHUNG E.V. |
10935624 | Efficiently measuring phase differences in an angle of arrival system | L3 TECHNOLOGIES, INC. |
10935632 | 2D compact reactive beam forming network for automotive radars | WAYMO LLC |
10935650 | Radar based three dimensional point cloud for autonomous vehicles | WAYMO LLC |
10935655 | Surface penetrating radar and battery systems | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
10935656 | Vehicle radar device and system thereof | -- |
10935687 | Formation imaging with electronic beam steering | HALLIBURTON ENERGY SERVICES, INC. |
10935743 | Vertical optical via and method of fabrication | SAMSUNG ELECTRONICS CO., LTD. |
10935802 | Method and system for multispectral beam combiner | RAM PHOTONICS, LLC |
10935826 | Method of manufacturing image display device | DEXERIALS CORPORATION |
10935869 | Common drive electro-optic phase modulator array | NORTHROP GRUMMAN SYSTEMS CORPORATION |
10935876 | Light source device, projection display device, and method of cooling semiconductor light-emitting element | NEC DISPLAY SOLUTIONS, LTD. |
10935937 | Work assistance device, work assistance method, and work assistance program | FUJITSU LIMITED |
10935951 | Autonomous operation of an electrical power outlet device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10935960 | Pre-runtime fan control | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
10935963 | Required accuracy setting device | FUJI CORPORATION |
10935967 | Machining equipment system and manufacturing system | FANUC CORPORATION |
10936016 | Rollable display device | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
10936018 | Electronic device having magnetic attraction positioning mechanism | -- |
10936023 | Electronic apparatus and a transfer method | BITTIUM WIRELESS OY |
10936028 | Electronic device having a deformation sensor on a fan module of a fan and using a controller to monitor the deformation sensor and control operation of the fan based on a deformation signal of the sensor | -- |
10936031 | Information handling system dynamic thermal transfer control | DELL PRODUCTS L.P. |
10936087 | Keyboard assembly | -- |
10936381 | System and method for improving thermal performance of an information handling system | DELL PRODUCTS L.P. |
10936931 | Antenna device | DEXERIALS CORPORATION |
10936932 | Dual IC cards and antenna sheets | TOPPAN PRINTING CO., LTD. |
10936933 | Antenna device and electronic device | MURATA MANUFACTURING CO., LTD. |
10936934 | Antenna device and electronic apparatus | DEXERIALS CORPORATION |
10937283 | Switching device for selectively switching an electrical load, in particular for shutting down a dangerous machine installation | PILZ GMBH & CO. KG |
10937405 | Foldable piano keyboard | -- |
10937451 | Magnetoresistive effect element with nonmagnetic spacer layer including an aluminum alloy | TDK CORPORATION |
10937455 | Fe—Pt based magnetic material sintered compact | JX NIPPON MINING & METALS CORPORATION |
10937464 | Solid state memory case with enhanced cooling | INTEL CORPORATION |
10937561 | Methods and compositions for fabrication of superconducting wire | THE TEXAS A&M UNIVERSITY SYSTEM |
10937562 | Cellulose based electrically insulating material | ABB POWER GRIDS SWITZERLAND AG |
10937563 | Bus bar unit | HONDA MOTOR CO., LTD. |
10937564 | Electric wire for high frequency, high voltage and large current | GOTO DENSHI CO., LTD. |
10937565 | Wire harness | SUMITOMO WIRING SYSTEMS, LTD. |
10937567 | Conduction path and wire harness | SUMITOMO WIRING SYSTEMS, LTD. |
10937568 | Attachment of a fabric sleeve to a communications cable | WESCO DISTRIBUTION INC. |
10937569 | Fire resistant data communication cable | GENERAL CABLE TECHNOLOGIES CORPORATION |
10937570 | Conductor shaping apparatus | TOYOTA JIDOSHA KABUSHIKI KAISHA |
10937571 | Bushing with integrated electronics | EATON INTELLIGENT POWER LIMITED |
10937572 | Apparatus and method for forming an article | ABB POWER GRIDS SWITZERLAND AG |
10937573 | Chip resistor | ROHM CO., LTD. |
10937574 | Vertically-constructed, temperature-sensing resistors and methods of making the same | TEXAS INSTRUMENTS INCORPORATED |
10937575 | Cascade varistor having improved energy handling capabilities | AVX CORPORATION |
10937576 | Flaky magnetic metal particles, pressed powder material, rotating electric machine, motor, and generator | KABUSHIKI KAISHA TOSHIBA |
10937577 | Magnetic compound and production method thereof | TOYOTA JIDOSHA KABUSHIKI KAISHA |
10937578 | Method for preparing R—Fe—B sintered magnet | SHIN-ETSU CHEMICAL CO., LTD. |
10937579 | MnZn-ferrite and its production method | HITACHI METALS, LTD. |
10937580 | Amorphous alloy transformer iron core of three-dimensional triangle structure | HAIHONG ELECTRIC CO., LTD. |
10937581 | Hybrid inductor and manufacturing method thereof | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10937582 | Methods and apparatus for generating magnetic fields | PARANETICS, INC. |
10937583 | Laminated electronic component | MURATA MANUFACTURING CO., LTD. |
10937584 | Common mode noise filter | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10937585 | Electromagnetic device | HAMILTON SUNDSTRAND CORPORATION |
10937586 | Electromagnetic device having layered magnetic material components and methods for making same | TELEDYNE SCIENTIFIC & IMAGING, LLC |
10937587 | Reactor and method for production of core body | FANUC CORPORATION |
10937588 | Coil | IBIDEN CO., LTD. |
10937589 | Coil component and method of manufacturing the same | TDK CORPORATION |
10937590 | Magnetic structures for low leakage inductance and very high efficiency | ROMPOWER TECHNOLOGY HOLDINGS, LLC |
10937591 | Coil unit, wireless power transmission device, wireless power receiving device, and wireless power transmission system | TDK CORPORATION |
10937592 | Conductive powder for internal electrode and capacitor component including the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10937593 | Ceramic electronic component and method of manufacturing ceramic electronic component | MURATA MANUFACTURING CO., LTD. |
10937594 | Microelectronic devices designed with ultra-high-k dielectric capacitors integrated with package substrates | INTEL CORPORATION |
10937595 | Multilayer ceramic capacitor including adhesive layer between side margin portion and body and method of manufacturing the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10937596 | Electronic component | TDK CORPORATION |
10937597 | High voltage capacitive device | ABB SCHWEIZ AG |
10937598 | Flexible electrode and sensor element | NEC CORPORATION |
10937599 | Electrolytic capacitor | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10937600 | Electrolytic capacitor containing a valve metal sourced from a conflict-free mine site and a method of forming thereof | AVX CORPORATION |
10937601 | Electrolytic capacitor | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10937602 | Method using bismuth based alloy as power-off element | GREEN IDEA TECH, INC. |
10937603 | Actuating shaft structure for an electrical switch | EATON INTELLIGENT POWER LIMITED |
10937604 | Switching device for a MV electric power distribution network | ABB SCHWEIZ AG |
10937605 | Electrical contact system | TYCO ELECTRONICS (SHENZHEN) CO. LTD. |
10937606 | Push-button switch having sound function | NKK SWITCHES CO., LTD. |
10937607 | Electronic switch and remote control device including electronic switch | CITIZEN ELECTRONICS CO., LTD. |
10937608 | Operation panel and image forming apparatus | KYOCERA DOCUMENT SOLUTIONS INC. |
10937609 | Membrane circuit structure | -- |
10937610 | Keyboard keyswitches having adjustable tactile feedback members | -- |
10937611 | Safety switch | ROCKWELL AUTOMATION SWITZERLAND GMBH |
10937612 | DC voltage switch | SIEMENS AKTIENGESELLSCHAFT |
10937613 | Triggered gap switching device | S&C ELECTRIC COMPANY |
10937614 | Switch module with a built-in structure of anti-surge and linkage disconnection | -- |
10937615 | Testable sealed relay and self-diagnosing relay | -- |
10937616 | Control device for an electromagnetic drive of a switchgear | EATON INTELLIGENT POWER LIMITED |
10937617 | Relay | OMRON CORPORATION |
10937618 | Latching device and an operating mechanism with such a latching device | ABB POWER GRIDS SWITZERLAND AG |
10937619 | Fuse element and fuse device | DEXERIALS CORPORATION |
10937620 | Vacuum channel transistor structures with sub-10 nanometer nanogaps and layered metal electrodes | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937621 | Triode electron gun | ACCELERAD TECHNOLOGIES, INC. |
10937622 | Programmable performance configurations for night vision device | ELBIT SYSTEMS OF AMERICA, LLC |
10937623 | Deflector for multiple electron beams and multiple beam image acquiring apparatus | NUFLARE TECHNOLOGY, INC. |
10937624 | Apparatus and method for controlling ion beam using electrostatic filter | APPLIED MATERIALS, INC. |
10937625 | Method of imaging a sample using an electron microscope | FEI COMPANY |
10937626 | Holder and charged particle beam apparatus | HITACHI HIGH-TECH CORPORATION |
10937627 | Multi-beam electron microscope | FEI COMPANY |
10937628 | Charged particle beam device | HITACHI HIGH-TECH CORPORATION |
10937629 | Multi charged particle beam writing apparatus and multi charged particle beam writing method | NUFLARE TECHNOLOGY, INC. |
10937630 | Modular parallel electron lithography | -- |
10937631 | Plasma processing apparatus and plasma processing method | TOKYO ELECTRON LIMITED |
10937632 | Microwave chemical processing reactor | LYTEN, INC. |
10937633 | Microwave transmission apparatus and semiconductor processing device | BEIJING NAURA MICROELECTRONICS EQUIPMENT CO., LTD. |
10937634 | Tunable upper plasma-exclusion-zone ring for a bevel etcher | LAM RESEARCH CORPORATION |
10937635 | Vacuum processing apparatus | HITACHI HIGH-TECH CORPORATION |
10937637 | Determining susceptor service life in a plasma processing chamber | APPLIED MATERIALS, INC. |
10937638 | Systems and methods for performing multiple precursor, neutral loss and product ion scans in a single ion trap | PURDUE RESEARCH FOUNDATION |
10937639 | Precursor selection for data-dependent tandem mass spectrometry | -- |
10937640 | Ion traps with y-directional ion manipulation for mass spectrometry and related mass spectrometry systems and methods | THE UNIVERSITY OF NORTH CAROLINA AT CHAPEL HILL |
10937641 | MALDI mass spectrometry method | BIOSPARQ B.V. |
10937642 | Wide-range high mass resolution in reflector time-of-flight mass spectrometers | -- |
10937643 | Infrared device | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10937647 | Semiconductor crystal substrate, infrared detector, and method for producing semiconductor crystal substrate | FUJITSU LIMITED |
10937660 | Method for processing workpiece | TOKYO ELECTRON LIMITED |
10937671 | Conductive heat spreader and heat sink assembly for optical devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937678 | Substrate support with multiple embedded electrodes | APPLIED MATERIALS, INC. |
10937682 | TCB bond tip design to mitigate top die warpage and solder stretching issue | MICRON TECHNOLOGY, INC. |
10937717 | Heatsink secured to a heat source | INTEL CORPORATION |
10937719 | Package structure and method of fabricating the same | -- |
10937723 | Package carrier structure having integrated circuit design and manufacturing method thereof | -- |
10937730 | Metal-on-metal capacitors | APPLE INC. |
10937748 | Fan-out transition structure for transmission of mm-Wave signals from IC to PCB via chip-scale packaging | HUAWEI TECHNOLOGIES CO., LTD. |
10937759 | Radio frequency transmission line | SKYWORKS SOLUTIONS, INC. |
10937812 | TFT substrate, scanning antenna provided with TFT substrate, and method for producing TFT substrate | SHARP KABUSHIKI KAISHA |
10937850 | OLED display panel, manufacturing method thereof, and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10937923 | Semiconductor device and semiconductor device package including the same | LG INNOTEK CO., LTD. |
10937948 | Magnetic memory using spin-orbit torque | EVERSPIN TECHNOLOGIES, INC. |
10937951 | Magnetoresistance effect element | TDK CORPORATION |
10937953 | Tunable tetragonal ferrimagnetic heusler compound with PMA and high TMR | SAMSUNG ELECTRONICS CO., LTD. |
10937954 | Magnetoresistive effect element | TDK CORPORATION |
10937972 | Complex and perovskite material, and perovskite-type solar cell using complex or perovskite material | KYOTO UNIVERSITY |
10938006 | Connection member, electric component unit, and battery device | DENSO CORPORATION |
10938021 | Devices comprising carbon-based material and fabrication thereof | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
10938032 | Composite graphene energy storage methods, devices, and systems | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
10938078 | Housing used for battery pack and battery pack | CONTEMPORARY AMPEREX TECHNOLOGY CO., LIMITED |
10938080 | Combiner and antenna apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
10938081 | Plug connection arrangement and system having such plug connection arrangement | TE CONNECTIVITY GERMANY GMBH |
10938082 | Aperture-coupled microstrip-to-waveguide transitions | THE BOEING COMPANY |
10938083 | PCB integrated waveguide terminations and load | WAYMO LLC |
10938084 | Cooling system for radio | INTEL CORPORATION |
10938085 | Antenna structure | NIHON DENGYO KOSAKU CO., LTD. |
10938086 | Glass pane having an electrical heating assembly | SAINT-GOBAIN GLASS FRANCE |
10938087 | Antenna structure for a radio frequency identification (RFID) reader, method of manufacturing thereof, RFID reader and RFID system | AGENCY FOR SCIENCE, TECHNOLOGY AND RESEARCH |
10938088 | Base covers aligned for slot antennas on convertible computing devices | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10938089 | Millimeter wave communication through device case | NXP B.V. |
10938090 | Antenna module | SAMSUNG ELECTRONICS CO., LTD. |
10938091 | Chip antenna | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10938092 | Antenna assembly | APPLE INC. |
10938093 | Portable communication device and antenna device with robust rotational attachment | MOTOROLA SOLUTIONS, INC. |
10938094 | Antenna configuration for compact glucose monitor | VERILY LIFE SCIENCES LLC |
10938095 | Vehicle antenna device | YOKOWO CO., LTD. |
10938096 | Multi-resonant antenna | HONEYWELL FEDERAL MANUFACTURING & TECHNOLOGIES, LLC |
10938097 | PCB antenna | AXIS AB |
10938098 | Radome for vehicles | ZANINI AUTOGRUP, S.A. |
10938099 | Surface dielectric measurement method and apparatus | GEOPHYSICAL SURVEY SYSTEMS, INC. |
10938100 | Dual-feed loop antenna structure and electronic device | -- |
10938101 | Electronic device | -- |
10938102 | Search track acquire react system (STARS) drone integrated acquisition tracker (DIAT) | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
10938103 | Antenna with single motor positioning and related methods | EAGLE TECHNOLOGY, LLC |
10938104 | Method and apparatus for mitigating a change in an orientation of an antenna | AT&T INTELLECTUAL PROPERTY I, L.P. |
10938105 | Conformal multi-band antenna structure | ANDERSON CONTRACT ENGINEERING, INC. |
10938106 | Electronic apparatus | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10938107 | Circuit and method for driving an antenna of an NFC device | STMICROELECTRONICS (ROUSSET) SAS |
10938108 | Frequency selective multi-feed dielectric antenna system and methods for use therewith | AT&T INTELLECTUAL PROPERTY I, L.P. |
10938109 | Foldable and reconfigurable antennas, arrays and frequency selective surfaces with rigid panels | THE FLORIDA INTERNATIONAL UNIVERSITY BOARD OF TRUSTEES |
10938110 | Ellipticity reduction in circularly polarized array antennas | MIMOSA NETWORKS, INC. |
10938111 | Electronic device with antenna feed bolt | APPLE INC. |
10938112 | Antenna and mobile terminal | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
10938113 | System and method for a slot antenna element co-located at a speaker grill with parasitic aperture | DELL PRODUCTS L.P. |
10938114 | Array antenna | ATCODI CO., LTD |
10938115 | Resonance-frequency diverse metamaterials and metasurfaces | ELWHA LLC |
10938116 | Reflector for changing directionality of wireless communication beam and apparatus including the same | SAMSUNG ELECTRONICS CO., LTD. |
10938117 | Cross-link satellite with spherical reflectors | ARIZONA BOARD OF REGENTS ON BEHALF OF THE UNIVERSITY OF ARIZONA |
10938118 | Resonant element of frequency selective surface, frequency selective surface and antenna device | MITSUBISHI ELECTRIC CORPORATION |
10938119 | Wireless communication device and antenna device | THE UNIVERSITY OF ELECTRO-COMMUNICATIONS |
10938120 | Planar antenna with integrated low noise receiver | THE BOEING COMPANY |
10938121 | Antenna module of improved performances | -- |
10938122 | Antenna incorporating a metamaterial | THE JOHNS HOPKINS UNIVERSITY |
10938123 | Radial antenna and methods for use therewith | AT&T INTELLECTUAL PROPERTY I, L.P. |
10938124 | Switchable lens antenna with integrated frequency selective structure | HUAWEI TECHNOLOGIES CO., LTD. |
10938125 | Connection terminal and terminal connection structure | YAZAKI CORPORATION |
10938126 | Wire connector | KATERRA LIGHT (SHANGHAI) CO., LTD |
10938128 | Superconducting interconnects with ultra-low thermal conductivity | BROOKHAVEN TECHNOLOGY GROUP, INC. |
10938129 | High amperage component electrical mechanical installation | HAMILTON SUNDSTRAND CORPORATION |
10938130 | Plug-in point adapter for alligator clip | -- |
10938131 | Insert for a battery terminal for increased retention | TYCO ELECTRONICS BRASIL LTD A |
10938132 | Patch electrical connector | XIAMEN GHGM ELECTRIC CO., LTD. |
10938133 | High frequency optimized connector | -- |
10938134 | Connector and electronic device | JVCKENWOOD CORPORATION |
10938135 | Electrical connector for printed circuit boards | 3M INNOVATIVE PROPERTIES COMPANY |
10938136 | Surface mounted card edge contact pair with pick-up carrier | AVX CORPORATION |
10938137 | Multicoupling with control means | CEJN AB |
10938138 | Electrical contact terminal for an electrical plug connector for a safety restraint system | APTIV TECHNOLOGIES LIMITED |
10938139 | Electrical connector with retractable contacts | TE CONNECTIVITY CORPORATION |
10938140 | Connector | NIPPON TANSHI CO., LTD. |
10938141 | Charger safety cover | -- |
10938142 | Electrical connection box | SUMITOMO WIRING SYSTEMS, LTD. |
10938143 | Connector with contact pin having multiple seals for implementing insulation and moisture proofing | MOLEX, LLC |
10938144 | Electrical connection system suitable for providing cathodic protection underwater | DEEPWATER CORROSION SERVICES, INC. |
10938145 | Systems and methods for sealing motor lead extensions | BAKER HUGHES, A GE COMPANY, LLC |
10938146 | Device housing for an electrical device | SIEMENS SCHWEIZ AG |
10938147 | Magnetic surface contacts | APPLE INC. |
10938148 | Cable management system for storing and managing an adapter box and cable | -- |
10938149 | Convertible force latching system | IDEAL INDUSTRIES, INC. |
10938150 | Interposers with alignment guides | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10938151 | Circuits and methods for wearable device charging and wired control | SNAP INC. |
10938152 | Electrical connector assembly | CONNECTEURS ELECTRIQUES DEUTSCH |
10938153 | Waveguide quick-connect mechanism, waveguide window/seal, and portable antenna | OPTIM MICROWAVE INC. |
10938154 | Secure adapter device | NCR CORPORATION |
10938155 | Reversible cable assembly connector | 3M INNOVATIVE PROPERTIES COMPANY |
10938156 | Split connector | SUMITOMO WIRING SYSTEMS, LTD. |
10938157 | High speed electrical connector for compact electronic systems | AMPHENOL ASSEMBLETECH (XIAMEN) CO., LTD |
10938158 | Connector housing, adapter, and modular electrical plug connector system comprising the same | CONNECTEURS ELECTRIQUES DEUTSCH |
10938159 | Device for automatically decoupling a connector inserted in a socket of an electric vehicle | ALSTOM TRANSPORT TECHNOLOGIES |
10938160 | Connector with a narrowed housing portion and a mounted detector body | SUMITOMO WIRING SYSTEMS, LTD. |
10938161 | Snap-on electromagnetic interference (EMI)-shielding without motherboard ground requirement | INTEL CORPORATION |
10938162 | Electrical connector with ground plate connected to ground contacts | -- |
10938163 | Electromagnetic interference (EMI) grounding protection method for a connector using a multi-directional conductive housing | J.S.T. CORPORATION |
10938164 | Primary plugin, drawer and distribution panel for power distribution system | SCHNEIDER ELECTRIC INDUSTRIES SAS |
10938165 | Electric plug-in connector having a plugging cycle counter | SIEMENS AKTIENGESELLSCHAFT |
10938166 | Switched power over ethernet connector | -- |
10938167 | Automated capture of information about fixed cabling | COMMSCOPE TECHNOLOGIES LLC |
10938168 | In-wall power adapter and method of controlling the application of power to a load | SMART POWER PARTNERS LLC |
10938169 | Method for producing a modularly configurable coaxial plug | TE CONNECTIVITY GERMANY GMBH |
10938170 | Quick electrical power connector system | -- |
10938171 | Portable power distribution unit for 400 hertz power | INNOVATIVE ELECTRICAL DESIGN, INC. |
10938172 | High current and RPM-capable slip ring assembly | CR FLIGHT L.L.C. |
10938173 | Resistance welding copper terminals through mylar | CALIENTE LLC |
10938174 | Expandable cable connector torque adapter | STEREN ELECTRONICS INTERNATIONAL, LLC |
10938175 | Light source for Raman amplification, light source system for Raman amplification, Raman amplifier, and Raman amplifying system | FURUKAWA ELECTRIC CO., LTD. |
10938176 | Diode laser | HIGHYAG LASERTECHNOLOGIE GMBH |
10938177 | Two-dimensional photonic crystal surface emitting laser | KYOTO UNIVERSITY |
10938178 | Vertical-cavity surface-emitting laser | LANCASTER UNIVERSITY BUSINESS ENTERPRISES LIMITED |
10938179 | Addressable laser array device including vertical cavity surface emitting lasers adopting nanostructure reflector disposed at intersections of plural wiring patterns | SAMSUNG ELECTRONICS CO., LTD. |
10938180 | Optoelectronic component | OSRAM OLED GMBH |
10938181 | Vertical cavity surface emitting laser and method for manufacturing vertical cavity surface emitting laser | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
10938182 | Specialized integrated light source using a laser diode | SORAA LASER DIODE, INC. |
10938183 | Wavelength-variable laser | FURUKAWA ELECTRIC CO., LTD. |
10938184 | Spark plug | DENSO CORPORATION |
10938185 | Spark plug assembly for an internal combustion engine | FORD GLOBAL TECHNOLOGIES, LLC |
10938186 | Spark plug electrode and spark plug | DENSO CORPORATION |
10938187 | Prechamber sparkplug having electrodes located for inhibiting flame kernel quenching | CATERPILLAR INC. |
10938188 | Ion wind generating device | -- |
10938191 | Heat dissipation structures for power distribution units | EATON INTELLIGENT POWER LIMITED |
10938193 | Shielded conductive path and shielding pipe | SUMITOMO WIRING SYSTEMS, LTD. |
10938197 | Grommet and grommet assembling method | YAZAKI CORPORATION |
10938220 | Wireless connector system | NUCURRENT, INC. |
10938231 | Microprojection device and magnetic suspension base | BOE TECHNOLOGY GROUP CO., LTD. |
10938237 | Direct connect Homegrid system for DC power distribution | -- |
10938243 | Power transmission apparatus and power transmission method | SAMSUNG ELECTRONICS CO., LTD. |
10938245 | Universal resonant induction coupling for luminaire in a high-moisture environment | BELLSON ELECTRIC PTY LTD |
10938246 | Method and apparatus for charging a battery-operated device | GOLBA, LLC |
10938247 | Wireless power receiver and control method thereof | LG INNOTEK CO., LTD. |
10938252 | Wireless charging system with temperature sensing | APPLE INC. |
10938260 | Electrical insulating structure producing method, electrical insulating structure and rotating electrical machine | TOSHIBA MITSUBISHI-ELECTRIC INDUSTRIAL SYSTEMS CORPORATION |
10938271 | Motor and electric power steering device | NIDEC CORPORATION |
10938281 | Terminal connection of rotary electromagnetic device | INDIA NIPPON ELECTRICALS LIMITED |
10938282 | Method for producing a coil winding for inserting into radially open grooves of stators or rotors of electric machines | SCHAEFFLER ELMOTEC STATOMAT GMBH |
10938289 | Actuator | NIDEC SANKYO CORPORATION |
10938302 | Elimination of power inductor thermistor with variable air gap | FORD GLOBAL TECHNOLOGIES, LLC |
10938306 | Laser driving power source | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10938311 | Method and apparatus for delivering power to semiconductors | VICOR CORPORATION |
10938336 | Wind generator | -- |
10938346 | Frequency multiplexed resonator input and/or output for a superconducting device | D-WAVE SYSTEMS INC. |
10938369 | Front-end circuitry for coexistence of two radios sharing an antenna and a frequency band | AMAZON TECHNOLOGIES, INC. |
10938386 | Controller | DENSO CORPORATION |
10938429 | Universal receiver | MAC PANEL COMPANY |
10938430 | Distributed antenna with closed-loop impedance matching for high speed vehicles | THE CHARLES STARK DRAPER LABORATORY, INC. |
10938431 | Wireless earbud cover with antenna element | PENUMBRA BRANDS, INC. |
10938432 | Adaptive eyewear antenna | SNAP INC. |
10938436 | Front-end module and communication apparatus | MURATA MANUFACTURING CO., LTD. |
10938468 | Millimeter wave idle channel optimization | AT&T MOBILITY II LLC |
10938476 | System for optical free-space transmission of a string of binary data | LUMENTUM OPERATIONS LLC |
10938490 | Calibration method for coupler-tuner assembly | -- |
10938492 | Systems and methods for detecting satellite-based communication interference | GOGO BUSINESS AVIATION LLC |
10938611 | Sounding reference signal design | HUAWEI TECHNOLOGIES CO., LTD. |
10938615 | Signaling in an orthogonal frequency division multiplexed radar system | MAXLINEAR, INC. |
10939288 | Cellular unicast link establishment for vehicle-to-vehicle (V2V) communication | QUALCOMM INCORPORATED |
10939305 | Passive radio-frequency redirector device | MOTOROLA SOLUTIONS, INC. |
10939351 | Mobile device and directional antenna adjustment method of mobile device | BOE TECHNOLOGY GROUP CO., LTD. |
10939507 | Induction heating accessories | ILLINOIS TOOL WORKS INC. |
10939515 | Discharge lamp and discharge lamp apparatus | PHOTOSCIENCE JAPAN CORPORATION |
10939518 | Component-mounting machine and method for adjusting illumination light amount thereof | FUJI CORPORATION |
10939531 | Intelligent lighting control system apparatuses, systems, and methods | RACEPOINT ENERGY, LLC |
10939534 | Control device for controlling multiple operating characteristics of an electrical load | LUTRON TECHNOLOGY COMPANY LLC |
10939536 | Secondary side heatsink techniques for optical and electrical modules | CIENA CORPORATION |
10939539 | Electronic device including antenna and heat dissipation structure | SAMSUNG ELECTRONICS CO., LTD. |
10939543 | Unified conductor to lower the resistance between a planar transformer and one or more inductors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10939545 | Methods and apparatus for flex circuit and cable attachment system | HIGH SPEED INTERCONNECTS, LLC |
10939546 | Interconnect device | EAGLE TECHNOLOGY, LLC |
10939547 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10939550 | System and method of forming electrical interconnects | THE BOEING COMPANY |
10939552 | Electronic device including interposer | SAMSUNG ELECTRONICS CO., LTD. |
10939554 | Circuit board assembly and electronic device | FU TAI HUA INDUSTRY (SHENZHEN) CO., LTD. |
10939555 | Grid array connector system | MOLEX, LLC |
10939564 | Circuit board, display device and method for manufacturing the same | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10939565 | Transmission control device, in particular for a motor vehicle, and method for producing an electrical-connector housing | ROBERT BOSCH GMBH |
10939566 | Electronics housing for housing an electronics component | PHOENIX CONTACT GMBH & CO. KG |
10939567 | Electronic module using board lacquer to reinforce the circuit board to the unit housing | BAYERISCHE MOTOREN WERKE AKTIENGESELLSCHAFT |
10939568 | Housing assembly | -- |
10939569 | Automated data center | MICROSOFT TECHNOLOGY LICENSING, LLC |
10939570 | Display and electronic device including waterproof structure | SAMSUNG ELECTRONICS CO., LTD. |
10939571 | Cable management for enclosures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10939572 | Circuit board assembly | EMC IP HOLDING COMPANY LLC |
10939573 | Electronic module carrier for an information handling system | DELL PRODUCTS L.P. |
10939574 | Server apparatus and tray mechanism thereof | -- |
10939575 | Shelf-mounted modular computing unit | AMAZON TECHNOLOGIES, INC. |
10939576 | Power connector assembly for a communication system | TE CONNECTIVITY CORPORATION |
10939577 | Cooling unit that discharges heat from heat source and electronic apparatus equipped with the cooling unit | CANON KABUSHIKI KAISHA |
10939578 | Fan tray system | DELL PRODUCTS L.P. |
10939579 | Compact fan structure | -- |
10939580 | Control strategy for immersion cooling system | BAIDU USA LLC |
10939581 | Immersion liquid cooling rack | -- |
10939582 | Methodology for blindmating and cooling electronic modules | RAYTHEON COMPANY |
10939583 | Electronic component cooling module and electronic apparatus | FUJITSU LIMITED |
10939584 | Heat dissipation module and assembly method thereof | -- |
10939585 | Fixing structure of electronic component | YAZAKI CORPORATION |
10939586 | Heat exchanger structure for a rack assembly | ABB SCHWEIZ AG |
10939587 | System and method for injecting cooling air into servers in a server rack | DELL PRODUCTS L.P. |
10939588 | Airflow distribution and management architecture for large data center | SCHNEIDER ELECTRIC IT CORPORATION |
10939589 | Multifunction coolant manifold structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10939590 | Computer cabinet with liquid cooling modules | BULL SAS |
10939591 | Power conversion device having a clip for fixing a plurality of switches | LG INNOTEK CO., LTD. |
10939592 | Liquid cooling system with sub atmospheric pressure coolant | INTEL CORPORATION |
10939593 | Power supply fan management | THE ESAB GROUP INC. |
10939594 | Gasket for receptacle cage | TE CONNECTIVITY CORPORATION |
10939595 | EMF/RF radiation shielding means | -- |
10939596 | Optical window with integrated temperature sensing | RAYTHEON COMPANY |
10939597 | Component mounting device | YAMAHA HATSUDOKI KABUSHIKI KAISHA |
10939598 | Control device and control method for reducing a position error of a component mounting machine | FUJI CORPORATION |
10939599 | Component-mounting device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10939600 | Flux residue detection | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10939601 | Component mounting line | FUJI CORPORATION |
10939702 | Connectors for forming electrical and mechanical connections between interchangeable units in an aerosol delivery system | RAI STRATEGIC HOLDINGS, INC. |
10939847 | Radio frequency and optical reader scanning array | VERILY LIFE SCIENCES LLC |
10939880 | X-ray fluoroscopic imaging apparatus | SHIMADZU CORPORATION |
10939893 | Doppler fetal heartbeat monitor | EDAN INSTRUMENTS, INC |
10940245 | Foot pedal occlusion indicator system, apparatus, and method | JOHNSON & JOHNSON SURGICAL VISION, INC. |
10940246 | Foot pedal occlusion indicator system, apparatus, and method | JOHNSON & JOHNSON SURGICAL VISION, INC. |
10940309 | Techniques for forming a modular lead | MEDTRONIC, INC. |
10940322 | Wireless midfield systems and methods | THE BOARD OF TRUSTEES OF THE LELAND STANFORD JUNIOR UNIVERSITY |
10940506 | Haptic actuator with linear and rotational movement | CENTER OF HUMAN-CENTERED INTERACTION FOR COEXISTENCE |
10940534 | Metal paste having excellent low-temperature sinterability and method for producing the metal paste | TANAKA KIKINZOKU KOGYO K.K. |
10940627 | Gate-all-around fin device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10940676 | Anisotropic conductive film, display device and reworking method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
10940764 | Augmented permanent magnet system | HYPERLOOP TECHNOLOGIES, INC. |
10940802 | Vehicle display apparatus and mounting configuration | GENTEX CORPORATION |
10940848 | Electric component assembly, and brake fluid pressure control device for vehicle | NISSIN KOGYO CO., LTD. |
10940958 | Heating layer for film removal | THE BOEING COMPANY |
10941035 | Method for producing structured surfaces | LEIBNIZ-INSTITUT FüR NEUE MATERIALIEN GEMEINNüTZIGE GMBH |
10941036 | Method of manufacturing MEMS switches with reduced switching voltage | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10941069 | Processing a plate-like workpiece having a transparent, glass, glass-like, ceramic and/or crystalline layer | TRUMPF LASER- UND SYSTEMTECHNIK GMBH |
10941082 | Glaze for a ceramic article | PPC AUSTRIA HOLDING GMBH |
10941165 | Perovskite nanocrystals and methods of making the same | ALLIANCE FOR SUSTAINABLE ENERGY, LLC |
10941233 | Electrically conducting hydrophilic co-polymers | SUPERDIELECTRICS LTD |
10941266 | Fluoropolymer alloys for use in high performance communication cables and methods of making | CABLE COMPONENTS GROUP, LLC |
10941275 | Compact camera module | TICONA LLC |
10941276 | Polyolefin composition for medium/high/extra high voltage cables comprising benzil-type voltage stabiliser | BOREALIS AG |
10941278 | Crosslinkable polymeric compositions with diallylamide crosslinking coagents, methods for making the same, and articles made therefrom | DOW GLOBAL TECHNOLOGIES LLC |
10941303 | Chemical conversion of yttria into yttrium fluoride and yttrium oxyfluoride to develop pre-seasoned corossion resistive coating for plasma components | APPLIED MATERIALS, INC. |
10941304 | Metal powder sintering paste and method of producing the same, and method of producing conductive material | NICHIA CORPORATION |
10941325 | Thermally conductive resin molded article | BANDO CHEMICAL INDUSTRIES, LTD. |
10941458 | Non-oriented electrical steel sheet, production method therefor, and motor core | JFE STEEL CORPORATION |
10941484 | Plasma spray physical vapor deposition deposited in multilayer, multi-microstructure environmental barrier coating | ROLLS-ROYCE CORPORATION |
10941588 | Electric lock and display screen using the same | UNILUMIN GROUP CO., LTD. |
10941678 | Adjusting device with sealed guide cylinder | KENDRION (VILLINGEN) GMBH |
10941688 | Electrical connection, in particular for an electrically heatable honeycomb body | VITESCO TECHNOLOGIES GMBH |
10941746 | I.C.E., igniter adapted for optional placement of an integral fuel injector in direct fuel injection mode | -- |
10941819 | Viscous clutch and method of operation | HORTON, INC. |
10941881 | Bar extension system | R.A. PHILLIPS INDUSTRIES, INC. |
10941908 | Networked LED lighting system | -- |
10941910 | Landscape lighting junction device | VOLT, LLC |
10941915 | Illumination device for illuminating a predetermined range with coherent light | DAI NIPPON PRINTING CO., LTD. |
10941922 | Threadless magnetic lightbulb and socket system | -- |
10941930 | Radially symmetric electrical connector | KICHLER LIGHTING, LLC |
10942063 | Apparatus and method for measuring amplitude of scanning reflector | SHANGHAI MICRO ELECTRONICS EQUIPMENT (GROUP) CO., LTD. |
10942064 | Diagnostic system with broadband light source | OMNI MEDSCI, INC. |
10942096 | Automated system for remote inline concentration of ultra-low concentrations in pure chemicals | ELEMENTAL SCIENTIFIC, INC. |
10942116 | Method and apparatus for enhanced photo-thermal imaging and spectroscopy | PHOTOTHERMAL SPECTROSCOPY CORP. |
10942148 | Apparatus and method employing ion shutters for ion separation | SMITHS DETECTION—WATFORD LIMITED |
10942149 | Ion sensor, ion sensor manufacturing method, and field asymmetric ion mobility spectrometry system | RICOH COMPANY, LTD. |
10942162 | Sensor device and antenna, and systems and methods for obtaining and transmitting measurements of selected characteristics of a concrete mixture | QUIPIP, LLC |
10942195 | Measuring airflow for computing devices | CORE SCIENTIFIC, INC. |
10942217 | Calibration of digital isolators | SILICON LABORATORIES INC. |
10942219 | Circuit arrangement for switching noise jitter (SNJ) reduction in feedback control loop circuits, and methods of making the same | TRANSSIP, INC. |
10942226 | Cable with resin compact | HITACHI METALS, LTD. |
10942235 | Microstrip transmission line array RF coil, RF shield configuration and integrated apparatus of RF coil and radiation imaging device | NATIONAL INSTITUTES FOR QUANTUM AND RADIOLOGICAL SCIENCE AND TECHNOLOGY |
10942243 | Method for finding signal direction using modal antenna | ETHERTRONICS, INC. |
10942246 | Ceiling-mounted RFID-enabled tracking | QUAKE GLOBAL, INC. |
10942256 | Intelligent metamaterial radar for target identification | METAWAVE CORPORATION |
10942262 | Shared aperture antenna array | BATTELLE MEMORIAL INSTITUTE |
10942268 | MIMO radar device and vehicle | SONY CORPORATION |
10942273 | Passive matrix addressing of optical phased arrays | CALIFORNIA INSTITUTE OF TECHNOLOGY |
10942289 | Logging tool ferrites and methods of manufacture | HALLIBURTON ENERGY SERVICES, INC. |
10942309 | Light guide plate and input apparatus | LITE-ON ELECTRONICS (GUANGZHOU) LIMITED |
10942311 | Optical device | FUJIKURA LTD. |
10942323 | Apparatus and method for thermal dissipation of photonic transceiving module | INPHI CORPORATION |
10942359 | Wavelength combiner photonic integrated circuit with grating coupling of lasers | GOOGLE LLC |
10942380 | Electrical isolation in photonic integrated circuits | LUMENTUM TECHNOLOGY UK LIMITED |
10942417 | Periodic optical filter stabilized tunable comb generator | UNIVERSITY OF CENTRAL FLORIDA RESEARCH FOUNDATION, INC. |
10942448 | Repatternable nanoimprint lithography stamp | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10942538 | Haptic operating device and method | INVENTUS ENGINEERING GMBH |
10942553 | Display device | -- |
10942581 | Illuminated keyboard | NEWPORT COAST |
10942587 | Stylus pen | HIDEEP INC. |
10942704 | Mechanical computing systems | CBN NANO TECHNOLOGIES INC. |
10943077 | Tag board, RFID tag, and RFID system | KYOCERA CORPORATION |
10943165 | Antenna device | DEXERIALS CORPORATION |
10943215 | Equipment element repair management system and equipment element repair management method | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10943417 | Passive entry/passive start access systems including round trip time sniffing | DENSO INTERNATIONAL AMERICA, INC. |
10943419 | Physical structure, state machine, and concepts of a RFID walk-through gate | NEC CORPORATION |
10943529 | Electronic devices with flexible displays | APPLE INC. |
10943538 | Driving circuit and display device including the same | SAMSUNG DISPLAY CO., LTD. |
10943617 | Shared disk drive component system | SPECTRA LOGIC CORPORATION |
10943632 | Magnetic storage device | TOSHIBA MEMORY CORPORATION |
10943710 | Power cable polymer composition comprising thermoplastic and having advantageous properties | BOREALIS AG |
10943711 | Motor vehicle charging cable | DR. ING. H.C. F. PORSCHE AKTIENGESELLSCHAFT |
10943712 | Superconducting cables and methods of making the same | ADVANCED CONDUCTOR TECHNOLOGIES LLC |
10943713 | Method of manufacture of electrical wire and cable having a reduced coefficient of friction and required pulling force | ENCORE WIRE CORPORATION |
10943714 | Cable | LEONI KABEL GMBH |
10943715 | Force sensitive resistor | NURVV LIMITED |
10943716 | Permanent magnet and rotary electrical machine | KABUSHIKI KAISHA TOSHIBA |
10943717 | R-T-B based permanent magnet | TDK CORPORATION |
10943718 | Soft magnetic alloy and magnetic device | TDK CORPORATION |
10943719 | Coil component | TDK CORPORATION |
10943720 | Solenoid including armature anti-rotation structure | HONEYWELL INTERNATIONAL INC. |
10943721 | Magnet device comprising stators and translators | SEH LIMITED |
10943722 | Power inductor | MODA-INNOCHIPS CO., LTD. |
10943723 | Noise reducing and cooling enclosure | HUBBELL INCORPORATED SHEL'K |
10943724 | Magnetic core structure | UNIVERSAL LIGHTING TECHNOLOGIES, INC. |
10943725 | Sheet-shaped inductor, inductor within laminated substrate, and method for manufacturing said inductors | TOKIN CORPORATION |
10943726 | Common mode filter | TDK CORPORATION |
10943727 | Electronic component | MURATA MANUFACTURING CO., LTD. |
10943728 | Coil spacer structure for molding transformer | LSIS CO., LTD. |
10943729 | Entangled inductor structures | -- |
10943730 | Single-ended inductor | -- |
10943731 | Method for producing rare-earth magnets, and rare-earth-compound application device | SHIN-ETSU CHEMICAL CO., LTD. |
10943732 | Magnetic material stack and magnetic inductor structure fabricated with surface roughness control | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10943733 | Ceramic dielectric and method of manufacturing the same and ceramic electronic component and electronic device | SAMSUNG ELECTRONICS CO., LTD. |
10943734 | Multilayer ceramic capacitor and manufacturing method of the same | TAIYO YUDEN CO., LTD. |
10943735 | Multilayer ceramic capacitor having ultra-broadband performance | AVX CORPORATION |
10943736 | Method of manufacturing a multilayer ceramic electronic component with improved withstand voltage characteristics | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10943737 | Film capacitor, capacitor unit, and film capacitor production method | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10943738 | Thin film capacitor, and method of producing thin film capacitor | TDK CORPORATION |
10943739 | Electronic component | TDK CORPORATION |
10943740 | Electrical connection contact for a ceramic component, a ceramic component, and a component arrangement | EPCOS AG |
10943741 | High capacitance tunable multilayer capacitor and array | AVX CORPORATION |
10943742 | Conductive polymer dispersion for improved reliability | KEMET ELECTRONICS CORPORATION |
10943743 | Electrolytic capacitor and method for producing same | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10943744 | Anodes containing spherical powder and capacitors | GLOBAL ADVANCED METALS USA, INC. |
10943745 | Electric storage device | TOYOTA JIDOSHA KABUSHIKI KAISHA |
10943746 | Trigger activated tools having activation lockouts | HUBBELL INCORPORATED |
10943747 | Motor drive of electrical apparatuses | ABB SCHWEIZ AG |
10943748 | Electronic devices with component mounting structures | APPLE INC. |
10943749 | Wall mounted control device with interchangeable buttons | CRESTRON ELECTRONICS, INC. |
10943750 | Weatherproof decorator cover with positive indicator | HUBBELL INCORPORATED |
10943751 | Electromagnetic relay | PANASONIC INDUSTRIAL DEVICES EUROPE GMBH |
10943752 | Relay cooling device | AUTONETWORKS TECHNOLOGIES, LTD. |
10943753 | Electromagnetic relay | OMRON CORPORATION |
10943754 | Circuit breaker apparatus and system | DELTA ELECTRONICS (SHANGHAI) CO., LTD. |
10943755 | Protection device | -- |
10943756 | Radiation window | OXFORD INSTRUMENTS TECHNOLOGIES OY |
10943757 | Gas discharge tube | SHENZHEN BENCENT TELECTRONICS CO., LTD. |
10943758 | Image intensifier with thin layer transmission layer support structures | ELBIT SYSTEMS OF AMERICA, LLC |
10943759 | X-ray tube | HAMAMATSU PHOTONICS K.K. |
10943760 | Electron gun and electron microscope | KLA CORPORATION |
10943761 | System for evacuating a chamber | ASML NETHERLANDS B.V. |
10943762 | Inspection system, image processing device and inspection method | HITACHI HIGH-TECH CORPORATION |
10943763 | Use of electron beam scanning electron microscopy for characterization of a sidewall occluded from line-of-sight of the electron beam | APPLIED MATERIALS, INC. |
10943764 | Apparatus for wavelength resolved angular resolved cathodoluminescence | GATAN, INC. |
10943766 | Power feed member and substrate processing apparatus | TOKYO ELECTRON LIMITED |
10943767 | Digital sampling to control resonator frequency and phase in a LINAC | APPLIED MATERIALS, INC. |
10943768 | Modular high-frequency source with integrated gas distribution | APPLIED MATERIALS, INC. |
10943769 | Gas distributor and flow verifier | LAM RESEARCH CORPORATION |
10943770 | Detection of damage in matching networks | ADVANCED ENERGY INDUSTRIES, INC. |
10943771 | Methods for thermally calibrating reaction chambers | ASM IP HOLDING B.V. |
10943772 | Refractory metal plates | H.C. STARCK INC. |
10943773 | Ruthenium sputtering target and ruthenium alloy sputtering target | JX NIPPON MINING & METALS CORPORATION |
10943774 | Sputtering arrangement and sputtering method for optimized distribution of the energy flow | OERLIKON SURFACE SOLUTIONS AG, PFÄFFIKON |
10943775 | Collection probe and methods for the use thereof | BOARD OF REGENTS, THE UNIVERSITY OF TEXAS SYSTEM |
10943776 | Monitoring ion mobility spectrometry environment for improved collision cross section accuracy and precision | MICROMASS UK LIMITED |
10943779 | Method and system for three-dimensional (3D) structure fill | APPLIED MATERIALS, INC. |
10943788 | Abatement and strip process chamber in a load lock configuration | APPLIED MATERIALS, INC. |
10943789 | Methods and systems for advanced ion control for etching processes | LAM RESEARCH CORPORATION |
10943809 | Electrostatic chuck including ceramic dielectric substrate | TOTO LTD. |
10943848 | Apparatus, system, and method for dynamic compensation of heatsink-clamping mechanisms | JUNIPER NETWORKS, INC. |
10943849 | Microfluidic array | APR TECHNOLOGIES AB |
10943850 | Piezoelectric MEMS-based active cooling for heat dissipation in compute devices | FRORE SYSTEMS INC. |
10943856 | System in package device including inductor | TEXAS INSTRUMENTS INCORPORATED |
10943860 | Semiconductor device with flexible circuit for enabling non-destructive attaching and detaching of device to system board | MICRON TECHNOLOGY, INC. |
10943891 | Semiconductor module and power conversion apparatus | FUJI ELECTRIC CO., LTD. |
10943948 | Magnetic tunnel junction (MTJ) device and forming method thereof | -- |
10943950 | Magnetic memory devices with enhanced tunnel magnetoresistance ratio (TMR) and methods of fabrication | INTEL CORPORATION |
10943971 | Display device | SAMSUNG DISPLAY CO., LTD. |
10944037 | Thermoelectric material, thermoelectric element, optical sensor, and method for manufacturing thermoelectric material | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
10944049 | MTJ device performance by controlling device shape | -- |
10944051 | Method of cleaning a substrate processing apparatus and the substrate processing apparatus performing the method | TOKYO ELECTRON LIMITED |
10944082 | Vapor deposition apparatus | SAMSUNG DISPLAY CO., LTD. |
10944085 | Outer covering material for electricity storage devices, and electricity storage device | TOPPAN PRINTING CO., LTD. |
10944091 | Power storage device | TOYOTA JIDOSHA KABUSHIKI KAISHA |
10944100 | Electrochemical cell including anode and cathode including battery and capacitor particles and having equal electrochemical capacities, and method for forming the same | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
10944121 | Polymer electrolyte film | ASAHI KASEI KABUSHIKI KAISHA |
10944142 | Tunable bandpass filter | NEC CORPORATION |
10944143 | Non-reciprocal circuit element and method for manufacturing the same | MITSUBISHI ELECTRIC CORPORATION |
10944144 | Low loss radio frequency transmission lines and devices including such transmission lines | COMMSCOPE ITALY, S.R.L. |
10944145 | Electronic apparatus and electrical element | MURATA MANUFACTURING CO., LTD. |
10944146 | Dielectric waveguide having a dielectric waveguide body and a dielectric waveguide end with specified densities and method of producing | DAIKIN INDUSTRIES, LTD. |
10944147 | Thin film surface mountable high frequency coupler | AVX CORPORATION |
10944148 | Plating methods for modular and/or ganged waveguides for automatic test equipment for semiconductor testing | ADVANTEST CORPORATION |
10944149 | Concealed antenna node | ALPHA WIRELESS LIMITED |
10944150 | Combined active and passive wireless communication device | IMEC VZW |
10944151 | Antenna structure and wireless communication device using same | -- |
10944152 | Antenna structure | -- |
10944153 | Electronic devices having multi-band antenna structures | APPLE INC. |
10944154 | Wireless audio system and method for wirelessly communicating audio information using the same | BESTECHNIC (SHANGHAI) CO., LTD. |
10944155 | Standard antenna interface connector assembly | COMMSCOPE TECHNOLOGIES LLC |
10944156 | Wireless earphone antennas | APPLE INC. |
10944157 | Multi-arm spiral antenna for a wireless device | BOSE CORPORATION |
10944158 | Wrist-worn electronic device with a side wall loop antenna | GARMIN SWITZERLAND GMBH |
10944159 | Combination external vehicle antenna housing and installation method | MAGNADYNE CORPORATION |
10944160 | Electronic device | LENOVO (BEIJING) CO., LTD. |
10944161 | Film-type microstrip patch antenna | DONGWOO FINE-CHEM CO., LTD. |
10944162 | Passive sensor system with carbon nanotube components | ANALOG DEVICES, INC. |
10944163 | Bung-type antenna and antennal structure and antennal assembly associated therewith | INSTITUT MINES TELECOM/TELECOM BRETAGNE |
10944164 | Reflectarray antenna for transmission and reception at multiple frequency bands | NORTHROP GRUMMAN SYSTEMS CORPORATION |
10944165 | Integrated antenna package structure and manufacturing method thereof | -- |
10944166 | Balun for increasing isolation in simultaneous transmit and receive antennas | THE FLORIDA INTERNATIONAL UNIVERSITY BOARD OF TRUSTEES |
10944167 | Electronic device that reduces antenna interference and enhances antenna performance | SAMSUNG ELECTRONICS CO., LTD. |
10944168 | Medical device | BERLIN HEART GMBH |
10944169 | Wireless telecommunication antenna mount and control system | RADIARC TECHNOLOGIES, LLC |
10944171 | Fast transceiver front end serial control | PSEMI CORPORATION |
10944172 | High gain and large bandwidth antenna incorporating a built-in differential feeding scheme | SAMSUNG ELECTRONICS CO., LTD. |
10944173 | Antenna array and arrangement comprising an antenna array and a network node | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
10944174 | Antenna unit and antenna device | -- |
10944175 | Waveguide fed surface integrated waveguide antenna and method for producing same | THE BOEING COMPANY |
10944176 | Low profile wideband antenna | AGENCY FOR SCIENCE, TECHNOLOGY AND RESEARCH |
10944177 | Multi-feed dielectric antenna system and methods for use therewith | AT&T INTELLECTUAL PROPERTY I, L.P. |
10944178 | Physically reconfigurable structurally embedded vascular antenna | -- |
10944179 | Devices, systems and methods for creating and demodulating orbital angular momentum in electromagnetic waves and signals | -- |
10944180 | Phased array antenna | VIASAT, INC. |
10944181 | Antenna module and communication device | MURATA MANUFACTURING CO., LTD. |
10944182 | 3-D printing process for forming flat panel array antenna | COMMSCOPE TECHNOLOGIES LLC |
10944183 | Method for transmitting and receiving channel state information in multi-antenna wireless communication system, and device therefor | LG ELECTRONICS INC. |
10944184 | Slot array antenna including parasitic features | APTIV TECHNOLOGIES LIMITED |
10944185 | Wideband phased mobile antenna array devices, systems, and methods | WISPRY, INC. |
10944186 | Antenna system and antenna module with reduced interference between radiating patterns | TE CONNECTIVITY NEDERLAND BV |
10944187 | Terminal and connector | AUTONETWORKS TECHNOLOGIES, LTD. |
10944188 | Terminal block | OMRON CORPORATION |
10944189 | High speed electrical connector and printed circuit board thereof | AMPHENOL EAST ASIA ELECTRONIC TECHNOLOGY (SHENZHEN) CO., LTD. |
10944190 | Methods for the acylation of maytansinol | IMMUNOGEN, INC. |
10944191 | Offset ;lug connector on a board connection area | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10944192 | Electrical connector | -- |
10944193 | Miniature, low-pitch coaxial microwave connector, intended in particular to link two printed circuit boards to one another | RADIALL SA |
10944194 | Coaxial connector, high frequency unit, and receiver | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10944195 | Detachable power cord structure for portable hair curler | DONGGUAN LISI INTELLIGENT TECHNOLOGY CO., LTD |
10944196 | Electrical connector | -- |
10944197 | Connector terminal and connector | OMRON CORPORATION |
10944198 | Connector | SUMITOMO WIRING SYSTEMS, LTD. |
10944199 | Connector with terminal fitting having stabilizer and rattling preventing protrusion on opposite surfaces | SUMITOMO WIRING SYSTEMS, LTD. |
10944200 | Configurable docking connector | VIRGINIA PANEL CORPORATION |
10944201 | Connector having waterproof structure capable of preventing unintentional detachment of a packing | YAZAKI CORPORATION |
10944202 | Adapter module | INVENTEC (PUDONG) TECHNOLOGY CORPORATION |
10944203 | Waterproofing electrical connector | FU DING PRECISION INDUSTRIAL (ZHENGZHOU) CO., LTD. |
10944204 | Connector with a sealing surface, a movable protecting member on the sealing surface and a push-in portion in a mating connector to push the protecting member from the sealing surface | SUMITOMO WIRING SYSTEMS, LTD. |
10944205 | Hermetic electrical adaptor | FOXCONN (KUNSHAN) COMPUTER CONNECTOR CO., LTD. |
10944206 | Electrical connector system with a latch that permits rotation of connector housings into electrical connection | OPDO CONNECTORS, LLC |
10944207 | Electrical connector with heat bridge and electrical connection arrangement comprising an electrical connector with heat bridge | TE CONNECTIVITY GERMANY GMBH |
10944208 | Electrical extension cord stand and anchor apparatuses | -- |
10944209 | Inspection and maintenance system having an electrical interface | IPEK INTERNATIONAL GMBH |
10944211 | Connector with quick positioning structure | KUNSHAN AMPHENOL ZHENGRI ELECTRONICS CO., LTD. |
10944212 | Power connector with anti-disengaging mechanism | -- |
10944213 | USB combination lock for electronic devices | -- |
10944214 | Cable connector for high speed interconnects | AMPHENOL CORPORATION |
10944215 | Connector assembly | MOLEX, LLC |
10944216 | Electrical connector | MOLEX, LLC |
10944217 | Terminal fitting | AUTONETWORKS TECHNOLOGIES, LTD. |
10944218 | Outer conductor arrangement | ROSENBERGER HOCHFREQUENZTECHNIK GMBH & CO. KG |
10944219 | Power connector with integrated status monitoring | HUBBELL INCORPORATED |
10944220 | Modular device backbone for a network of user-swappable products | DEAKO, INC. |
10944221 | Systems and devices for authentication | ASSA ABLOY AB |
10944222 | Electrical connector with two types of connector terminals and a spacer inserted into a housing | YAZAKI CORPORATION |
10944223 | Electrical connector having a connector housing and a flange plate | SUMITOMO WIRING SYSTEMS, LTD. |
10944224 | Joint connector | SUMITOMO WIRING SYSTEMS, LTD. |
10944225 | Self shorting connector | AVX CORPORATION |
10944226 | Connector system for charging a device using a charging receptacle | DELL PRODUCTS L.P. |
10944227 | Method of forming an electrical connector | HUBBELL INCORPORATED |
10944228 | Method for attaching a contact element to the end of an electrical conductor | NEXANS |
10944229 | Electrical connector having a row of contacts made from two contact carriers of different thickness and method of making same | FOXCONN (KUNSHAN) COMPUTER CONNECTOR CO., LTD. |
10944230 | Circuit board connector | SUMITOMO WIRING SYSTEMS, LTD. |
10944231 | Method and device for processing a cable | KOMAX HOLDING AG |
10944232 | Terahertz lasers and terahertz extraction | MACQUARIE UNIVERSITY |
10944233 | Polarized fiber-laser | COHERENT, INC. |
10944234 | Optical fiber for light amplification having a core with low bend loss and end features with high bend loss and related method | RAYTHEON COMPANY |
10944235 | Method for producing a light source and light source | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10944236 | Optical unit, fixing mechanism for optical unit, and semiconductor laser module | FURUKAWA ELECTRIC CO., LTD. |
10944237 | Laser projection module | JABIL INC. |
10944238 | Optical member holding device, semiconductor laser device, method of manufacturing optical member holding device, and method of manufacturing semiconductor laser device | NICHIA CORPORATION |
10944239 | Laser diode drive method and arrangement | PRYSM SYSTEMS, INC. |
10944240 | Multi-section laser for fast modulation and broad spectral linewidth | MICROSOFT TECHNOLOGY LICENSING, LLC |
10944241 | Distributed reflector laser | II-VI DELAWARE, INC. |
10944242 | Surface-mount compatible VCSEL array | LUMENTUM OPERATIONS LLC |
10944243 | Method for producing an arrester, and arrester | EPCOS AG |
10944244 | Spark plug | NGK SPARK PLUG CO., LTD. |
10944245 | Method for a flexible connection scheme and protective enclosure for electronics for the chassis of a junction box or charge switch unit for an electric vehicle | ARENS CONTROLS COMPANY, LLC |
10944247 | Electrical connection box | SUMITOMO WIRING SYSTEMS, LTD. |
10944250 | Method for setting up a transmission link for electrical energy | NEXANS |
10944252 | Surge protection device | -- |
10944254 | Closing control method for high-voltage direct-current circuit breaker | NR ELECTRIC CO., LTD |
10944261 | Surgical robotic arm with wireless power supply interface | VERB SURGICAL INC. |
10944268 | Grid-isolated solar system | -- |
10944271 | Dock device with circuit to detect charge pin alignment | AMAZON TECHNOLOGIES, INC. |
10944287 | AVR bypass relay welding detection | SCHNEIDER ELECTRIC IT CORPORATION |
10944288 | Emergency power transfer switch system | -- |
10944290 | Headgear providing inductive coupling to a contact lens | TECTUS CORPORATION |
10944292 | Wireless power supply device | NEC CORPORATION |
10944293 | Noncontact power supply apparatus | OMRON CORPORATION |
10944297 | Low frequency rectenna system for wireless charging | AMI RESEARCH & DEVELOPMENT, LLC |
10944298 | Receiver for wireless charging system | SAMSUNG ELECTRONICS CO., LTD. |
10944301 | Laminate for use in core | TOYOTA JIDOSHA KABUSHIKI KAISHA |
10944332 | Power converter with shield plate and resin support member | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
10944339 | Electrode design and low-cost fabrication method for assembling and actuation of miniature motors with ultrahigh and uniform speed | BOARD OF REGENTS, THE UNIVERSITY OF TEXAS SYSTEM |
10944362 | Coupling surface acoustic wave resonators to a Josephson ring modulator | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10944373 | Lumped element directional coupler having asymmetrical structure | UNIVERSITY-INDUSTRY COOPERATION GROUP OF KYUNG HEE UNIVERSITY |
10944374 | Electrostatic chuck filter box and mounting bracket | LAM RESEARCH CORPORATION |
10944376 | LC resonator and LC filter | MURATA MANUFACTURING CO., LTD. |
10944382 | Switch module | MURATA MANUFACTURING CO., LTD. |
10944443 | Portable electronic device with two-piece housing | APPLE INC. |
10944453 | Object detection for beamforming configuration and coverage optimization | T-MOBILE USA, INC. |
10944468 | High gain active relay antenna system | -- |
10944490 | Antenna calibration for multiple input multiple output | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
10944656 | Technologies for adaptive processing of multiple buffers | INTEL CORPORATION |
10944854 | Electronic device | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
10944937 | Television panel mount | -- |
10945054 | Intelligent subsystem | -- |
10945055 | Intelligent subsystem | -- |
10945078 | Fiber laser microphones with graphene diaphragms | UCHICAGO ARGONNE, LLC |
10945138 | Dual mode communications device with remote device feedback and methods for use therewith | AT&T INTELLECTUAL PROPERTY I, L.P. |
10945139 | Concentric cells in a wireless communication system | SMARTSKY NETWORKS, LLC |
10945140 | Automated antenna testing device | -- |
10945273 | Apparatus, system and method of beamforming and beam tracking | INTEL IP CORPORATION |
10945306 | Wireless device powered by a city device and a method of providing wireless cellular and internet services | -- |
10945328 | Control system for electrically controlled installations | KEBA AG |
10945329 | Non-planar voltage multiplier | MOXTEK, INC. |
10945331 | Mobile display device | BOE TECHNOLOGY GROUP CO., LTD. |
10945333 | Thermal management assemblies having cooling channels within electrically insulated posts for cooling electronic assemblies | TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC. |
10945336 | Electronic device with relay mounted to substrate | TE CONNECTIVITY CORPORATION |
10945341 | Flexible display screen and flexible display device | BOE TECHNOLOGY GROUP CO., LTD. |
10945342 | Fastening assembly, holding mechanism, bracket and remote controller, using the holding mechanism | SZ DJI TECHNOLOGY CO., LTD. |
10945343 | Electronic apparatus | SAMSUNG DISPLAY CO., LTD. |
10945344 | Electronic device including battery module and method for manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10945345 | Storage apparatus and methods of storing and using electronic devices during charging | -- |
10945346 | Flexible display electronic device | SAMSUNG ELECTRONICS CO., LTD. |
10945347 | Techniques for making hermetic feedthroughs for enclosures | LONQ, INC. |
10945348 | Quick release connecting device | -- |
10945349 | Server chassis | INVENTEC (PUDONG) TECHNOLOGY CORPORATION |
10945350 | Cable connection system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10945351 | Modular data center cooling | ZONIT STRUCTURED SOLUTIONS, LLC |
10945352 | Cooling device and manufacturing method therefor | DAWNING INFORMATION INDUSTRY (BEIJING) CO., LTD |
10945353 | Mechanism with folded wrapping to seal components immersed in coolant | INTEL CORPORATION |
10945354 | Cooling systems comprising fluid diodes with variable diodicity for two-phase flow control | TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC. |
10945355 | Power conversion device | MITSUBISHI ELECTRIC CORPORATION |
10945356 | Cooking exhaust systems having one or more airflow features | HAIER US APPLIANCE SOLUTIONS, INC. |
10945357 | Optical module cage with configurable heatsink | CISCO TECHNOLOGY, INC. |
10945358 | Flexible electromagnetic wave shielding material, electromagnetic wave shielding type circuit module comprising same and electronic device furnished with same | AMOGREENTECH CO., LTD. |
10945359 | Component transfer device | FUJI CORPORATION |
10945360 | Component mounting positional deviation amount measurement unit | FUJI CORPORATION |
10945361 | Production line safety system | FUJI CORPORATION |
10945457 | Aerosol delivery device, and associated apparatus and method of formation thereof | RAI STRATEGIC HOLDINGS, INC. |
10945625 | Electrophysiology catheter design | MEDTRONIC ABLATION FRONTIERS LLC |
10945790 | System and method for laser based treatment of soft tissue | CONVERGENT DENTAL, INC. |
10946187 | Three-dimensional neural probe microelectrode array and method of manufacture | NEURONEXUS TECHNOLOGIES, INC. |
10946270 | System and method for offline configuring of a gaming accessory | STEELSERIES APS |
10946307 | Extraction of cannabinoids, curcuminoids and ginsenosides | BRIGHT GREEN CORPORATION |
10946389 | Activation device with magnets | VORWERK & CO. INTERHOLDING GMBH |
10946444 | Method of heat-treating additively manufactured ferromagnetic components | GENERAL ELECTRIC COMPANY |
10946466 | Welder apparatus and methods | AMERICAN INNOVATIVE MANUFACTURING, LLC |
10946474 | Raster methodology, apparatus and system for electron beam layer manufacturing using closed loop control | SCIAKY, INC. |
10946475 | Tool for ultrasonic bonding and apparatus for ultrasonic bonding | TOSHIBA MITSUBISHI-ELECTRIC INDUSTRIAL SYSTEMS CORPORATION |
10946729 | Apparatus for varying transparency of window glass using wireless power transfer | HYUNDAI MOTOR COMPANY |
10946746 | Vehicle power system including ultra-capacitor for mitigating transient current events | FORD GLOBAL TECHNOLOGIES, LLC |
10946749 | Movable power coupling and a robot with movable power coupling | PERSIMMON TECHNOLOGIES CORPORATION |
10946754 | Wireless power transmission device | TOYOTA JIDOSHA KABUSHIKI KAISHA |
10946755 | Plug socket with a locking element which is movable in the plug-in direction | TE CONNECTIVITY GERMANY GMBH |
10946757 | Contact apparatus and charging contact unit, and method for electrically connecting a vehicle to a charging station | SCHUNK TRANSIT SYSTEMS GMBH |
10946807 | Universal mounting system for tablet-controlled stereo head unit | METRA ELECTRONICS CORPORATION |
10946808 | Display screen mounting assembly | FORD GLOBAL TECHNOLOGIES, LLC |
10946813 | Vehicular vision system with forward viewing camera module | MAGNA ELECTRONICS INC. |
10946814 | Medium distribution assembly and a vehicle design element including such an assembly | MOTHERSON INNOVATIONS COMPANY LIMITED |
10946817 | Wire harness fixing structure | AUTONETWORKS TECHNOLOGIES, LTD. |
10946818 | Vehicular circuit body | YAZAKI CORPORATION |
10946819 | In-vehicle system | YAZAKI CORPORATION |
10946939 | Watercraft having a waterproof container and a waterproof electrical connector | KAI CONCEPTS, LLC |
10947107 | Device and method of fabricating such a device | THE UNIVERSITY OF MANCHESTER |
10947113 | Graphene fiber, method for manufacturing same and use thereof | GRAPHENE SQUARE INC. |
10947126 | Dielectric, capacitor and semiconductor device including the same, and method of preparing the dielectric | SAMSUNG ELECTRONICS CO., LTD. |
10947133 | Underwater systems having co-located data center and water desalination subunits | DEEPWATER DESAL LLC |
10947152 | Electrostatic doping of a layer of a conductive or non-conductive material | CENTRE NATIONAL DE LA RECHERCHE SCIENTIFIC |
10947160 | Solid electrolyte and lithium ion battery | SEIKO EPSON CORPORATION |
10947339 | Anion exchange resin, method for producing thereof, electrolyte membrane for fuel cell, binder for forming electrode catalyst layer, fuel cell electrode catalyst layer and fuel cell | UNIVERSITY OF YAMANASHI |
10947353 | Resin composition | MITSUBISHI CHEMICAL CORPORATION |
10947367 | Polytetrafluoroethylene hexaferrite composites | ROGERS CORPORATION |
10947376 | Polyanion copolymers for use with conducting polymers in solid electrolytic capacitors | KEMET ELECTRONICS CORPORATION |
10947378 | Fluoropolymer compositions with high content of inorganic material | 3M INNOVATIVE PROPERTIES COMPANY |
10947416 | Sealant composition, liquid crystal cell, and method of producing liquid crystal cell | SHARP KABUSHIKI KAISHA |
10947424 | Adhesive composition comprising eutectic metal alloy nanoparticles | XEROX CORPORATION |
10947618 | Barrier film constructions and methods of making same | 3M INNOVATIVE PROPERTIES COMPANY |
10947639 | Molten target sputtering (MTS) deposition for enhanced kinetic energy and flux of ionized atoms | UNITED STATES OF AMERICA AS REPRESENTED BY THE ADMINISTRATOR OF NASA |
10947751 | Utility pole with transparent portion | COMPTEK TECHNOLOGIES, LLC |
10947759 | Side-door lock for a motor vehicle | KIEKERT AG |
10947773 | One or more doors with one or more angled side flanges for electrical panel enclosure | COOPER TECHNOLOGIES COMPANY |
10947785 | High-power fuse-protected capacitor for downhole electrocrushing drilling | HALLIBURTON ENERGY SERVICES, INC. |
10947933 | Intake oxidant generator systems and methods | THRIVALTECH, LLC |
10947986 | Compact centrifugal pump with magnetically suspended impeller | CH BIOMEDICAL (USA) INC. |
10948001 | Automatic clamping holder for mobile device | SHENZHEN ANNAIJIA ELECTRONICS CO., LTD. |
10948072 | Control circuit device for automatic transmission | AUTONETWORKS TECHNOLOGIES, LTD. |
10948101 | Noise-responsive control of a sensing system | MASCO CANADA LIMITED |
10948126 | Hand-held device | FANUC CORPORATION |
10948136 | Networked LED lighting system | -- |
10948145 | Artificial candle with flame simulator | STERNO HOME INC. |
10948146 | Electronic lighting device and method for manufacturing same | L&L CANDLE COMPANY, LLC |
10948191 | Magnet-thermocouple system for fail-safe supply of gas to burners or the like | -- |
10948194 | Automatic disconnect for cable in oven appliance door | HAIER US APPLIANCE SOLUTIONS, INC. |
10948241 | Vapor chamber heat spreaders having improved transient thermal response and methods of making the same | TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC. |
10948246 | Heat dissipation system | INVENTEC (PUDONG) TECHNOLOGY CORPORATION |
10948247 | Adjustable heat sink fin spacing | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10948282 | Deformometer for determining deformation of an optical cavity optic | GOVERNMENT OF THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF COMMERCE |
10948296 | Interlaced spiral optical gyroscope | CALIFORNIA INSTITUTE OF TECHNOLOGY |
10948333 | Radar level indicator having a short measurement time | VEGA GRIESHABER KG |
10948366 | Flexible sensors incorporating piezoresistive composite materials and fabrication methods | ARIZONA BOARD OF REGENTS ON BEHALF OF ARIZONA STATE UNIVERSITY |
10948373 | Pressure sensor device and electrically powered pump | NIDEC TOSOK CORPORATION |
10948421 | Laser-driven photon source and inspection apparatus including such a laser-driven photon source | ASML NETHERLANDS B.V. |
10948426 | Particle beam device, observation method, and diffraction grating | RIKEN |
10948429 | Rock sample preparation method by using focused ion beam for minimizing curtain effect | SAUDI ARABIAN OIL COMPANY |
10948456 | Gas analyzer system with ion source | MKS INSTRUMENTS, INC. |
10948501 | Mass spectrometry assay for congenital adrenal hyperplasia | QUEST DIAGNOSTICS INVESTMENTS INCORPORATED |
10948516 | Methods and systems for connecting and metering distributed energy resource devices | LANDIS+GYR INNOVATIONS, INC. |
10948551 | Fault monitoring systems and methods for detecting connectivity faults | QHI GROUP LIMITED |
10948587 | Device for detecting explosive materials, or weapons or firearms, or knives or substances | -- |
10948621 | Microstrip antenna-based logging tool and method | HALLIBURTON ENERGY SERVICES, INC. |
10948630 | Display apparatus and manufacturing method of window member included in display apparatus | SAMSUNG DISPLAY CO., LTD. |
10948651 | Keyboard backlight module and manufacturing method thereof | -- |
10948656 | Fiber-based mid-IR signal combiner and method of making same | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
10948721 | Electromagnetic tracking with augmented reality systems | MAGIC LEAP, INC. |
10948732 | Light-emitting unit and method for producing a light-emitting unit | ROBERT BOSCH GMBH |
10948795 | Perimeter sealant for an electrochromic device | POLYCEED, INC. |
10948807 | Imaging apparatus and accessory | CANON KABUSHIKI KAISHA |
10948867 | Cabinet structure, electronic equipment, and image forming apparatus | RICOH COMPANY, LTD. |
10948892 | Serial cascade connector system, connector member included in the same, combination of connector member and relay connector member and safety component | KEYENCE CORPORATION |
10948929 | Building utility floodwater isolation system | UTILITY ISOLATION SYSTEMS LLC |
10948940 | Adjustable and pluggable control interface device with sensor thereof | SHENZHEN GUANKE TECHNOLOGIES CO., LTD |
10948946 | Tablet support arm structures, systems and associated methods | GCX CORPORATION |
10948948 | Mobile apparatus | SAMSUNG ELECTRONICS CO., LTD. |
10948951 | Card/chassis coupling system | DELL PRODUCTS L.P. |
10948952 | Power distribution board, modular chassis system and operating method thereof | -- |
10948953 | Optimized vent walls in electronic devices | APPLE INC. |
10948956 | Device having time division multiplexing capability of heat dissipation | DELL PRODUCTS L.P. |
10949006 | Digital jukebox device with improved user interfaces, and associated methods | TOUCHTUNES MUSIC CORPORATION |
10949166 | Mechanical computing systems | CBN NANO TECHNOLOGIES INC. |
10949631 | Multi-part RF transponder and multi-way engagement signaling | AUTOMATED ASSEMBLY CORPORATION |
10949647 | System and method of efficient illuminator-sensor synchronization to capture images | INTEL CORPORATION |
10949733 | Semiconductor device and antenna label | TOSHIBA MEMORY CORPORATION |
10949769 | Co-planar waveguide flux qubits | GOOGLE LLC |
10950075 | Electrical security socket | SECURIPLUG LTD |
10950111 | Firearm regulation system and related methods | TALKNOWLEDGE, LLC |
10950121 | Passive rebound switch having variable number of buttons | GUANGDONG EBELONG INTELLIGENT TECHNOLOGY CO., LTD. |
10950260 | Magnetoresistive sensor with improved magnetic properties and magnetostriction control | WESTERN DIGITAL TECHNOLOGIES, INC. |
10950363 | Active material for negative electrodes of nonaqueous secondary batteries, and nonaqueous secondary battery | DIC CORPORATION |
10950364 | Bio-electrode and method for manufacturing the same | SHIN-ETSU CHEMICAL CO., LTD. |
10950365 | Insulated wire and winding | HITACHI METALS, LTD. |
10950366 | Polymer composition and a power cable comprising the polymer composition | BOREALIS AG |
10950367 | Electrical cable | TE CONNECTIVITY CORPORATION |
10950368 | I-shaped filler | STERLITE TECHNOLOGIES LIMITED |
10950369 | Inverted cable design for high-speed, low loss signal transmission | DELL PRODUCTS L.P. |
10950370 | Conductor cover applicator | CANTEGA TECHNOLOGIES INC. |
10950371 | Nonmetallic push-in connector | -- |
10950372 | Surface mounted fuse device having positive temperature coefficient body | LITTELFUSE, INC. |
10950373 | Hot-pressed and deformed magnet comprising nonmagnetic alloy and method for manufacturing same | LG ELECTRONICS INC. |
10950374 | Fe-based alloy composition, soft magnetic material, magnetic members, electric/electronic component, and device | ALPS ALPINE CO., LTD. |
10950375 | MnZn ferrite core and its production method | HITACHI METALS. LTD. |
10950376 | Droplet assembly method | OXFORD UNIVERSITY INNOVATION LIMITED |
10950377 | Rotary encoder with shielded magnet | INFINEON TECHNOLOGIES AG |
10950378 | Methods and systems for controlling electromagnetic field generators | THE CHINESE UNIVERSITY OF HONG KONG |
10950379 | Transmission coil and power transmission apparatus | PANASONIC CORPORATION |
10950381 | Surface-mounted LC device | MURATA MANUFACTURING CO., LTD. |
10950382 | Autotransformer rectifier unit with multiple alternating current level outputs | THE BOEING COMPANY |
10950383 | Large area power transmitter for wireless power transfer | ETHERDYNE TECHNOLOGIES, INC. |
10950384 | Method used in forming an electronic device comprising conductive material and ferroelectric material | MICRON TECHNOLOGY, INC. |
10950385 | Multilayer ceramic capacitor with outer electrodes including resin layers | MURATA MANUFACTURING CO., LTD. |
10950386 | Multilayer ceramic electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10950387 | Multilayer capacitor | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10950388 | Multi-layer ceramic capacitor and circuit board | TAIYO YUDEN CO., LTD. |
10950389 | Thin-film capacitor | TDK CORPORATION |
10950390 | Stacked type capacitor without carbon paste layer, manufacturing method thereof and silver paste layer | -- |
10950391 | Photoelectric conversion device and manufacturing method and apparatus thereof | KABUSHIKI KAISHA TOSHIBA |
10950392 | High performance nano/micro composite fiber capable of storing electrical energy and method for fabricating thereof | AICT |
10950393 | Switch assembly with front side removability | CARLING TECHNOLOGIES, INC. |
10950394 | Key switch with noise reduction capability | -- |
10950395 | Switching device | ABB OY |
10950396 | Switch device having swinging-type operation | TOYO DENSO KABUSHIKI KAISHA |
10950397 | Switching and operating assembly of a switch | SCHNEIDER ELECTRIC (AUSTRALIA) PTY LTD |
10950398 | Four-way switch including malfunction prevention structure | ALPS ALPINE CO., LTD. |
10950399 | Hybrid relay | ZONIT STRUCTURED SOLUTIONS LLC |
10950400 | Electric contact system | TYCO ELECTRONICS (SHENZHEN) CO. LTD |
10950401 | Thermally triggered, mechanical switching device | DEHN SE + CO KG |
10950402 | Electrical contactor | SOLARBOS, INC. |
10950403 | Remote operated ground fault circuit breaker | CARLING TECHNOLOGIES, INC. |
10950404 | Modularity for circuit breakers | SIEMENS INDUSTRY, INC. |
10950405 | Locking an enclosed switch, a bus plug or a panelboard switch | SIEMENS INDUSTRY, INC. |
10950406 | Self-limiting electrical triggering for initiating fracture of frangible glass | PALO ALTO RESEARCH CENTER INCORPORATED |
10950407 | Electron gun | NEW JAPAN RADIO CO., LTD. |
10950408 | Apparatuses, systems, and methods for ion traps | HONEYWELL INTERNATIONAL INC. |
10950409 | High reliability, long lifetime, negative ion source | PHOENIX LLC |
10950410 | Multiple electron beam inspection apparatus with through-hole with spiral shape | NUFLARE TECHNOLOGY, INC. |
10950411 | Control method for multi-phase winding deflection scanning device | -- |
10950412 | Observation method, image processing device, and electron microscope | JEOL LTD. |
10950413 | Electron beam irradiation method, electron beam irradiation apparatus, and computer readable non-transitory storage medium | NUFLARE TECHNOLOGY, INC. |
10950414 | Plasma processing apparatus and method of manufacturing semiconductor device using the same | SAMSUNG ELECTRONICS CO., LTD. |
10950415 | Plasma processing apparatus and plasma processing method | TOKYO ELECTRON LIMITED |
10950416 | Chamber seasoning to improve etch uniformity by reducing chemistry | MATTSON TECHNOLOGY, INC. |
10950417 | Substrate processing apparatus and substrate loading mechanism | TOKYO ELECTRON LIMITED |
10950418 | Plasma processing apparatus and plasma processing method | TOKYO ELECTRON LIMITED |
10950419 | Shrouds and substrate treating systems including the same | SAMSUNG ELECTRONICS CO., LTD. |
10950420 | Atmospheric pressure plasma device | FUJI CORPORATION |
10950421 | Using modeling for identifying a location of a fault in an RF transmission system for a plasma system | LAM RESEARCH CORPORATION |
10950422 | Optimizing quadrupole collision cell RF amplitude for tandem mass spectrometry | THERMO FINNIGAN LLC |
10950423 | Imaging mass spectrometry data processing device and imaging mass spectrometry data processing method | SHIMADZU CORPORATION |
10950424 | Method for monitoring the quality of mass spectrometric imaging preparation workflows | -- |
10950425 | Mass analyser having extended flight path | MICROMASS UK LIMITED |
10950428 | Method for processing a workpiece | MATTSON TECHNOLOGY, INC. |
10950449 | Substrate processing apparatus | ASM IP HOLDING B.V. |
10950452 | Seasoning method and etching method | TOKYO ELECTRON LIMITED |
10950454 | Integrated atomic layer passivation in TCP etch chamber and in-situ etch-ALP method | LAM RESEARCH CORPORATION |
10950458 | Etching method | TOKYO ELECTRON LIMITED |
10950467 | Gas supply mechanism and semiconductor manufacturing system | TOKYO ELECTRON LIMITED |
10950477 | Ceramic heater and esc with enhanced wafer edge performance | APPLIED MATERIALS, INC. |
10950483 | Systems and methods for fixed focus ring processing | -- |
10950508 | Ion depth profile control method, ion implantation method and semiconductor device manufacturing method based on the control method, and ion implantation system adapting the control method | SAMSUNG ELECTRONICS CO., LTD. |
10950522 | Electronic device | SHINDENGEN ELECTRIC MANUFACTURING CO., LTD. |
10950530 | Semiconductor device package and method of manufacturing the same | -- |
10950536 | Packed interconnect structure with reduced cross coupled noise | INTEL CORPORATION |
10950561 | Antenna module | SAMSUNG ELECTRONICS CO., LTD. |
10950574 | Sensor having system-in-package module, method for producing the same, and sensor arrangement | CONTINENTAL TEVES AG & CO. OHG |
10950698 | Method and apparatus for selective nitridation process | APPLIED MATERIALS, INC. |
10950706 | Nano-scale energy conversion device | BIRMINGHAM TECHNOLOGIES, INC. |
10950747 | Heterostructure for an optoelectronic device | SENSOR ELECTRONIC TECHNOLOGY, INC. |
10950757 | Flip chip type light emitting diode chip | SEOUL VIOSYS CO., LTD. |
10950770 | Method for producing an electronic device | NICHIA CORPORATION |
10950777 | Conversion of heat to electricity using phase transformations in ferroelectric oxide capacitors | REGENTS OF THE UNIVERSITY OF MINNESOTA |
10950782 | Nitride diffusion barrier structure for spintronic applications | HEADWAY TECHNOLOGIES, INC. |
10950795 | Fullerene derivative blends, methods of making and uses thereof | NANO-C, INC. |
10950824 | Flexible display device | SAMSUNG DISPLAY CO., LTD. |
10950836 | Separators for lithium-containing electrochemical cells and methods of making the same | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
10950842 | Connection module | AUTONETWORKS TECHNOLOGIES, LTD. |
10950844 | Battery pole and electrical contact unit for producing an electrical connection between a battery pole and an on-board electrical system of a vehicle | DAIMLER AG |
10950876 | Reactor unit | DENSO CORPORATION |
10950897 | Method of producing shape-conformable alkali metal-sulfur battery having a deformable and conductive quasi-solid electrode | GLOBAL GRAPHENE GROUP, INC. |
10950909 | Device temperature regulator | DENSO CORPORATION |
10950917 | Dielectric resonator and dielectric filter | TDK CORPORATION |
10950918 | Dual-mode monoblock dielectric filter | THE CHINESE UNIVERSITY OF HONG KONG |
10950919 | System comprising first and second servers interconnected by a plurality of joined waveguide sections | INTEL CORPORATION |
10950920 | Transition between a tubular waveguide body and an external planar connection portion through a planar matching ridge in the waveguide body | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
10950921 | Radio and power pole | SABRE INDUSTRIES INC. |
10950922 | Battery monitoring device | YAZAKI CORPORATION |
10950923 | Antenna for an RFID reader and method for identifying a roll | SICK AG |
10950924 | Priority-based energy management | LENNOX INDUSTRIES INC. |
10950925 | Antenna structure and wireless communication device using the same | -- |
10950926 | Dual-band antenna element and base station | HUAWEI TECHNOLOGIES CO., LTD. |
10950927 | Flexible spiral antenna | ROCKWELL COLLINS, INC. |
10950928 | Antenna device | MITSUBISHI ELECTRIC CORPORATION |
10950929 | Foam radiator | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
10950930 | Antenna device | YOKOWO CO., LTD. |
10950931 | Wireless communication device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10950932 | Electronic device wide band antennas | APPLE INC. |
10950933 | Decoupling assembly, multiple-antenna system, and terminal | HUAWEI TECHNOLOGIES CO., LTD. |
10950934 | Wireless electric power transmission apparatus and electric power transfer system | MITSUBISHI ELECTRIC CORPORATION |
10950935 | Position adjuster for millimeter wave antenna | E-BAND COMMUNICATIONS, LLC |
10950936 | Signal distribution network | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
10950937 | Device and method for controlling beam by using lens in wireless communication system | SAMSUNG ELECTRONICS CO., LTD. |
10950938 | Beam steering antenna transmitter, multi-user antenna MIMO transmitter and related methods of communication | PHASE SENSITIVE INNOVATIONS, INC. |
10950939 | Systems and methods for ultra-ultra-wide band AESA | ROCKWELL COLLINS, INC. |
10950940 | Electronically beam-steerable full-duplex phased array antenna | HUAWEI TECHNOLOGIES CO., LTD. |
10950941 | Conductive structural member acting as single-ended NFC antenna | MICROSOFT TECHNOLOGY LICENSING, LLC |
10950942 | Ground plane independent antenna | SMARTEQ WIRELESS AKTIEBOLAG |
10950943 | Antenna structure | -- |
10950944 | Capacitively coupled patch antenna | TALLYSMAN WIRELESS INC. |
10950945 | Antenna element, antenna module, and communication apparatus | MURATA MANUFACTURING CO., LTD. |
10950946 | Antenna, module substrate, and module | KYOCERA CORPORATION |
10950947 | Antenna feed elements with constant inverted phase | COMMSCOPE TECHNOLOGIES LLC |
10950948 | Device and method for combined signal transmission or for combined signal transmission and energy transmission | LAIRD DABENDORF GMBH |
10950949 | Electronic device including printed circuit board | SAMSUNG ELECTRONICS CO., LTD. |
10950950 | Antenna | TDK CORPORATION |
10950951 | Radar device | MITSUBISHI ELECTRIC CORPORATION |
10950952 | Spherical space feed for antenna array systems and methods | ROCKWELL COLLINS, INC. |
10950953 | Antenna unit, MIMO antenna and handheld device | SHENZHEN SUNWAY COMMUNICATION CO., LTD. |
10950954 | Terminal assembly and method | LEAR CORPORATION |
10950955 | Insulation piercing connector | HUBBELL INCORPORATED |
10950956 | Methods and systems for utilizing ideal taps in coaxial networks | MAXLINEAR, INC. |
10950957 | Male plug, female socket and connector | DONGGUAN SHUN WEI ELECTRONICS INDUSTRY CO., LTD. |
10950958 | Memory module connector, memory module, and pivotable latch | INTEL CORPORATION |
10950959 | Apparatus, system, and method for achieving PCB-compatible power sockets | JUNIPER NETWORKS, INC. |
10950960 | Device with disposable element | BRUIN BIOMETRICS, LLC |
10950961 | Card edge connector structure | -- |
10950962 | Electrical connector and electrical cable arrangement connected thereto | LISA DRAEXLMAIER GMBH |
10950963 | Ribbon cable connector, connector assembly and use of a connector | TE CONNECTIVITY GERMANY GMBH |
10950964 | Electrical socket with contoured contact beams | AMPHENOL CORPORATION |
10950965 | Contact element arrangement | AMPHENOL-TUCHEL ELECTRONICS GMBH |
10950966 | Safety stab technology | AMERICAN MINE RESEARCH, INC. |
10950967 | Electric terminal housing with a terminal lock | LEAR CORPORATION |
10950968 | Electrical connector with a contact holder having transverse openings to receive fasteners | EATON INTELLIGENT POWER LIMITED |
10950969 | Ganged coaxial connector assembly with alternative attachment structures | COMMSCOPE TECHNOLOGIES LLC |
10950970 | Ganged coaxial connector assembly | COMMSCOPE TECHNOLOGIES LLC |
10950971 | Circular connector with sealing grommet and retaining ring | SAFRAN ELECTRICAL & POWER |
10950972 | Resilient cable connector cover having regions of selective stiffness | JOHN MEZZALINGUA ASSOCIATES, LLC |
10950973 | Watertight plug assembly | WINTERGREEN CORPORATION |
10950974 | Charging cable system for an electric vehicle, electric vehicle, and methods for charging an electric vehicle | HYUNDAI MOTOR COMPANY |
10950975 | Progressive latch for electrical module | ARISTA NETWORKS, INC. |
10950976 | Connector assembly | HARTING (ZHUHAI) MANUFACTURING CO., LTD. |
10950977 | Track assembly for a vehicle component | LEAR CORPORATION |
10950978 | Connector with prevention of lopsidedness in a movable region of a movable housing with respect to a fixed housing | IRISO ELECTRONICS CO., LTD. |
10950979 | Electrical connector assembly | ROSENBERGER HOCHFREQUENZTECHNIK GMBH & CO. KG |
10950980 | Connector and connector device | HIROSE ELECTRIC CO., LTD. |
10950981 | Electrical connector for high frequency use with dual orientation | FOXCONN (KUNSHAN) COMPUTER CONNECTOR CO., LTD. |
10950982 | Connector with tuned channel | MOLEX, LLC |
10950983 | Connector | TYCO ELECTRONICS (SHANGHAI) CO. LTD. |
10950984 | Connector assembly having a low-impedance ground connection | APPLE INC. |
10950985 | Shield connection element for a printed circuit board | AMPHENOL-TUCHEL ELECTRONICS GMBH |
10950987 | Voltage protection for universal serial bus Type-C (USB-C) connector systems | CYPRESS SEMICONDUCTOR CORPORATION |
10950988 | DC-DC conversion system | LAT ENTERPRISES, INC. |
10950989 | Ground fault circuit interrupter (GFCI) latching apparatus | TOWER MANUFACTURING CORP. |
10950990 | Reel based outlet relocation/extension system | ONE-EYED PILOT INNOVATIONS, INC. |
10950991 | Multiple unit charge cord assembly | -- |
10950992 | Electrical fixture mounting system | -- |
10950993 | Coaxial connector | COMMSCOPE TECHNOLOGIES LLC |
10950994 | Quick connect/disconnect coaxial cable connector | JOHN MEZZALINGUAASSOCIATES, LLC |
10950995 | Modular low profile raceway to provide power and/or data connectivity | LEGRAND CONNECTRAC |
10950996 | Multiplex connecting device capable of switching an operability of a single connector thereof | -- |
10950997 | Plug module system | MOLEX, LLC |
10950998 | Wire guide for insulation displacement contact (IDC) | AVX CORPORATION |
10950999 | Method of manufacturing cable connector hand tools | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
10951000 | High power single mode fiber laser | IPG PHOTONICS CORPORATION |
10951001 | Tandem pumped fiber laser or fiber amplifier | NLIGHT, INC. |
10951002 | Bichromatic laser for quantum computing applications | HONEYWELL INTERNATIONAL INC. |
10951003 | Light source for integrated silicon photonics | INPHI CORPORATION |
10951004 | Light source device | NICHIA CORPORATION |
10951005 | Techniques for attachment and alignment of optical components on a thermoelectric cooler (TEC) and an optical subassembly implementing same | APPLIED OPTOELECTRONICS, INC. |
10951006 | High-power laser packaging utilizing carbon nanotubes and partially reflective output coupler | TERADIODE, INC. |
10951007 | Optically pumped tunable VCSEL employing geometric isolation | EXCELITAS TECHNOLOGIES CORP. |
10951008 | Creating arbitrary patterns on a 2-d uniform grid VCSEL array | APPLE INC. |
10951009 | Tunable VCSEL polarization control through dissimilar die bonding | EXCELITAS TECHNOLOGIES CORP. |
10951011 | Spark plug for internal combustion engines | DENSO CORPORATION |
10951012 | Spark plug for internal combustion engines and internal combustion engine | DENSO CORPORATION |
10951013 | Multiple input power distribution shelf and bus bar assembly thereof | -- |
10951015 | Electrical conduit system having improved sealing between joints | ROBROY INDUSTRIES—TEXAS, LLC |
10951016 | Visible light communication pilot light and indicator light | APPLETON GRP LLC |
10951022 | Current distributor and protection system for a vehicle | ROBERT BOSCH GMBH |
10951034 | Protection for an HVDC network | SUPERGRID INSTITUTE |
10951042 | Power supply systems | COMARCO WIRELESS SYSTEMS LLC |
10951044 | System and method for electrical vehicle charging system | ABB SCHWEIZ AG |
10951052 | Wireless charger | PASS & SEYMOUR, INC. |
10951053 | Portable electronic device | APPLE INC. |
10951056 | Systems and methods for intelligent power distribution | AT&T INTELLECTUAL PROPERTY I, L.P. |
10951061 | Method and system for controlling supply of energy to an implantable medical device | -- |
10951062 | Wireless power receiver apparatus | ROHM CO., LTD. |
10951064 | Coreless power transformer | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
10951067 | Power transmission unit | YAZAKI CORPORATION |
10951074 | Permanent magnet, rotary electrical machine, and vehicle | KABUSHIKI KAISHA TOSHIBA |
10951080 | Windings for an electric machine | ABB SCHWEIZ AG |
10951087 | Electric brake motor unit | ADVICS CO., LTD. |
10951098 | Method of manufacturing an axial flux motor | REGAL BELOIT AMERICA, INC. |
10951123 | Power conversion system | -- |
10951167 | Semiconductor device | CANON KABUSHIKI KAISHA |
10951191 | Low-leakage automatic adjustable diplexer | -- |
10951194 | Acoustic wave filter, multiplexer, and communication apparatus | KYOCERA CORPORATION |
10951197 | On-chip balun | SEQUANS COMMUNICATIONS S.A. |
10951210 | Tuning capacitance to enhance FET stack voltage withstand | PSEMI CORPORATION |
10951244 | Electronic device | LENOVO (BEIJING) CO., LTD. |
10951245 | Electronic device and method for switching antenna thereof | SAMSUNG ELECTRONICS CO., LTD. |
10951258 | Waveguide unit, waveguide device, and connection method | NEC CORPORATION |
10951260 | Mobile terminal | LG ELECTRONICS INC. |
10951266 | Guided wave coupling system for telephony cable wrap wire and methods for use therewith | AT&T INTELLECTUAL PROPERTY I, L.P. |
10951267 | Method and apparatus for adapting a waveguide to properties of a physical transmission medium | AT&T INTELLECTUAL PROPERTY I, L.P. |
10951269 | Smart packaging and display system | R.J. REYNOLDS TOBACCO COMPANY |
10951274 | Optimized multi-beam antenna array network with an extended radio frequency range | MOVANDI CORPORATION |
10951278 | Interference mitigation technique for a MSS system from an inverted terrestrial frequency BWA reuse | TELCOM VENTURES, LLC |
10951331 | Process based antenna configuration | MOTOROLA MOBILITY LLC |
10951444 | In house reception of transmission signals compliant to a standard specification for mobile phone | INSTITUT FUR RUNDFUNKTECHNIK GMBH |
10951686 | Apparatus for transmitting broadcast signals, apparatus for receiving broadcast signals, method of transmitting broadcast signals and method of receiving broadcast signals | LG ELECTRONICS INC. |
10951794 | Camera assembly | RAKUTEN, INC. |
10951796 | Image pickup apparatus including thermally isolated radio antenna and thermally isolated electronic viewfinder | CANON KABUSHIKI KAISHA |
10951961 | System, method and apparatus for wireless control of an actuator | SENSEWARE, INC. |
10951971 | Headphone charging case | SHARETRONIC DATA TECHNOLOGY (HONG KONG) LIMITED |
10951986 | Enhanced virtual stereo reproduction for unmatched transaural loudspeaker systems | BOOMCLOUD 360, INC. |
10951997 | Hearing device incorporating antenna arrangement with slot radiating element | STARKEY LABORATORIES, INC. |
10952283 | Structural design and process to improve the temperature modulation and power consumption of an IR emitter | KONINKLIJKE PHILIPS N.V. |
10952284 | Heating cable | SCHLUTER SYSTEMS L.P. |
10952286 | Skin-effect based heating cable, heating unit and method | -- |
10952294 | Driving method and driving apparatus for light emitting diodes in keyboard | -- |
10952308 | System and method for protecting a transformer | GENERAL ELECTRIC COMPANY |
10952310 | High-frequency module | MURATA MANUFACTURING CO., LTD. |
10952312 | Communication module packaging | II-VI DELAWARE, INC. |
10952318 | Method for manufacturing electronic device | -- |
10952322 | Integrated electronic control unit for a steering wheel assembly | JOYSON SAFETY SYSTEMS ACQUISITION LLC |
10952323 | Cable assembly for in-molded electronics assembly | DUS OPERATING INC. |
10952325 | Printed circuit board stack structure and method of forming the same | -- |
10952326 | Printed wiring board and switching regulator | MURATA MANUFACTURING CO., LTD. |
10952327 | Semiconductor module | SAMSUNG ELECTRONICS CO., LTD. |
10952331 | Wire soldered structure | -- |
10952334 | Power supply fixing structure | -- |
10952335 | Flexible display panel and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10952336 | Housing for accommodating a single- or multi-part printed circuit board | CONTINENTAL AUTOMOTIVE GMBH |
10952337 | Temperature-indicating mobile device case | -- |
10952339 | Folding devices | INTEL CORPORATION |
10952340 | Multifunction stand | -- |
10952341 | Casing of electronic device | -- |
10952342 | Window panel, display device including the window panel, and manufacturing method of the window panel | SAMSUNG DISPLAY CO., LTD. |
10952343 | Assembled body | TOKAI KOGYO CO., LTD. |
10952344 | Rack power distribution unit having interchangeable outlets | SCHNEIDER ELECTRIC IT CORPORATION |
10952345 | Adjustable cable management bracket for modular electronic system | CISCO TECHNOLOGY, INC. |
10952346 | Rack having scalability and compatibility | NAVER BUSINESS PLATFORM CORP. |
10952347 | Adjustable cable management slide and direction control for optimized routing | CISCO TECHNOLOGY, INC. |
10952348 | Heat dissipation device | -- |
10952349 | Scalable coolant distribution unit | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
10952350 | Cooling device and electronic apparatus | FUJITSU LIMITED |
10952351 | Display device | LG DISPLAY CO., LTD. |
10952352 | Assemblies including heat dispersing elements and related systems and methods | MICRON TECHNOLOGY, INC. |
10952353 | Thermal buffering module for equipment rack | SCHNEIDER ELECTRIC IT CORPORATION |
10952354 | Cold plate with metal tube connection and flexible metal tube | -- |
10952355 | Apparatus, system, and method for electromagnetic interference mitigation in optical module cages | JUNIPER NETWORKS, INC. |
10952356 | Shielding portions of an electronic device | GOOGLE LLC |
10952357 | Magnetic shielding tape for cable and method for manufacturing thereof | 3M INNOVATIVE PROPERTIES COMPANY |
10952358 | Component pickup method | FUJI CORPORATION |
10952359 | Substrate pick-and-place equipment | HKC CORPORATION LIMITED |
10952360 | Component mounter and component holder imaging method | YAMAHA HATSUDOKI KABUSHIKI KAISHA |
10952361 | System and method for detecting electronic components | -- |
10952674 | Wireless battery-free diagnostic mouth guard | UNIVERSITY OF FLORIDA RESEARCH FOUNDATION, INCORPORATED |
10953092 | Method and apparatus for particle actuation in a space | CALIFORNIA INSTITUTE OF TECHNOLOGY |
10953145 | Driveline connectors and methods for use with heart pump controllers | TCI LLC |
10953221 | Medical lead with segmented electrodes | MEDTRONIC, INC. |
10953319 | Spin transfer MRAM element having a voltage bias control | -- |
10953467 | Porous materials comprising two-dimensional nanomaterials | OXFORD UNIVERSITY INNOVATION LIMITED |
10953470 | Scanning mirror navigation apparatus and method | RAYTHEON TECHNOLOGIES CORPORATION |
10953487 | Ultrasonic vibration bonding apparatus | TOSHIBA MITSUBISHI-ELECTRIC INDUSTRIAL SYSTEMS CORPORATION |
10953593 | Molding compound including a carbon nano-tube dispersion | MICRON TECHNOLOGY, INC. |
10953752 | Battery system for a vehicle | INTILION GMBH |
10953804 | Vehicle rear view device and vehicle | BOE TECHNOLOGY GROUP CO., LTD. |
10953819 | Vehicle interior trim | KASAI NORTH AMERICA, INC. |
10953822 | Electronic control device and assembly method thereof | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
10953825 | Electrical busbar | VALEO SIEMENS EAUTOMOTIVE FRANCE SAS |
10953860 | Solenoid valve and hydraulic braking system for a vehicle | ROBERT BOSCH GMBH |
10953908 | Non-woven fabric type steering wheel hands off detection cover | HYUNDAI MOTOR COMPANY |
10953947 | Handlebar switch | HONDA MOTOR CO., LTD. |
10954132 | Electronically abrupt borophene/organic lateral heterostructures and preparation thereof | NORTHWESTERN UNIVERSITY |
10954351 | Aprotic polymer-molten salt-solvent ternary composition, method for the preparation and use thereof in electrochemical systems | HYDRO-QUÉBEC |
10954375 | Multifunctional bonding of hydrogels | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
10954396 | Paste containing nickel nanowires | UNITIKA LTD. |
10954410 | Moisture curable composition for wire and cable insulation and jacket layers | DOW GLOBAL TECHNOLOGIES LLC |
10954416 | Method for manufacturing connection structure | DEXERIALS CORPORATION |
10954597 | Atomic layer deposition apparatus | ASM IP HOLDING B.V. |
10954598 | High throughput vacuum deposition sources and system | PALO ALTO |
10954608 | UV-transparent aluminum nitride single crystal having a diameter of 35 mm to 150 mm and a predefined UV transparency metric at a wavelength of 265 nm | CRYSTAL IS, INC. |
10954676 | Magnetic roof attachment | JOHNS MANVILLE |
10954695 | Interlock for enclosures | HOFFMAN ENCLOSURES, INC. |
10954814 | System with thin walled cooling plate for an electronic enclosure | HONEYWELL INTERNATIONAL INC. |
10954837 | SCR doser | DELPHI TECHNOLOGIES IP LIMITED |
10954909 | Electromagnetic switch device for starter | MITSUBISHI ELECTRIC CORPORATION |
10954946 | Thin fan | -- |
10955093 | Method of manufacturing light emitting device | NICHIA CORPORATION |
10955114 | Phosphor and light-emitting apparatus | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10955199 | Cooling device with evenly distributed and directed cooling effect for high heat flux and deaeration functionality | SAAB AB |
10955202 | Cold plate heat exchanger | ADVANCED THERMAL SOLUTIONS, INC. |
10955234 | Calibration of depth sensing using a sparse array of pulsed beams | APPLE INC. |
10955263 | Apparatuses, systems, and methods for improved sensor devices | HONEYWELL INTERNATIONAL INC. |
10955303 | Load sensor and load sensor integrated type multiaxial actuator | MINEBEA MITSUMI INC. |
10955368 | Method and data analysis system for semi-automated particle analysis using a charged particle beam | CARL ZEISS MICROSCOPY LTD. |
10955401 | Chemical for test used in hazardous substance sensing device, hazardous substance sensing device, and hazardous substance sensing method | HITACHI, LTD. |
10955421 | Detection of membrane proteins | OXFORD UNIVERSITY INNOVATION LIMITED |
10955424 | Mass spectrometry of steroidal compounds in multiplexed patient samples | QUEST DIAGNOSTICS INVESTMENTS INCORPORATED |
10955438 | Contact and socket device for testing semiconductor | -- |
10955452 | Wire harness conduction inspection method and wire harness conduction inspection program | YAZAKI CORPORATION |
10955477 | Power distribution health management and monitoring | HAMILTON SUNDSTRAND CORPORATION |
10955483 | Systems and methods for detecting abnormalities in electrical and electrochemical energy units | CALIFORNIA INSTITUTE OF TECHNOLOGY |
10955487 | High voltage diagnostic connector with service disconnect | TE CONNECTIVITY CORPORATION |
10955490 | Transformer test device and method for testing a transformer | OMICRON ELECTRONICS GMBH |
10955528 | Built-in self-test radar unit and method for phase shift measurement therein | NXP B.V. |
10955542 | Radar apparatus and direction-of-arrival estimation device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10955549 | Melting layer estimation by weather radar device | HONEYWELL INTERNATIONAL INC. |
10955558 | Systems and methods for electronically sharing information about health-related activities | ADIDAS AG |
10955568 | X-ray sensitive device to detect an inspection | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10955571 | Radiographing apparatus and radiographing system | CANON KABUSHIKI KAISHA |
10955725 | Beam steering device and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
10955869 | Smart power monitoring for welding and cutting apparatus | THE ESAB GROUP INC. |
10955873 | Display device | LENOVO (SINGAPORE) PTE. LTD. |
10955875 | Accessory device for an electronic device | APPLE INC. |
10955880 | Folding electronic devices with geared hinges | APPLE INC. |
10955882 | Solid-state drive case and solid-state drive device using the same | SAMSUNG ELECTRONICS CO., LTD. |
10955883 | Power supply dry disconnect liquid cooling | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
10955934 | Remote capacitive interface | APPLE INC. |
10955946 | Pressure button for a touch sensitive surface, physical interface and protection element using same | ORANGE |
10955974 | Wearable electronic devices having an inward facing input device and methods of use thereof | GOOGLE LLC |
10955977 | Extender object for multi-modal sensing | MICROSOFT TECHNOLOGY LICENSING, LLC |
10956177 | Electronic device with automatic mode switching | APPLE INC. |
10956258 | Systems and methods for adaptive data storage | UNIFICATION TECHNOLOGIES LLC |
10956693 | RFID-based item presence detection | IMPINJ, INC. |
10956768 | Feedback cooling and detection for optomechanical devices | HONEYWELL INTERNATIONAL INC. |
10956805 | RFID tag, article including RFID tag, and method of manufacturing article | MURATA MANUFACTURING CO., LTD. |
10956857 | Method, system and device for providing information on a display arranged on a carrier in a surface mount technology system | MYCRONIC AB |
10957354 | Base plate and hard disk drive | NIDEC CORPORATION |
10957361 | Tool-less storage device adaptor tray with slider mechanism | SUPER MICRO COMPUTER, INC. |
10957418 | Interconnect system | MICRON TECHNOLOGY, INC. |
10957461 | Method for producing molten Al plated steel wire | NIPPON STEEL NISSHIN CO., LTD. |
10957462 | Anisotropic conductive film | DEXERIALS CORPORATION |
10957463 | Covered electrical wire, terminal-equipped electrical wire, and twisted wire | AUTONETWORKS TECHNOLOGIES, LTD. |
10957464 | Electrically insulated electric conductor strip, in particular for electric motors and transformers | ALANOD GMBH & CO. KG |
10957465 | Wire harness with sewing and manufacturing method of wire harness | AUTONETWORKS TECHNOLOGIES, LTD. |
10957466 | Shielded flat cable | SUMITOMO ELECTRIC INDUSTRIES, LTD |
10957467 | Coated overhead conductor | GENERAL CABLE TECHNOLOGIES CORPORATION |
10957468 | Coated overhead conductors and methods | GENERAL CABLE TECHNOLOGIES CORPORATION |
10957469 | High voltage three-phase cable | PRYSMIAN S.P.A. |
10957470 | Assembly for a cable feedthrough | CONTA-CLIP VERBINDUNGSTECHNIK GMBH |
10957471 | Calibration system and calibrating method | TYCO ELECTRONICS (SHANGHAI) CO. LTD. |
10957472 | Method for manufacturing shunt resistor | SUNCALL CORPORATION |
10957473 | Dual winding superconducting magnetic energy storage | HAMILTON SUNDSTRAND CORPORATION |
10957474 | Injection control device | DENSO CORPORATION |
10957475 | Coil component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10957476 | Coil electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10957477 | Inductor | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10957478 | Electronic component | MURATA MANUFACTURING CO., LTD. |
10957479 | Coil component | MURATA MANUFACTURING CO., LTD. |
10957480 | Large area power transmitter for wireless power transfer | ETHERDYNE TECHNOLOGIES, INC. |
10957481 | Process for manufacturing a thin strip made of soft magnetic alloy and strip obtained | APERAM |
10957482 | Manufacturing process for gradient coil sub-assemblies | TESLA ENGINEERING LIMITED |
10957483 | Induction coil assembly and method for manufacturing same | CATERPILLAR INC. |
10957485 | Multilayer ceramic capacitor and ceramic material powder | TAIYO YUDEN CO., LTD. |
10957486 | Electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10957487 | Multilayer ceramic capacitor | MURATA MANUFACTURING CO., LTD. |
10957488 | Multilayer ceramic electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10957489 | Medium and method of manufacturing electronic component | MURATA MANUFACTURING CO., LTD. |
10957490 | Electronic unit | ALPINE ELECTRONICS, INC. |
10957491 | Electrolytic capacitor-specific electrode member and electrolytic capacitor | JAPAN CAPACITOR INDUSTRIAL CO., LTD. |
10957492 | Electrolytic capacitor | TDK ELECTRONICS AG |
10957493 | Wet electrolytic capacitor for an implantable medical device | AVX CORPORATION |
10957494 | Solid electrolytic capacitor | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10957495 | Supercapacitor and electrode having cellulose nanofiber-spaced graphene sheets and production process | NANOTEK INSTRUMENTS GROUP, LLC |
10957496 | Connector | -- |
10957497 | Enclosures having sloping and convex curved tops | HUBBELL INCORPORATED |
10957498 | Intelligent lighting control system deployment with scalable wallplate | RACEPOINT ENERGY, LLC |
10957499 | Push-button for game machine | OMRON CORPORATION |
10957500 | Keyboard backlighting with reduced driver circuitry | APPLE INC. |
10957501 | Bistable retractable buttons | APPLE INC. |
10957502 | Control key assembly | -- |
10957503 | Sinkable keyboard device | -- |
10957504 | Arc chute for circuit protective devices | SCHNEIDER ELECTRIC USA, INC. |
10957505 | Disconnect switch assemblies with a shared actuator that concurrently applies motive forces in opposing directions and related circuit breakers and methods | EATON INTELLIGENT POWER LIMITED |
10957506 | Controller, distributed power supply, and method for checking for welding | KYOCERA CORPORATION |
10957507 | Mechanism for indirect access to an actuator on an apparatus disposed within a housing | APPLETON GRP LLC |
10957508 | Recyclable fuse capable of reuse | LG CHEM, LTD. |
10957509 | Insertable target holder for improved stability and performance for solid dopant materials | APPLIED MATERIALS, INC. |
10957510 | Device for generating a source current of charge carriers | KETEK GMBH |
10957511 | Electron source and production method therefor | DENKA COMPANY LIMITED |
10957512 | Method and device for a carrier proximity mask | APPLIED MATERIALS, INC. |
10957513 | Electron microscope and image processing method | JEOL LTD. |
10957514 | Apparatus and method for deposition and etch in gap fill | LAM RESEARCH CORPORATION |
10957515 | Plasma processing method and plasma processing apparatus | TOKYO ELECTRON LIMITED |
10957516 | Multi-zone gas distribution plate (GDP) and a method for designing the multi-zone GDP | -- |
10957517 | Substrate treating apparatus and temperature control method for gas distribution plate | SEMES CO., LTD. |
10957518 | Chamber with individually controllable plasma generation regions for a reactor for processing a workpiece | APPLIED MATERIALS, INC. |
10957519 | Magnetically enhanced high density plasma-chemical vapor deposition plasma source for depositing diamond and diamond-like films | IONQUEST CORP. |
10957520 | Long-life high-power terminals for substrate support with embedded heating elements | LAM RESEARCH CORPORATION |
10957521 | Image based plasma sheath profile detection on plasma processing tools | LAM RESEARCH CORPORATION |
10957522 | Electron multiplier production method and electron multiplier | HAMAMATSU PHOTONICS K.K. |
10957523 | 3D mass spectrometry predictive classification | THERMO FINNIGAN LLC |
10957524 | Multipole assembly with galvanic protection for use in a mass spectrometer | THERMO FINNIGAN LLC |
10957525 | Trap fill time dynamic range enhancement | MICROMASS UK LIMITED |
10957526 | Spatial, mass and energy focused ion injection method and device | DH TECHNOLOGIES DEVELOPMENT PTE. LTD. |
10957527 | Mass analyzer | ATONARP INC. |
10957561 | Gas delivery system | LAM RESEARCH CORPORATION |
10957571 | Apparatus and methods for determining wafer characters | -- |
10957572 | Multi-zone gasket for substrate support assembly | APPLIED MATERIALS, INC. |
10957574 | Electrostatic chuck and substrate fixing apparatus | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
10957608 | Guided scanning electron microscopy metrology based on wafer topography | KLA-TENCOR CORPORATION |
10957612 | Power semiconductor module arrangement and housing for a power semiconductor arrangement | INFINEON TECHNOLOGIES AG |
10957620 | Electronic device including cooling structure | SAMSUNG ELECTRONICS CO., LTD. |
10957624 | Micro heat transfer arrays, micro cold plates, and thermal management systems for cooling semiconductor devices, and methods for using and making such arrays, plates, and systems | MICROFABRICA INC. |
10957779 | Gate etch back with reduced loading effect | -- |
10957848 | Heusler compounds with non-magnetic spacer layer for formation of synthetic anti-ferromagnets (SAF) | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957893 | Terminal fitting and wiring module using same | AUTONETWORKS TECHNOLOGIES, LTD. |
10957937 | Three-terminal copper-driven neuromorphic device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957938 | Sodium-ion electrolyte composition | DEAKIN UNIVERSITY |
10957957 | Phase shifter including a guide unit with a guide roller which moves movable boards relative to fixed boards | KMW INC. |
10957958 | Dielectric resonator and dielectric filter | MURATA MANUFACTURING CO., LTD. |
10957959 | Band-pass filter | TDK CORPORATION |
10957960 | Tunable filter with minimum variations in absolute bandwidth and insertion loss using a single tuning element | -- |
10957961 | Cavity filter | KMW INC. |
10957962 | Magnetoresistive effect device | TDK CORPORATION |
10957963 | Optimised RF input section for coplanar transmission line | LUMENTUM TECHNOLOGY UK LIMITED |
10957964 | Multilayer transmission line plate | SHOWA DENKO MATERIALS CO., LTD. |
10957965 | Directional coupler and a method of manufacturing thereof | EUROPEAN SPACE AGENCY (ESA) |
10957966 | Wall mount for screens with an integrated antenna | BARKAN MOUNTS LTD |
10957967 | Support structures for transportation systems | AECOM |
10957968 | Deployable and retractable antenna array module | MOTOROLA MOBILITY LLC |
10957969 | Integrated antennas for portable electronic devices | APPLE INC. |
10957970 | Systems and methods for incorporating a patch antenna in an implantable medical device | PACESETTER, INC. |
10957971 | Feed to waveguide transition structures and related sensor assemblies | VEONEER US, INC. |
10957972 | Audio device | TEAM IP HOLDINGS, LLC |
10957973 | Antenna module | MURATA MANUFACTURING CO., LTD. |
10957974 | Antenna base for fixing an antenna body on a casing, antenna structure having the antenna base, and electronic device having the antenna structure | -- |
10957975 | System and method of adjusting antenna beam on antenna tower | COMMSCOPE TECHNOLOGIES LLC |
10957976 | Pedestal apparatus having antenna attached thereto capable of biaxial motion | INTELLIAN TECHNOLOGIES, INC. |
10957977 | Device with virtual reflector for transmitting or receiving electromagnetic waves | AT&T INTELLECTUAL PROPERTY I, L.P. |
10957978 | Electronic devices having multi-frequency ultra-wideband antennas | APPLE INC. |
10957979 | Antenna assemblies | ANTENNAS DIRECT, INC. |
10957980 | Antenna system and terminal | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
10957981 | Antenna device | DENSO TEN LIMITED |
10957982 | Antenna module formed of an antenna package and a connection member | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10957983 | Dipole | NOKIA SHANGHAI BELL CO., LTD. |
10957984 | Wireless communication device | MURATA MANUFACTURING CO., LTD. |
10957985 | Electronic devices having antenna module isolation structures | APPLE INC. |
10957986 | Reconfigurable spacecraft with a hold-down assembly for a rigid reflector | SPACE SYSTEMS/LORAL, LLC |
10957987 | Space deployable inflatable antenna apparatus and associated methods | HARRIS CORPORATION |
10957988 | Slot array antenna, and radar, radar system, and wireless communication system including the slot array antenna | NIDEC CORPORATION |
10957989 | Directional waveguide coupler, beamforming network, and antenna array comprising said coupler | AIRBUS ITALIA S.P.A. |
10957990 | Scanning antenna | SHARP KABUSHIKI KAISHA |
10957991 | Planar array antenna and communications device | HUAWEI TECHNOLOGIES CO., LTD. |
10957992 | Solderless connection to Litz wire | TE CONNECTIVITY SERVICES GMBH |
10957993 | Connector structure | -- |
10957994 | Wedge connector assemblies and methods and connections including same | -- |
10957995 | Electrical connection protection | HAMILTON SUNDSTRAND CORPORATION |
10957996 | Connector made of an electrically insulating material to electrically connect a main conductor and a secondary conductor | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10957997 | High density connector assembly | 3M INNOVATIVE PROPERTIES COMPANY |
10957998 | Press-fit terminal, connector for board, and board-equipped connector | AUTONETWORKS TECHNOLOGIES, LTD. |
10957999 | Stacking cabled input/output slots | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
10958000 | Printboard contact grip | PPC BROADBAND, INC. |
10958001 | Connectors for low cost, high speed printed circuit boards | FCI USA LLC |
10958002 | Electrical power connector configured for high current density | FCI USA LLC |
10958003 | Interleaved card/riser connection assembly for compact card integration | INTEL CORPORATION |
10958004 | Location orientation of wiring relative to electrical connector | APTIV TECHNOLOGIES LIMITED |
10958005 | Apparatus for direct cabled connection of fabric signals | DELL PRODUCTS L.P. |
10958006 | Contact element and method for production thereof | TE CONNECTIVITY GERMANY GMBH |
10958007 | High speed, high density electrical connector | AMPHENOL CORPORATION |
10958008 | Separable clasp connectors and die sets and methods for locking and unlocking such connectors | HUBBELL INCORPORATED |
10958009 | Tamper resistant mechanism for electrical wiring devices | HUBBELL INCORPORATED |
10958010 | Holding frame for a plug connector or a mounting flange for holding a circuit board | HARTING ELECTRONICS GMBH |
10958011 | Wire container, connector assembly, and water-resistant connector | 3M INNOVATIVE PROPERTIES COMPANY |
10958012 | Cover assembly for a telecommunications connector | COMMSCOPE CONNECTIVITY SPAIN, S.L. |
10958013 | Waterproof connector | -- |
10958014 | Blind mate mechanism | UNITED STATES OF AMERICA AS REPRESENTED BY THE ADMINISTRATOR OF NASA |
10958015 | Vehicle heater decoupling system | -- |
10958016 | Ultra high speed signal cable connector and assembly method thereof | AMPHENOL ASSEMBLETECH (XIAMEN) CO., LTD. |
10958017 | Contact element for a connector | ROSENBERGER HOCHFREQUENZTECHNIK GMBH & CO. KG |
10958018 | Shielded telecommunications connector | COMMSCOPE CONNECTIVITY SPAIN, S.L. |
10958019 | Smart and robust wall socket with integrated universal serial bus (USB) | COMPUTIME LTD. |
10958020 | Control attachment for an in-wall power adapter and method of controlling an in-wall power adapter | SMART POWER PARTNERS LLC |
10958021 | Ultra-high frequency super thin coaxial RF connector assembly | HARUMOTO TECHNOLOGY (SHEN ZHEN) CO., LTD. |
10958022 | Radio-frequency coaxial cable connector with quick installation | JIANGSU HENGXIN TECHNOLOGY CO., LTD. |
10958023 | Electrical device, electrical distribution system, and methods of assembling same | ABB SCHWEIZ AG |
10958024 | Managed electrical connectivity systems | COMMSCOPE TECHNOLOGIES LLC |
10958025 | Pivoting plug adapter | D'ADDARIO & COMPANY, INC. |
10958026 | Contactless thermometer for an in-wall power adapter | SMART POWER PARTNERS LLC |
10958027 | Electrical connection box | SUMITOMO WIRING SYSTEMS, LTD. |
10958028 | Plug retaining cradle including a sabot | -- |
10958029 | Electromagnetic crimp terminal, manufacturing method of electromagnetic crimp terminal, and connecting terminal | YAZAKI CORPORATION |
10958030 | Jaw tool and jaw tool group | WEZAG GMBH WERKZEUGFABRIK |
10958031 | Terminal un-seated tester for smart kitting of wired connectors | APTIV TECHNOLOGIES LIMITED |
10958032 | Increased spectral linewidth and improved laser control | MICROSOFT TECHNOLOGY LICENSING, LLC |
10958033 | Laser apparatus | GIGAPHOTON INC. |
10958034 | Narrowband depolarized fiber lasers | ELBIT SYSTEMS ELECTRO-OPTICS ELOP LTD. |
10958035 | Wavelength-multiplexed light transmission module and method for manufacturing same | MITSUBISHI ELECTRIC CORPORATION |
10958036 | Semiconductor light-emitting device and optical device | FUJITSU LIMITED |
10958037 | Laser arrangement and method for producing a laser arrangement | FRAUNHOFER-GESELLSCHAFT ZUR FÖRDERUNG DER ANGEWANDTEN FORSCHUNG E.V. |
10958038 | Edge-emitting laser with high-frequency modulated reflector section | MICROSOFT TECHNOLOGY LICENSING, LLC |
10958039 | Optical module | NICHIA CORPORATION |
10958040 | Fabrication of ellipsoidal or semi-ellipsoidal semiconductor structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10958041 | Method for making a semiconductor laser diode, and laser diode | PRIMA ELECTRO S.P.A. |
10958042 | Semiconductor light-emitting device and method for manufacturing semiconductor light-emitting device | KABUSHIKI KAISHA TOSHIBA |
10958043 | Laser device | MITSUBISHI ELECTRIC CORPORATION |
10958044 | Spark plug | NGK SPARK PLUG CO., LTD. |
10958045 | Spark plug | NGK SPARK PLUG CO., LTD. |
10958046 | Double walled high voltage insulator cover for mitigating leakage current | ECO ELECTRICAL SYSTEMS |
10958048 | Peeling device and method | HONDA MOTOR CO., LTD. |
10958049 | Low profile raceway | LEGRAND CONNECTRAC, INC. |
10958054 | Power conversion device | MITSUBISHI ELECTRIC CORPORATION |
10958056 | Power distribution module for industrial engines | LOR MANUFACTURING COMPANY, INC. |
10958057 | Electronic device and cable fixing device | -- |
10958063 | Ground fault modules and related circuit interrupters and methods | EATON INTELLIGENT POWER LIMITED |
10958064 | Surge voltage reducing member with reduced size | YAZAKI CORPORATION |
10958066 | Control method for protecting primary windings of wind turbine transformers | GENERAL ELECTRIC COMPANY |
10958072 | Inter-island power transmission system and method | -- |
10958102 | Electromagnetic-inductive power supply apparatus | AMOSENSE CO., LTD |
10958104 | Inverter for inductive power transmitter | APPLE INC. |
10958105 | Transmitting base with repeater | NUCURRENT, INC. |
10958111 | Power transfer and leakage flux control | AUCKLAND UNISERVICES LIMITED |
10958112 | Laminate of soft magnetic ribbons | TOYOTA JIDOSHA KABUSHIKI KAISHA |
10958115 | High temperature laminated stator cores and methods for the manufacture thereof | HONEYWELL INTERNATIONAL INC. |
10958118 | Method of assembling a generator rotor of a generator | GE RENEWABLE TECHNOLOGIES WIND, B.V. |
10958127 | Casing element for an electric equipment | VALEO SIEMENS EAUTOMOTIVE FRANCE SAS |
10958129 | Motor and electric power steering device | NIDEC CORPORATION |
10958130 | Motor and electric power steering device | NIDEC CORPORATION |
10958131 | Motor and electric power steering device | NIDEC CORPORATION |
10958132 | Motor and electric power steering device | NIDEC CORPORATION |
10958182 | Transformer and LLC resonant converter having the same | SOLUM CO., LTD. |
10958191 | Electrostatic motor | THE CHARLES STARK DRAPER LABORATORY, INC. |
10958204 | Automotive electric drive systems with interleaved variable voltage converters | FORD GLOBAL TECHNOLOGIES, LLC |
10958212 | Electrical connection support assembly and method of use | -- |
10958232 | LC filter | TDK CORPORATION |
10958233 | Common mode filter | TDK CORPORATION |
10958243 | Filter including bulk-acoustic wave resonator | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10958244 | Acoustic filter apparatus having configurable parallel resonance frequencies | QORVO US, INC. |
10958295 | Complex domain beamforming system and methods relating thereto | TEXAS TECH UNIVERSITY SYSTEM |
10958299 | Reducing antenna multipath and Rayleigh fading | THE BOEING COMPANY |
10958307 | Directional coupling device and methods for use therewith | AT&T INTELLECTUAL PROPERTY I, L.P. |
10958310 | Wirelessly charged electronic device with shared inductor circuitry | APPLE INC. |
10958311 | Identification of device location in healthcare facility | HILL-ROM SERVICES, INC. |
10958312 | MIMO antenna module and MIMO antenna unit for distributed antenna system | COMMSCOPE TECHNOLOGIES LLC |
10958322 | Antenna apparatus and beam state switching method | HUAWEI TECHNOLOGIES CO., LTD. |
10958336 | Phased array antenna for use with low earth orbit satellite constellations | METAWAVE CORPORATION |
10958357 | Distributed optical resonator with thin receiver unit | WI-CHARGE LTD. |
10958359 | Communication apparatus and communication system | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10958363 | Antenna arrangement and kitchen apparatus | ELECTROLUX APPLIANCES AKTIEBOLAG |
10958454 | System and method for cable link establishment | LOGITECH EUROPE S.A. |
10958870 | Cable television multi-tap system | PPC BROADBAND, INC. |
10958893 | VCSEL array light source | ORBBEC INC. |
10959006 | In-ear wireless listening device | APPLE INC. |
10959110 | Lensed antennas for use in wireless communications systems | COMMSCOPE TECHNOLOGIES LLC |
10959295 | Shielded wire for high voltage skin effect trace heating | NVENT SERVICES GMBH |
10959302 | Universal power distribution unit, systems and methods including user selectable dynamic color illumination | CIS GLOBAL LLC |
10959309 | LED lamp protection circuit | CURRENT LIGHTING SOLUTIONS, LLC |
10959316 | Electronic lighting apparatus with wireless connectability to a base for wireless power transmission and secure mounting with loaded spring for easy connection and release | -- |
10959321 | Air conditioner | MITSUBISHI ELECTRIC CORPORATION |
10959322 | Printed circuit board | TYCO ELECTRONICS JAPAN G.K. |
10959323 | Over-torque protection features for mounting an electronic device to a heat dissipation object | PERFORMANCE MOTION DEVICES, INC. |
10959325 | Bending fixture and support components for a semi-flexible printed circuit board assembly | -- |
10959340 | Waterproof module and display device | -- |
10959341 | Display device | SAMSUNG DISPLAY CO., LTD. |
10959342 | Condensation resistant power semiconductor module | -- |
10959343 | Integrated stand-offs for printed circuit boards | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
10959344 | Outdoor electrical apparatus having an outer housing arranged to selectively encase a main encapsulant | TRENCH LIMITED |
10959345 | Corrosion resistant telecommunications enclosure | COMMSCOPE CONNECTIVITY BELGIUM BVBA |
10959347 | Communication module for a communication or automation device | SIEMENS AKTIENGESELLSCHAFT |
10959348 | Seismic braces for use with computer racks | ZT GROUP INT'L, INC. |
10959349 | Dynamic aisles for computing devices | CORE SCIENTIFIC, INC. |
10959350 | Mobile terminal | LG ELECTRONICS INC. |
10959351 | Apparatus, system, and method for directing airflow across heatsinks external to telecommunications devices | JUNIPER NETWORKS, INC. |
10959352 | Cooling system with floating cold plate with single pipes | -- |
10959353 | Cooling device | NIDEC CORPORATION |
10959354 | Electromagnetically shielded facilities | CONDUCTIVE COMPOSITES COMPANY IP, LLC |
10959355 | Structure shielding wallpaper | SWIFT TEXTILE METALIZING LLC |
10959356 | Panel for reflective broadband electromagnetic shielding | CONDUCTIVE COMPOSITES COMPANY IP, LLC |
10959357 | Circuit block assembly | MURATA MANUFACTURING CO., LTD. |
10959358 | Electronic component module | TAIYO YUDEN CO., LTD. |
10959359 | Power conversion device | TOSHIBA MITSUBISHI-ELECTRIC INDUSTRIAL SYSTEMS CORPORATION |
10959360 | Work apparatus and production line | FUJI CORPORATION |
10959361 | Substrate working machine | FUJI CORPORATION |
10959467 | Wearable smart device | TOYOBO CO., LTD. |
10959602 | Antenna holder and antenna attachment portion | OLYMPUS CORPORATION |
10959659 | Incontinence detection system | HILL-ROM SERVICES, INC. |
10959679 | Noncontact self-injection-locked sensor | -- |
10959691 | Electronic cassette | FUJIFILM CORPORATION |
10959708 | System and method for identification of biological tissues | MICROMASS UK LIMITED |
10959793 | Manipulator arm having connection interface, and related devices and systems | INTUITIVE SURGICAL OPERATIONS, INC. |
10959837 | Human body implant device | TODOC CO., LTD. |
10959896 | Medical cart | TAKETOMO, INC. |
10959905 | Radio communication device and a RFID device for assisting visually impaired users | HONG KONG R&D CENTRE FOR LOGISTICS AND SUPPLY CHAIN MANAGEMENT ENABLING TECHNOLOGIES LIMITED |
10959914 | Apparatus, system and method for fluid delivery | DEKA PRODUCTS LIMITED PARTNERSHIP |
10960112 | Foot pedal occlusion indicator system, apparatus, and method | JOHNSON & JOHNSON SURGICAL VISION, INC. |
10960204 | Electrical connector and method for manufacturing an electrical connector | CARDIAC PACEMAKERS, INC. |
10960219 | External charger for an implantable medical device having alignment and centering capabilities | BOSTON SCIENTIFIC NEUROMODULATION CORPORATION |
10960319 | Building element for modular device, hot-swap detection circuit and orientation detection circuit | UBTECH ROBOTICS CORP. |
10960329 | Sensor system and implementation of the same | BATTELLE ENERGY ALLIANCE, LLC |
10960336 | Medical electronic apparatus | SONY OLYMPUS MEDICAL SOLUTIONS INC. |
10960465 | Light recycling for additive manufacturing optimization | SEURAT TECHNOLOGIES, INC. |
10960466 | Polarization combining system in additive manufacturing | SEURAT TECHNOLOGIES, INC. |
10960489 | Wire termination welder and method | TECHSONIC, INC. |
10960512 | Method for multiple cutoff machining of rare earth sintered magnet | SHIN-ETSU CHEMICAL CO., LTD. |
10960532 | Socket-lock-it | -- |
10960730 | Vehicle radiation heater | HYUNDAI MOTOR COMPANY |
10960770 | Vehicle | TOYOTA JIDOSHA KABUSHIKI KAISHA |
10960781 | Charging inlet with thermal sensor | TE CONNECTIVITY CORPORATION |
10960834 | Hybrid power distribution central unit for vehicles | FCA FIAT CHRYSLER AUTOMOVEIS BRASIL LTDA. |
10960849 | Remote control button actuator with removable tray | BLUE ECLIPSE, LLC |
10960952 | Handlebar switch device for saddled vehicle | HONDA MOTOR CO., LTD. |
10960999 | Component mounting system | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10961072 | Splicing device and splicing method | FUJI CORPORATION |
10961124 | Method for continuously preparing graphene heat-conducting films | CHANGZHOU FUXI TECHNOLOGY CO., LTD. |
10961127 | 1T-phase transition metal dichalcogenide nanosheets | THE UNIVERSITY OF MANCHESTER |
10961156 | Device comprising a cable or a cable accessory containing a fire-resistant composite layer | NEXANS |
10961158 | Modified internal barrier layer capacitor material and method of fabrication | BLUE HORIZONS INNOVATIONS, LLC |
10961159 | Ceramic material, component, and method for producing the component | TDK ELECTRONICS AG |
10961408 | Nickel inks and oxidation resistant and conductive coatings | PRINTED ENERGY PTY LTD |
10961615 | Applied magnetic field synthesis and processing of iron nitride magnetic materials | REGENTS OF THE UNIVERSITY OF MINNESOTA |
10961617 | Articles coated with fluoro-annealed films | ENTEGRIS, INC. |
10961620 | Plasma etch-resistant film and a method for its fabrication | BENEQ OY |
10961626 | Plasma processing apparatus having injection ports at both sides of the ground electrode for batch processing of substrates | EUGENE TECHNOLOGY CO., LTD. |
10961627 | Condensation suppressing method and processing system | TOKYO ELECTRON LIMITED |
10961973 | Spark plug electrode wear rate determination for a spark-ignited engine | CATERPILLAR ENERGY SOLUTIONS GMBH |
10962134 | Overmolded or pressed-in sleeve for hydraulic routing of solenoid | BORGWARNER INC. |
10962165 | Fastening bracket | -- |
10962174 | Cryostat for superconducting magnet system | SHANGHAI UNITED IMAGING HEALTHCARE CO., LTD. |
10962276 | Household appliance cabinet ground connection | BSH HAUSGERAETE GMBH |
10962298 | Two-phase thermodynamic system having a porous microstructure sheet to increase an aggregate thin-film evaporation area of a working fluid | MICROSOFT TECHNOLOGY LICENSING, LLC |
10962299 | Evaporator structure with improved layout of cooling fluid channels | -- |
10962309 | Stacked heat exchanger | DENSO CORPORATION |
10962357 | Three-dimensional scanner with data collection feedback | ARTEC EUROPE S.À R.L. |
10962389 | Machine status detection | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10962392 | Sensor for a fingerboard latch assembly | SALUNDA LIMITED |
10962456 | Flexible display auxiliary device and foldable mobile terminal | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10962469 | Microsystem device comprising integrated desiccant monitoring | ALBERT-LUDWIGS-UNIVERSITÄT FREIBURG |
10962498 | Method for producing insulated electric wire, method for inspecting insulated electric wire, and apparatus for producing insulated electric wire | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
10962514 | Actuating and sensing apparatus and casing using the same | -- |
10962550 | Analysis of amino acids in body fluid by liquid chromotography-mass spectrometry | QUEST DIAGNOSTICS INVESTMENTS INCORPORATED |
10962570 | System, a tangent probe card and a probe head assembly for testing semiconductor wafer | -- |
10962573 | Current sensor device | DENSO CORPORATION |
10962574 | Current sense apparatus and method | NUVOLTA TECHNOLOGIES (HEFEI) CO., LTD. |
10962579 | Wireless power transmission device and power transmission system to aerial moving body | MITSUBISHI ELECTRIC CORPORATION |
10962607 | Method for testing multicore cable, method for manufacturing multicore cable assembly, and multicore cable test device | HITACHI METALS, LTD. |
10962627 | Ultra-small vertical cavity surface emitting laser (VCSEL) and arrays incorporating the same | SENSE PHOTONICS, INC. |
10962644 | Dynamic laser power control in light detection and ranging (LiDAR) systems | BEIJING VOYAGER TECHNOLOGY CO., LTD. |
10962657 | Superconducting element, particle detection device, and particle detection method | TOSHIBA MEMORY CORPORATION |
10962677 | Inspection system with source of radiation and method | SMITHS HEIMANN SAS |
10962718 | Reconfigurable spectroscopy system | ROCKLEY PHOTONICS LIMITED |
10962732 | Flexible boot with replacable repositioning device therein | SENKO ADVANCED COMPONENTS, INC. |
10962762 | Remote inspection device | INSPECTRON, INC. |
10962768 | Mirror device, mirror drive method, light irradiation device, and image acquisition device | HAMAMATSU PHOTONICS K.K. |
10962869 | Cooling device used for cooling a heat-generating body, projector including the cooling device, and heat-receiving unit used in the cooling device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10962870 | Laser projection unit, depth camera and electronic device | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10962930 | Tactile switch for an electronic device | APPLE INC. |
10963004 | Display screen, terminal display screen assembly, and mobile terminal | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10963007 | Presentation of a virtual keyboard on a multiple display device | Z124 |
10963008 | Modular external frame for mobile computing devices | ZEBRA TECHNOLOGIES CORPORATION |
10963015 | Hybrid keyboard | INTEL CORPORATION |
10963017 | Electronic device | -- |
10963023 | Orthogonal structure with riser card | JABIL INC. |
10963024 | Combination parallel path heatsink and EMI shield | APPLE INC. |
10963025 | Thermal management for head-worn computer | MENTOR ACQUISITION ONE, LLC |
10963051 | Hybrid haptic interface with improved haptic feedback | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10963056 | Touch panel and display apparatus | KYOCERA CORPORATION |
10963067 | Multilayout keyboard | MICROSOFT TECHNOLOGY LICENSING, LLC |
10963072 | Key structure and keyboard module | LITE-ON ELECTRONICS (GUANGZHOU) LIMITED |
10963075 | Input detecting device | SHARP KABUSHIKI KAISHA |
10963087 | Pressure sensitive keys | MICROSOFT TECHNOLOGY LICENSING, LLC |
10963176 | Technologies for offloading acceleration task scheduling operations to accelerator sleds | INTEL CORPORATION |
10963207 | Portable video screen | GOVISION, LLC |
10963765 | Studying and gaming interactive surfaces with the identification of objects using RFID | WAVEDU S.R.L. |
10963768 | Illuminable card | CPI CARD GROUP—COLORADO, INC. |
10963771 | Radio frequency identification enabled mirrors | NEOLOGY, INC. |
10964037 | Optical projector module, three-dimensional image sensing apparatus, and method of sensing | -- |
10964160 | Player tracking device main body and player tracking device | UNIVERSAL ENTERTAINMENT CORPORATION |
10964184 | Tamper detection device | EM MICROELECTRONIC-MARIN SA |
10964353 | Electronic device with detachable structure and module frame thereof | -- |
10964373 | Memory cells with capacitive logic based on electromechanically controlled variable-capacitance capacitors | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10964440 | Anisotropic conductive film | DEXERIALS CORPORATION |
10964441 | Conductive particles, articles, and methods | 3M INNOVATIVE PROPERTIES COMPANY |
10964443 | Conductive yarn | SP NANO LTD. |
10964444 | Thermoplastic resin composition, and molded article thereof | DAIKIN INDUSTRIES, LTD. |
10964445 | Heating element and manufacturing method therefor | LG CHEM, LTD. |
10964446 | Electric wire conductor, covered electric wire, and wiring harness | AUTONETWORKS TECHNOLOGIES, LTD. |
10964447 | Periodic transmission line cable filtering | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10964448 | High density ribbon cable | AMPHENOL CORPORATION |
10964449 | Resin composition, insulated electric wire and method of manufacturing insulated electric wire | HITACHI METALS, LTD. |
10964450 | Power cable with enhanced ampacity | PRYSMIAN S.P.A. |
10964451 | Cable hose with conductive electromagnetic interference shield | THE ESAB GROUP INC. |
10964452 | Core electric wire for multi-core cable and multi-core cable | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
10964453 | Superconducting stabilization material, superconducting wire, and superconducting coil | MITSUBISHI MATERIALS CORPORATION |
10964454 | Superconducting wire and superconducting coil | MITSUBISHI MATERIALS CORPORATION |
10964455 | Apparatus, system and method adapted to enable automated wire reading and manufacture of wire harnesses | MATERIALS TECHNOLOGIES CORPORATION |
10964456 | Grommet and wire harness | SUMITOMO WIRING SYSTEMS, LTD. |
10964457 | Chip resistor | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10964458 | Resistor unit | DENSO CORPORATION |
10964459 | Electrical resistor, in particular for medical implants | BIOTRONIK SE & CO. KG |
10964460 | PTC thermistor module | MAHLE INTERNATIONAL GMBH |
10964461 | Resistor element | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10964462 | Production method for a resistor, resistor and corresponding production installation | ISABELLENHÜTTE HEUSLER GMBH & CO. KG |
10964463 | Alloy for R—T—B based rare earth sintered magnet and method for producing the R—T—B based rare earth sintered magnet | TDK CORPORATION |
10964464 | Magnet and motor using the same | TDK CORPORATION |
10964465 | Coil device | TDK CORPORATION |
10964466 | Insulation treatment method for helium inlet pipe of superconducting magnet | HEFEI INSTITUTES OF PHYSICAL SCIENCE, CHINESE ACADEMY OF SCIENCES |
10964467 | Solenoid assembly with included constant-current controller circuit | HANCHETT ENTRY SYSTEMS, INC. |
10964468 | Magnetic memory structures using electric-field controlled interlayer exchange coupling (IEC) for magnetization switching | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
10964469 | Cooling magnetic cores with ferrofluid and magnetic cores so cooled | TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC. |
10964470 | Coil and method for forming a coil | TAMURA CORPORATION |
10964471 | High voltage cable for a winding and electromagnetic induction device comprising the same | ABB POWER GRIDS SWITZERLAND AG |
10964472 | Coil component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10964473 | Coil unit, wireless power transmission device, wireless power receiving device, and wireless power transmission system | TDK CORPORATION |
10964474 | Capacitor and capacitor module | KABUSHIKI KAISHA TOSHIBA |
10964475 | Formation of a capacitor using a sacrificial layer | MICRON TECHNOLOGY, INC. |
10964476 | Capacitor with multiple dielectric layers having dielectric powder and polyimide | -- |
10964477 | Dielectric composition and electronic component | TDK CORPORATION |
10964478 | Multilayer ceramic electronic component including organic layers having different coverage rates and mount structure therefor | MURATA MANUFACTURING CO., LTD. |
10964479 | Electronic component | TDK CORPORATION |
10964480 | Capacitor module having intervening inward facing portion | DENSO CORPORATION |
10964481 | Multilayer ceramic capacitor and manufacturing method thereof | TAIYO YUDEN CO., LTD. |
10964482 | Multi-layer ceramic capacitor | TAIYO YUDEN CO., LTD. |
10964483 | Capacitor component and method for manufacturing the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10964484 | On-vehicle circuit unit | YAZAKI CORPORATION |
10964485 | Separator for aluminum electrolytic capacitors, and aluminum electrolytic capacitor | NIPPON KODOSHI CORPORATION |
10964486 | Dye-sensitized solar cell unit and a photovoltaic charger including the solar cell unit | EXEGER OPERATIONS AB |
10964488 | Electrochemical and capacitative energy storage device and method of manufacture | INNOCELL APS |
10964489 | Upper mold for MLCC lamination | 21TH CENTURY CO., LTD |
10964490 | Power contact health assessor apparatus and method | ARC SUPPRESSION TECHNOLOGIES |
10964492 | Arc suppressor, system, and method | ARC SUPPRESSION TECHNOLOGIES |
10964493 | Arc-quenching device for direct current switch | OMRON CORPORATION |
10964494 | Control device for controlling multiple operating characteristics of an electrical load | LUTRON TECHNOLOGY COMPANY LLC |
10964495 | Switch device, electronic component, and battery system | DEXERIALS CORPORATION |
10964496 | Manual close assist control mechanism | S&C ELECTRIC COMPANY |
10964497 | Double-contact switch having vacuum switching chambers | EATON INTELLIGENT POWER LIMITED |
10964498 | Gas-insulated low- or medium-voltage load break switch | ABB SCHWEIZ AG |
10964499 | Detection circuit module for use in detecting an operational status of a household appliance door | DEFOND ELECTECH CO., LTD. |
10964500 | Electromagnetic relay | DENSO ELECTRONICS CORPORATION |
10964501 | Single coil magnetic latching relay control circuit and method | ZTE CORPORATION |
10964502 | Electromagnetic switch with stable moveable contact | TESLA, INC. |
10964503 | Relay | OMRON CORPORATION |
10964504 | Relay | OMRON CORPORATION |
10964505 | Naturally closed MEMs switch for ESD protection | CAVENDISH KINETICS, INC. |
10964506 | Circuit breaker | EATON INTELLIGENT POWER LIMITED |
10964507 | X-ray source voltage shield | MOXTEK, INC. |
10964508 | Charged-particle beam device | HITACHI HIGH-TECH CORPORATION |
10964509 | Substrate side-deposition apparatus | TETOS CO., LTD. |
10964510 | Scanning electron microscope and image processing method | JEOL LTD. |
10964511 | Semiconductor manufacturing device and method of operating the same | SAMSUNG ELECTRONICS CO., LTD. |
10964512 | Semiconductor processing chamber multistage mixing apparatus and methods | APPLIED MATERIALS, INC. |
10964513 | Plasma processing apparatus | HITACHI HIGH-TECH CORPORATION |
10964514 | Electrode for plasma processing chamber | LAM RESEARCH CORPORATION |
10964515 | Plasma diagnostic system and method | KWANGWOON UNIVERSITY INDUSTRY-ACADEMIC COLLABORATION FOUNDATION |
10964516 | Mass spectrometer, ion generation time control method and non-transitory computer readable medium | SHIMADZU CORPORATION |
10964517 | Mass spectrometry analysis of microorganisms in samples | PURDUE RESEARCH FOUNDATION |
10964518 | Transport device for transporting charged particles | SHIMADZU CORPORATION |
10964519 | Apparatus for mass-spectrometric analysis and three-dimensional imaging of the surface of specimens | JUSTUS-LIEBIG-UNIVERSITAET GIESSEN |
10964520 | Multi-reflection mass spectrometer | THERMO FISHER SCIENTIFIC (BREMEN) GMBH |
10964521 | Mass spectrometer | SHIMADZU CORPORATION |
10964522 | High resolution electron energy analyzer | KLA CORPORATION |
10964523 | Laser-pumped plasma light source and method for light generation | RND-ISAN, LTD |
10964528 | Integration of materials removal and surface treatment in semiconductor device fabrication | MATTSON TECHNOLOGY, INC. |
10964579 | Electrostatic chuck | TOTO LTD. |
10964611 | Die edge integrity monitoring system | MELEXIS TECHNOLOGIES NV |
10964620 | Thermally conductive sheet | SEKISUI POLYMATECH CO., LTD. |
10964623 | Electronic module and method for encapsulation thereof | ZF FRIEDRICHSHAFEN AG |
10964624 | Techniques for fluid cooling of integrated circuits in packages | INTEL CORPORATION |
10964625 | Device and method for direct liquid cooling via metal channels | GOOGLE LLC |
10964645 | Electronic component with thin-film shield layer | MURATA MANUFACTURING CO., LTD. |
10964652 | Semiconductor device package and method of manufacturing the same | -- |
10964682 | Data storage system using wafer-level packaging | INTEL CORPORATION |
10964777 | Enhanced inductors suitable for integrated multi-channel receivers | CREDO TECHNOLOGY GROUP LTD. |
10964778 | Precision capacitor | TEXAS INSTRUMENTS INCORPORATED |
10964832 | Capacitors in grooves | POWER ROLL LIMITED |
10964840 | Photodiode structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10964885 | Magnetoresistive effect element, magnetic memory, magnetization rotation method, and spin current magnetization rotational element | TDK CORPORATION |
10964886 | Spin transfer torque memory devices having heusler magnetic tunnel junctions | INTEL CORPORATION |
10964887 | Highly physical ion resistive spacer to define chemical damage free sub 60nm MRAM devices | -- |
10964890 | Opto-electrical devices incorporating metal nanowires | CAMBRIOS FILM SOLUTIONS CORPORATION |
10964929 | Structure of connection among circuit body, bus bar and electronic element | YAZAKI CORPORATION |
10964946 | Anode active material and lithium secondary battery including the same | LG CHEM, LTD. |
10964974 | Solid electrolyte, all-solid-state lithium-ion secondary battery, production method for solid electrolyte | NGK INSULATORS, LTD. |
10964978 | Electric storage device having a wound body with an extension part | MURATA MANUFACTURING CO., LTD. |
10964983 | Packaging material for batteries, method for producing same, and battery | DAI NIPPON PRINTING CO., LTD. |
10964986 | Separator for electrochemical elements, and electrochemical element comprising same | MITSUBISHI PAPER MILLS LIMITED |
10964988 | Fusible bimetallic bus bars for battery arrays | FORD GLOBAL TECHNOLOGIES, LLC |
10964991 | Tunable waveguide filter input/output coupling arrangement | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
10964992 | Electromagnetic wave launcher including an electromagnetic waveguide, wherein a millimeter wave signal and a lower frequency signal are respectively launched at different portions of the waveguide | INTEL CORPORATION |
10964993 | Cryogenic-stripline microwave attenuator | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10964994 | Nonreciprocal circuit element and communication apparatus using the same | TDK CORPORATION |
10964995 | Dielectric coupling system with mode control and methods for use therewith | AT&T INTELLECTUAL PROPERTY I, L.P. |
10964996 | Bidirectional coupler | MURATA MANUFACTURING CO., LTD. |
10964997 | Technologies for long-lived 3D multimode microwave cavities | THE UNIVERSITY OF CHICAGO |
10964998 | Multi-mode resonator | KMW INC. |
10964999 | Mounting structure, antenna device and method for assembling antenna device | COMMSCOPE TECHNOLOGIES LLC |
10965000 | Antenna mounting system | -- |
10965001 | Universal industrial transmitter mounting | ROSEMOUNT INC. |
10965002 | Antenna and a method of operating it | THRANE & THRANE A/S |
10965003 | Nameplate carrier and antenna holder | ACLARA TECHNOLOGIES LLC |
10965004 | Chip antenna module | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10965005 | Communication device and antenna structure | -- |
10965006 | Terminal back cover and mobile terminal | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
10965007 | Antenna module | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10965008 | Electronic device with housing slots for antennas | APPLE INC. |
10965009 | Capacitively coupled label antenna | FLEX LTD. |
10965010 | Terminal device | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
10965011 | High frequency antenna carrier in vehicle roof cross member | FICOSA NORTH AMERICA CORPORATION |
10965012 | Multi-filar helical antenna | HUAWEI TECHNOLOGIES CO., LTD. |
10965013 | Antenna module | KYOCERA CORPORATION |
10965014 | Radar unit with thermal transfer via radome | APTIV TECHNOLOGIES LIMITED |
10965015 | Portable protective enclosure for communications devices | W. B. WALTON ENTERPRISES, INC. |
10965016 | Electronic device casing and electronic device | -- |
10965017 | Continuous dielectric constant adaptation radome design | SAINT-GOBAIN PERFORMANCE PLASTICS CORPORATION |
10965018 | Antenna device | MURATA MANUFACTURING CO., LTD. |
10965019 | Wireless transceiver for controlling professional lights and special effects devices | INNOVATIVE DIMMERS, LLC |
10965020 | Antenna device | SOCIONEXT INC. |
10965021 | Radio frequency systems with tunable filter | SKYWORKS SOLUTIONS, INC. |
10965022 | High-frequency module and communication device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10965023 | Programmable beamforming system including element-level analog channelizer | RAYTHEON COMPANY |
10965024 | Frequency routing based on orientation | SONOS, INC. |
10965025 | Self-calibration method of switched array antenna radar | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
10965026 | Phased array transceiver with built-in transmitter linearization feedback | PSEMI CORPORATION |
10965027 | RF ripple correction in an antenna aperture | KYMETA CORPORATION |
10965028 | Antenna module and dual-band antenna apparatus | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10965030 | Antenna apparatus | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10965031 | Antenna structure and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
10965032 | Dielectric resonator antenna | CITY UNIVERSITY OF HONG KONG |
10965033 | Adaptive-spacing antenna | TAOGLAS GROUP HOLDINGS LIMITED |
10965034 | Millimeter wave antenna | SONY CORPORATION |
10965035 | Reconfigurable antenna systems with ground tuning pads | SKYWORKS SOLUTIONS, INC. |
10965036 | Antenna system, antenna substrate, and antenna element | TDK CORPORATION |
10965038 | Radar apparatus | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10965039 | System and method for fleet command and control communications with secondary radar functionality using 360° multi-beam hemispherical array | LOCKHEED MARTIN CORPORATION |
10965040 | Antenna device | -- |
10965041 | Dual polarized horn antenna with asymmetric radiation pattern | RF ELEMENTS S.R.O |
10965042 | Electrical wiring devices with screwless connection terminals | HUBBELL INCORPORATED |
10965043 | Set screw connector | HUBBELL INCORPORATED |
10965046 | Board with terminal | AUTONETWORKS TECHNOLOGIES, LTD. |
10965047 | Connector with active circuit | INTEL CORPORATION |
10965048 | Method for producing a contact element | ESSEN |
10965049 | PCB-mounted high voltage electrical outlet | -- |
10965050 | Battery product | CONTEMPORARY AMPEREX TECHNOLOGY CO., LIMITED |
10965051 | Connector terminal and connector for easier insertion of a terminal into a housing | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10965052 | Connector holding mechanism | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10965053 | Electrical contact element | ROSENBERGER HOCHFREQUENZTECHNIK GMBH |
10965054 | Cable connector having cable holders | HIROSE ELECTRIC CO., LTD. |
10965055 | Connectors and related methods | BAL SEAL ENGINEERING, LLC |
10965056 | Phase terminal interface | FORD GLOBAL TECHNOLOGIES, LLC |
10965057 | Method for checking a sealing of a plug connection, and plug connection | LEONI BORDNETZ-SYSTEME GMBH |
10965058 | Power connector | MOLEX, LLC |
10965059 | Electronic device and robot controller | KAWASAKI JUKOGYO KABUSHIKI KAISHA |
10965060 | Dimmable tamper-resistant nightlight | -- |
10965061 | Securing apparatus for mechanically securing at least one connecting plug to a housing | PILZ GMBH & CO. KG |
10965062 | Modular electrical connector with conductive coating to reduce crosstalk | TE CONNECTIVITY SERVICES GMBH |
10965063 | Connector having a grounding member | PPC BROADBAND, INC. |
10965064 | SMT receptacle connector with side latching | -- |
10965065 | Insulative support for very high speed electrical interconnection | AMPHENOL CORPORATION |
10965066 | Connector arrangement | ROSENBERGER HOCHFREQUENZTECHNIK GMBH |
10965067 | Plug and receptacle assembly having a thermally conductive interface | TE CONNECTIVITY SERVICES GMBH |
10965068 | In-wall power adapter having an outlet and method of controlling an in-wall power adapter | SMART POWER PARTNERS LLC |
10965069 | Receptacle breakaway system for power pedestals | MARINA ELECTRICAL EQUIPMENT, INC. |
10965070 | Quick demountable high-reliability radio-frequency coaxial connector | JIANGSU HENGXIN TECHNOLOGY CO., LTD. |
10965071 | Flexible electrical receptacle system and method for installation thereof | -- |
10965072 | Remote racking device for bus plug | EATON INTELLIGENT POWER LIMITED |
10965073 | Multidirectional socket structure | -- |
10965074 | OBD adapter | WEBSTO SE |
10965076 | Method and apparatus of powering an electronic device using a removable power supply | ECOLINK INTELLIGENT TECHNOLOGY, INC. |
10965077 | Superconducting devices, such as slip-rings and homopolar motors/generators | MOOG INC. |
10965078 | Gripper head for use with terminal seals | TE CONNECTIVITY CORPORATION |
10965079 | Comb pattern insert for wave solder pallets | SCHWEITZER ENGINEERING LABORATORIES, INC. |
10965080 | Cable end holding device for holding a cable end of a cable, method for positioning a cable end of a cable, and cable assembly machine for assembling a cable | KOMAX HOLDING AG |
10965081 | Apparatuses for manipulating a wire | THE BOEING COMPANY |
10965082 | Plug with an over-molded, non-rotatable plug connector and four connectors, in particular an IS4/DF4 plug | BIOTRONIK SE & CO. KG |
10965083 | Method for automatic robotic cable connector assembly using a cartridge | FRISIMOS, LTD |
10965084 | Systems and methods for planar waveguide mounting and cooling | LOCKHEED MARTIN CORPORATION |
10965085 | Laser chamber with metal damper member | GIGAPHOTON INC. |
10965086 | Optical resonant cavity and display panel | BOE TECHNOLOGY GROUP CO., LTD. |
10965087 | Laser device | GIGAPHOTON INC. |
10965088 | Dual-comb generation from a single laser cavity via spectral subdivision | THORLABS, INC. |
10965089 | Laser device, laser machining apparatus, and method for controlling output of laser device | MITSUBISHI ELECTRIC CORPORATION |
10965090 | Laser apparatus | GIGAPHOTON INC. |
10965091 | UV lasers and UV Raman systems for effective and efficient molecular species identification with Raman spectroscopy | ALAKAI DEFENSE SYSTEMS, INC. |
10965092 | Pulsed lasers based on spatiotemporal mode-locking | CORNELL UNIVERSITY |
10965093 | Light modulation for improved photoacoustic feedback on light-induced treatments and procedures | INSTITUT NATIONAL D'OPTIQUE |
10965094 | Wavelength-tunable laser device | FURUKAWA ELECTRIC CO., LTD. |
10965095 | Optical amplification system | HUAWEI TECHNOLOGIES CO., LTD. |
10965096 | Fixture assembly for testing surface emitting laser diodes and testing apparatus having the same | -- |
10965097 | Light emitting device | NICHIA CORPORATION |
10965098 | Transmitter optical subassembly and optical module comprising an impedance element to minimize a flow of an alternate current | LUMENTUM JAPAN, INC. |
10965099 | Light control device, control method, program and storage medium | PIONEER CORPORATION |
10965100 | Tunable optical pair source and related systems and methods | PHASE SENSITIVE INNOVATIONS, INC. |
10965101 | Plasmonic quantum well laser | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10965102 | Structured light projector and electronic apparatus including the same | SAMSUNG ELECTRONICS CO., LTD. |
10965103 | Laser arrangement comprising a VCSEL array | TRUMPF PHOTONIC COMPONENTS GMBH |
10965104 | Spark plug | NGK SPARK PLUG CO., LTD. |
10965107 | Wiring harness for trucks | HAWKEYE TRUCK EQUIPMENT CO. |
10965108 | Conduit hub adapter for electrical box | MILBANK MANUFACTURING CO. |
10965111 | Load center | QTRAN, INC. |
10965113 | Wire harness | SUMITOMO WIRING SYSTEMS, LTD. |
10965121 | Integrated thermally protected varistor and discharge tube | CITEL |
10965148 | Datacenter backup power management | AMAZON TECHNOLOGIES, INC. |
10965155 | System for non-contact transmission of electrical energy to a mobile part | SEW-EURODRIVE GMBH & CO. KG |
10965157 | Electronic device having a plurality of stacked coil antennas | SAMSUNG ELECTRONICS CO., LTD. |
10965158 | System for non-contact transmission of electrical energy to a mobile part movably arranged on the floor of a facility | SEW-EURODRIVE GMBH & CO. KG |
10965159 | Scalable antenna system | SONY CORPORATION |
10965160 | Method and device for obtaining power intended to supply a consuming appliance from a conductor traversed by an alternating electrical current | TIXON ENERGY S.R.L. |
10965162 | Wireless power systems | APPLE INC. |
10965167 | RF energy harvesting system | SHENZHEN DANSHA TECHNOLOGY CO., LTD. |
10965169 | Wireless power transmitter | WITS CO., LTD. |
10965172 | Shape adaptive wireless charging coil for vehicle interior | TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC. |
10965198 | Coil and motor using same | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10965266 | N-channel high-power RF multiplexer | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
10965286 | Remote-control system with homopolar magnets | FM MARKETING GMBH |
10965287 | Replaceable key structure | DEXIN ELECTRONIC LTD. |
10965333 | Thermal management assemblies suitable for use with transceivers and other devices | LAIRD TECHNOLOGIES, INC. |
10965334 | SAR radiation-free mobile terminal body, SAR radiation-free external communication body and SAR radiation-free mobile terminal | TIANJIN HUANUO COMMUNICATION ENGINEERING CO., LTD. |
10965340 | Transmission medium and communication interfaces and methods for use therewith | AT&T INTELLECTUAL PROPERTY I, L.P. |
10965344 | Methods and apparatus for exchanging wireless signals utilizing electromagnetic waves having differing characteristics | AT&T INTELLECTUAL PROPERTY I, L.P. |
10965345 | Accessory device for low and medium voltage switching devices | ABB S.P.A. |
10965346 | Near-field device | NXP B.V. |
10965350 | Electronic device and method | KABUSHIKI KAISHA TOSHIBA |
10965367 | Automotive communication system with dielectric waveguide cable and wireless contactless rotary joint | WAYMO LLC |
10965376 | Cover for an electronic circuit package | STMICROELECTRONICS (GRENOBLE 2) SAS |
10965378 | Out-of-band communication channel for sub-carrier-based optical communication systems | INFINERA CORPORATION |
10965797 | Terminal | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10965816 | Method for testing an audio communication system of an air-craft, and aircraft having an audio communication system | AIRBUS OPERATIONS GMBH |
10966008 | Electronic device with force element | BOSE CORPORATION |
10966036 | Hearing device including an external antenna and an internal parasitic element | OTICON A/S |
10966037 | RF antenna and hearing device with RF antenna | OTICON A/S |
10966286 | Nitrogen injection for ARC lamps | MATTSON TECHNOLOGY, INC. |
10966290 | Low smoke, zero halogen self-regulating heating cable | NVENT SERVICES GMBH |
10966305 | Integrated antenna assemblies for light fixtures | SIGNIFY HOLDING B.V. |
10966307 | X-ray source arrangement for generating X-ray radiation | KONINKLIJKE PHILIPS N.V. |
10966313 | Method for manufacturing printed circuit board having test point, and printed circuit board manufactured thereby | LG CHEM, LTD. |
10966314 | Electronic device | LG ELECTRONICS INC. |
10966319 | System for interconnecting electronic boards and computer cabinet comprising such a system | BULL SAS |
10966320 | Gap supporter for printed circuit board, and package including gap supporter for printed circuit board and insulation sheet coupled thereto | GNE TECH CO., LTD. |
10966323 | Method and apparatus for automatically adjusting dispensing units of a dispenser | ILLINOIS TOOL WORKS INC. |
10966325 | Flexible display apparatus and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
10966328 | Display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10966329 | Display device | SAMSUNG DISPLAY CO., LTD. |
10966330 | Control unit | ZF FRIEDRICHSHAFEN AG |
10966331 | Mounting system, devices, methods and uses thereof | CORE-ARMS, LLC |
10966332 | Structured fabrics for electronic devices | APPLE INC. |
10966333 | Case, method of manufacturing the same and electronic device | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10966334 | Casing | -- |
10966335 | Fin frame assemblies | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10966336 | Bearing wall electrical equipment | VALEO SIEMENS EAUTOMOTIVE FRANCE SAS |
10966337 | Electrical converter | SIEMENS AKTIENGESELLSCHAFT |
10966338 | Densely packed electronic systems | MOUNTAIN VIEW |
10966339 | Storage system with removable solid state storage devices mounted on carrier circuit boards | AMAZON TECHNOLOGIES, INC. |
10966340 | Tray with memory modules | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
10966341 | Lightweight and low-cost EMP protection rack | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
10966342 | System and method for determining location and navigating a datacenter using augmented reality and available sensor data | DELL PRODUCTS L.P. |
10966343 | Housing construction | APPLE INC. |
10966344 | Mounting apparatus and heat dissipating device using the same | NANNING FUGUI PRECISION INDUSTRIAL CO., LTD. |
10966345 | Solid-state drive heat dissipation device | -- |
10966346 | Method, device and system for controlling heat dissipation of electrical cabinet | GREE ELECTRIC APPLIANCES, INC. OF ZHUHAI |
10966347 | Liquid cooling device | -- |
10966348 | Semiconductor cooling device, power control system and travelling body including a semiconductor colling device with microbubble generator | MITSUBISHI ELECTRIC CORPORATION |
10966349 | Two-phase immersion cooling apparatus with active vapor management | BITFURY IP B.V. |
10966350 | Cooling system | FUJITSU LIMITED |
10966351 | Heat pipe and vapor chamber heat dissipation | ELPIS TECHNOLOGIES INC. |
10966352 | Cooling electronic devices in a data center | GOOGLE LLC |
10966353 | Centralized cooling system for data center | GUANGDONG HI-1 NEW MATERIALS TECHNOLOGY RESEARCH INSTITUTE CO., LTD. |
10966354 | Liquid cooling module | BAIDU USA LLC |
10966355 | Electric power conversion apparatus | HITACHI, LTD. |
10966356 | Electronic apparatus | SONY INTERACTIVE ENTERTAINMENT INC. |
10966357 | Electromagnetic shielding device | DELFINGEN FR-ANTEUIL |
10966358 | Component mounting device | FUJI CORPORATION |
10966359 | Base board operation system | FUJI CORPORATION |
10966360 | Apparatus for combining printed circuit boards | SAMSUNG ELECTRONICS CO., LTD. |
10966361 | Machine for performing specified work to a printed circuit board | FUJI CORPORATION |
10966496 | Protective cushion cover for an electronic device | OTTER PRODUCTS, LLC |
10966594 | Imaging device, endoscope, and method of manufacturing imaging device | OLYMPUS CORPORATION |
10966613 | System, apparatus and method for utilizing optical dispersion for fourier-domain optical coherence tomography | THE GENERAL HOSPITAL CORPORATION |
10966656 | Electric conductive fiber structure, electrode member, and method of producing electric conductive fiber structure | TORAY INDUSTRIES, INC. |
10966718 | Dynamic clamping assemblies with improved wear characteristics for use in connection with electromechanical surgical instruments | ETHICON LLC |
10966776 | Energy-harvesting system, apparatus and methods | COVIDIEN LP |
10966785 | Picosecond laser apparatus and methods for its operation and use | CYNOSURE, LLC |
10967201 | Radiation monitor and method of monitoring radiation | HITACHI, LTD. |
10967252 | Games controller and trigger therefor | IRONBURG INVENTIONS LIMITED |
10967295 | Processes for removing reactive solvent from lithium bis(fluorosulfonyl)imide (LiFSI) using organic solvents that are stable toward anodes in lithium-ion and lithium-metal batteries | SES HOLDINGS PTE. LTD. |
10967319 | Air cleaner | SAMSUNG ELECTRONICS CO., LTD. |
10967407 | Conditioning chamber component | LAM RESEARCH CORPORATION |
10967432 | Methods of controlling nanowire morphology | CAMBRIOS FILM SOLUTIONS CORPORATION |
10967740 | Inductive power coupling systems for roadways | -- |
10967756 | Liquid cooling module | -- |
10967783 | Soft upper trim for switch assembly of vehicle door and method of manufacturing the same | SEOYON E-HWA CO., LTD. |
10967814 | Vehicular circuit body | YAZAKI CORPORATION |
10967816 | DC energy transfer apparatus, applications, components, and methods | RICHARD H. SHERRATT AND SUSAN B. SHERRATT REVOCABLE TRUST FUND |
10967818 | Vehicle-mounted control device | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
10967890 | Train communication system | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10968019 | Reel for a taped series of electronic components and method of manufacturing the same | MURATA MANUFACTURING CO., LTD. |
10968107 | Method for preparing silicon and/or germanium nanowires | COMMISSARIAT A L'ENERGIE ATOMIQUE |
10968263 | Affinity support and method for trapping substance using the same | BIOMOLECULAR HOLDINGS LLC |
10968326 | Electrically-conductive compositions and methods of using them with pipelines | PHILLIPS INTELLECTUAL PROPERTIES, LLC |
10968338 | Flame retardant polymeric composition | DOW GLOBAL TECHNOLOGIES LLC |
10968387 | Phosphor, method for production same, light emitting device, image display, pigment and ultraviolet light absorber | NATIONAL INSTITUTE FOR MATERIALS SCIENCE |
10968389 | Electrically-processed laser and scintillator materials | UNITED STATES OF AMERICA AS REPRESENTED BY THE SECRETARY OF THE AIR FORCE |
10968510 | Sputter trap having multimodal particle size distribution | HONEYWELL INTERNATIONAL INC. |
10968511 | Substrate bearing assembly and magnetron sputtering device | BOE TECHNOLOGY GROUP CO., LTD. |
10968513 | Plasma film-forming apparatus and substrate pedestal | TOKYO ELECTRON LIMITED |
10968514 | Substrate mounting table | TOKYO ELECTRON LIMITED |
10968521 | Grain-oriented electrical steel sheet and production method for grain-oriented electrical steel sheet | JFE STEEL CORPORATION |
10968547 | Bulk metallic glass sheets and parts made therefrom | CRUCIBLE INTELLECTUAL PROPERTY, LLC |
10968581 | Magnetic marker and magnetic marker detection system | AICHI STEEL CORPORATION |
10968652 | Data center infrastructure support system | HIGHLANDS RANCH |
10968656 | Support member for supporting electrical power lines | GEOTEK, LLC |
10968704 | In-situ laser generator cooling system for downhole application and stimulations | SAUDI ARABIAN OIL COMPANY |
10968830 | Systems and methods for cooling electronics and electrical machinery in a hybrid electric aircraft | ROLLS-ROYCE NORTH AMERICAN TECHNOLOGIES, INC. |
10968878 | Circuit and method for coil current control | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10968881 | Spark plug | NGK SPARK PLUG CO., LTD. |
10969074 | Electronic lighting device and method for manufacturing same | L&L CANDLE COMPANY, LLC |
10969097 | Fan | -- |
10969207 | Magnetically enhanced EMP generating device | THE BOEING COMPANY |
10969255 | TIC environmental event sensor | -- |
10969265 | Gauging instrument intended to be sealingly mounted on a nozzle of a tank | ROSEMOUNT TANK RADAR AB |
10969269 | Remote vibration sensing through opaque media using permanent magnets | NATIONAL TECHNOLOGY & ENGINEERING SOLUTIONS OF SANDIA, LLC |
10969270 | Imaging devices having piezoelectric transceivers | EXO IMAGING, INC. |
10969290 | Anode electrode shield for inverted magnetron cold cathode ionization gauge | MKS INSTRUMENTS, INC. |
10969291 | Ionization gauge and cartridge | CANON ANELVA CORPORATION |
10969347 | X-ray generation apparatus and X-ray imaging apparatus | CANON ANELVA CORPORATION |
10969361 | Electrochemical gas sensor, liquid electrolyte and use of a liquid electrolyte in an electrochemical gas sensor | DRAGER SAFETY AG & CO. KGAA |
10969408 | Current measuring device | KOA CORPORATION |
10969409 | Miniaturized current sensors | GENERAL ELECTRIC COMPANY |
10969419 | Insulation detection circuit, detection method and battery management system | CONTEMPORARY AMPEREX TECHNOLOGY CO., LIMITED |
10969427 | Measurement system and method for multiple antenna measurements with different angles of arrival | ROHDE & SCHWARZ GMBH & CO. KG |
10969448 | Magnetic resonance imaging (MRI) apparatus and cryostat for MRI apparatus | KONINKLIJKE PHILIPS N.V. |
10969467 | Programmable multi-waveform RF generator for use as battlefield decoy | KWESST INC. |
10969484 | Bullet detection system | UNITED ARAB EMIRATES UNIVERSITY |
10969485 | Servo rotary scanning system of three-dimensional holographic imaging | SHENZHEN CCT THZ TECHNOLOGY CO., LTD. |
10969490 | Light ranging system with opposing circuit boards | OUSTER, INC. |
10969543 | Semiconductor integrated optical device, and method of fabricating semiconductor integrated optical device | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
10969602 | Integrated camera lens suspension | HUTCHINSON TECHNOLOGY INCORPORATED |
10969659 | Camera module, dual camera module, optical device, and method for manufacturing dual camera module | LG INNOTEK CO., LTD. |
10969706 | Magnetic core material for electrophotographic developer, carrier for electrophotographic developer, and developer | POWDERTECH CO., LTD. |
10969731 | Electrical contact spring and electrical contact member to be used for image forming apparatus and image forming apparatus | CANON KABUSHIKI KAISHA |
10969746 | Magnetically compensated chip scale atomic clock | TEXAS INSTRUMENTS INCORPORATED |
10969761 | Operation machine | FUJI CORPORATION |
10969825 | Magnetic members of docking devices | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10969835 | Cooling arrangement and air guide shroud | FUJITSU LIMITED |
10969837 | Heat sink and electronic device having same | HONGFUJIN PRECISION ELECTRONICS(TIANJIN)CO., LTD. |
10969838 | Hybrid cooling system with multiple outlet blowers | DELL PRODUCTS L.P. |
10969842 | Chassis for a liquid immersion cooling system | TMGCORE, LLC |
10970128 | Server, air conditioner and method for controlling thereof | SAMSUNG ELECTRONICS CO., LTD. |
10970498 | Chipless RFID decoding system and method | PALO ALTO RESEARCH CENTER INCORPORATED |
10970572 | Driver condition detection system | TOYOTA JIDOSHA KABUSHIKI KAISHA |
10970606 | Connector having cover, base and metal member with a locking portion, a coupling portion with a standing portion, and a reinforcing portion overlapping an area of twice a predetermined distance from the standing portion | JAPAN AVIATION ELECTRONICS INDUSTRY, LIMITED |
10970610 | RFIC module and RFID tag | MURATA MANUFACTURING CO., LTD. |
10970611 | Double-sided button with a tough function | -- |
10970834 | Defect discovery using electron beam inspection and deep learning with real-time intelligence to reduce nuisance | KLA-TENCOR CORPORATION |
10971175 | Storage element | SONY CORPORATION |
10971181 | Sputtering target for magnetic recording media | TANAKA KIKINZOKU KOGYO K.K. |
10971182 | Magnetic powder, manufacturing method of magnetic powder, and magnetic recording medium | FUJIFILM CORPORATION |
10971184 | Dual drive tape embedded system | WESTERN DIGITAL TECHNOLOGIES, INC. |
10971229 | Method, system and device for integration of volatile and non-volatile memory bitcells | ARM LIMITED |
10971245 | Measurement of MTJ in a compact memory array | SPIN MEMORY, INC. |
10971274 | Toroidal field coil arrangement with central column having exfoliated HTS tapes and return limbs having substrated HTS tapes | TOKAMAK ENERGY LTD. |
10971277 | Methods to incorporate silver nanowire-based transparent conductors in electronic devices | CAMBRIOS FILM SOLUTIONS CORPORATION |
10971278 | Superconducting wire and superconducting coil | MITSUBISHI MATERIALS CORPORATION |
10971279 | Manufacturing method of high thermal conductive hybrid film | -- |
10971280 | Solar active powder for fusion powder coating | PISON STREAM SOLUTIONS, LLC |
10971281 | Conducting polymer composite containing ultra-low loading of graphene | GLOBAL GRAPHENE GROUP, INC. |
10971282 | Flex flat cable structure and flex flat cable electrical connector fix structure | -- |
10971283 | Flex flat cable structure and fixing structure of cable connector and flex flat cable | -- |
10971284 | Power and communications cable for coiled tubing operations | HALLIBURTON ENERGY SERVICES, INC. |
10971285 | Three-wire communication cable | GENERAL CABLE TECHNOLOGIES CORPORATION |
10971286 | Thermal-insulated multi-walled pipe for superconducting power transmission | JFE STEEL CORPORATION |
10971287 | Composite circuit protection device | -- |
10971288 | Incorporation of oxides into ferrite material for improved radio radiofrequency properties | SKYWORKS SOLUTIONS, INC. |
10971289 | Composite R-Fe-B series rare earth sintered magnet comprising Pr and W | XIAMEN TUNGSTEN CO., LTD. |
10971290 | Magnetic assembly and power supply system with same | DELTA ELECTRONICS (SHANGHAI) CO., LTD. |
10971291 | System and method for operating a bulk superconductor device | THE BOEING COMPANY |
10971292 | Axisymmetric electropermanent magnets | UNIVERSITY OF FLORIDA RESEARCH FOUNDATION, INC. |
10971293 | Spin-orbit-torque magnetization rotational element, spin-orbit-torque magnetoresistance effect element, and spin-orbit-torque magnetization rotational element manufacturing method | TDK CORPORATION |
10971294 | Fractal switching systems and related electromechanical devices | FRACTAL ANTENNA SYSTEMS, INC. |
10971295 | Two part clamping and suspension mechanism for a split toroidal current transformer | SCHWEITZER ENGINEERING LABORATORIES, INC. |
10971296 | Compact vertical inductors extending in vertical planes | -- |
10971297 | Passive component and electronic device | TAIYO YUDEN CO., LTD. |
10971298 | Passive component structure | -- |
10971299 | Biorthogonal windings on transformer and common mode choke for network port | CISCO TECHNOLOGY, INC. |
10971300 | Double conductor single phase inductive power transfer tracks | AUCKLAND UNISERVICES LIMITED |
10971301 | Chip electronic component | MURATA MANUFACTURING CO., LTD. |
10971302 | Multilayer ceramic capacitor and manufacturing method of the same | TAIYO YUDEN CO., LTD. |
10971303 | Multilayer electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10971304 | Electronic component having metal frames | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10971305 | Method for manufacturing ceramic electronic component and ceramic electronic component | MURATA MANUFACTURING CO., LTD. |
10971306 | Electronic component having an external electrode with a conductive resin layer | TDK CORPORATION |
10971307 | Multi-layer ceramic capacitor and method of producing a multi-layer ceramic capacitor | TAIYO YUDEN CO., LTD. |
10971308 | Multilayer capacitor | SAMSUNG ELECTRO-MECHANICS CO., LTD |
10971309 | Capacitor | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10971310 | Electronic component | MURATA MANUFACTURING CO., LTD. |
10971311 | Variable capacitor | -- |
10971312 | Dye-sensitized solar cell and a method for manufacturing the solar cell | EXEGER OPERATIONS AB |
10971313 | Switch device | VALEO JAPAN CO., LTD. |
10971314 | Key switch | -- |
10971315 | Key module | LITE-ON ELECTRONICS (GUANGZHOU) LIMITED |
10971316 | Pressure trip unit for an electrical switch and electrical switch with such a pressure trip unit | SIEMENS AKTIENGESELLSCHAFT |
10971317 | Mechanical closing of a current interrupter | ABB SCHWEIZ AG |
10971318 | Electric circuit breaker device | DAICEL CORPORATION |
10971319 | Snap fit circuit breaker and load center system | LEVITON MANUFACTURING CO., INC. |
10971320 | Switching device and control method | EATON INTELLIGENT POWER LIMITED |
10971321 | Protection device and battery pack | -- |
10971322 | Electron gun, X-ray generation apparatus, and X-ray imaging apparatus | CANON ANELVA CORPORATION |
10971323 | Semiconductor X-ray target | EXCILLUM AB |
10971324 | Treatment method for inhibiting platelet attachment and articles treated thereby | EXOGENESIS CORPORATION |
10971325 | Defect observation system and defect observation method for semiconductor wafer | HITACHI HIGH-TECH CORPORATION |
10971326 | Multi-electron-beam imaging apparatus with improved performance | FEI COMPANY |
10971327 | Cryogenic heat transfer system | APPLIED MATERIALS, INC. |
10971328 | Charged particle beam device | HITACHI HIGH-TECH CORPORATION |
10971329 | Field ionization source, ion beam apparatus, and beam irradiation method | HITACHI HIGH-TECH CORPORATION |
10971330 | Automatic processing device | HITACHI HIGH-TECH SCIENCE CORPORATION |
10971331 | Writing data generation method, computer-readable recording medium on which program is recorded, and multi-charged particle beam writing apparatus | NUFLARE TECHNOLOGY, INC. |
10971332 | Plasma processing apparatus and plasma processing method | CANON ANELVA CORPORATION |
10971333 | Antennas, circuits for generating plasma, plasma processing apparatus, and methods of manufacturing semiconductor devices using the same | SAMSUNG ELECTRONICS CO., LTD. |
10971334 | High frequency antenna and plasma processing device | KABUSHIKI KAISHA TOSHIBA |
10971335 | Radio frequency (RF) power monitoring device and plasma enhanced (PE) system including the same | SAMSUNG ELECTRONICS CO., LTD. |
10971336 | Plasma processing apparatus and plasma processing method | TOKYO ELECTRON LIMITED |
10971337 | Microwave output device and plasma processing apparatus | TOKYO ELECTRON LIMITED |
10971338 | Active gas generating apparatus | TOSHIBA MITSUBISHI-ELECTRIC INDUSTRIAL SYSTEMS CORPORATION |
10971339 | Ion source and cleaning method thereof | NISSIN ION EQUIPMENT CO., LTD. |
10971340 | Gas injector for reaction regions | -- |
10971341 | Plasma processing apparatus | TOKYO ELECTRON LIMITED |
10971342 | Controlling multiple plasma processes | TRUMPF HUETTINGER SP. Z O. O. |
10971343 | Apparatus for monitoring process chamber | SAMSUNG ELECTRONICS CO., LTD. |
10971344 | Optimized stepped collision energy scheme for tandem mass spectrometry | THERMO FINNIGAN LLC |
10971345 | Mass spectrometer and mass spectrometry method | HAMAMATSU PHOTONICS K.K. |
10971346 | Liquid trap or separator for electrosurgical applications | MICROMASS UK LIMITED |
10971347 | Charged particle beam apparatus | HITACHI HIGH-TECH CORPORATION |
10971348 | Apparatus for delivering reagent ions to a mass spectrometer | THERMO FINNIGAN |
10971349 | Ion analyzer | SHIMADZU CORPORATION |
10971368 | Techniques for processing substrates using directional reactive ion etching | VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC. |
10971369 | Plasma processing method and plasma processing apparatus | HITACHI HIGH-TECH CORPORATION |
10971389 | Multi-zone pedestal for plasma processing | APPLIED MATERIALS, INC. |
10971411 | Hybrid corrective processing system and method | TEL EPION INC. |
10971413 | Plasma processing apparatus and control method | TOKYO ELECTRON LIMITED |
10971421 | Gasket for electrically conductive thermal interface material over a bare die package | DELL PRODUCTS L.P. |
10971430 | Semiconductor device | KYOCERA CORPORATION |
10971456 | Electronic component | MURATA MANUFACTURING CO., LTD. |
10971460 | Integrated devices in semiconductor packages and methods of forming same | -- |
10971461 | Semiconductor device and method of manufacture | -- |
10971462 | Package structure and manufacturing method thereof | -- |
10971464 | Electrical connection device and chip module connection device | -- |
10971491 | Method for forming capacitor, semiconductor device, module, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10971542 | Method of forming a semiconductor device | THE REGENTS OF THE UNIVERSITY OF MICHIGAN |
10971545 | Magnetoresistive stacks and methods therefor | EVERSPIN TECHNOLOGIES, INC. |
10971576 | High resistivity soft magnetic material for miniaturized power converter | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10971637 | Airship with a radio frequency transparent photovoltaic cell | RAYTHEON COMPANY |
10971679 | Magnetoresistive effect element | TDK CORPORATION |
10971680 | Multi terminal device stack formation methods | SPIN MEMORY, INC. |
10971692 | Quantum dot light-emitting layer, quantum dot light-emitting device and preparing methods therefor | BOE TECHNOLOGY GROUP CO., LTD. |
10971695 | Multilayer reflection electrode film, multilayer reflection electrode pattern, and method of forming multilayer reflection electrode pattern | MITSUBISHI MATERIALS CORPORATION |
10971718 | Electrochemical energy storage devices | GENTEX CORPORATION |
10971730 | Electrodes, compositions, and devices having high structure carbon blacks | CABOT CORPORATION |
10971734 | Planar structural body containing fibrous carbon nanohorn aggregate | NEC CORPORATION |
10971765 | Current control systems and methods | PALO ALTO RESEARCH CENTER INCORPORATED |
10971769 | Reusable battery indicator with electrical lock and key | DURACELL U.S. OPERATIONS, INC. |
10971779 | Holding device, electronic device assembly, and electronic apparatus | -- |
10971787 | Devices and methods for a dielectric rotary joint | WAYMO LLC |
10971788 | Method of forming a semiconductor device | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10971789 | Transmission-line filtering with enhanced frequency response | QUALCOMM INCORPORATED |
10971790 | Well thermalized microstrip formation for flexible cryogenic microwave lines in quantum applications | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10971791 | Transmission line for high power tuners | -- |
10971792 | First and second dielectric waveguides disposed in respective multi-layer substrates which are connected by a connection structure having choke structures therein | MITSUBISHI ELECTRIC CORPORATION |
10971793 | Deployable structure for use in establishing a reflectarray antenna | M.M.A. DESIGN, LLC |
10971794 | Antenna mounting bracket assembly | COMMSCOPE TECHNOLOGIES LLC |
10971795 | Antenna device | DEXERIALS CORPORATION |
10971796 | Wireless communication device | MURATA MANUFACTURING CO., LTD. |
10971798 | Semiconductor device package and method of manufacturing the same | -- |
10971799 | Antenna module and electronic device including thereof | SAMSUNG ELECTRONICS CO., LTD. |
10971800 | Surface-mount antenna apparatus and communication system having the same | TE CONNECTIVITY CORPORATION |
10971801 | Wireless communication device with an antenna adjacent to an edge of the device | FUTUREWEI TECHNOLOGIES, INC. |
10971802 | Multiband base station antenna | GAMMA NU, INC. |
10971803 | Omnidirectional antenna system for macro-macro cell deployment with concurrent band operation | CISCO TECHNOLOGY, INC. |
10971804 | Wireless wearable devices having self-steering antennas | INTEL CORPORATION |
10971805 | Wrist-worn electronic device with housing-based loop antenna | GARMIN SWITZERLAND GMBH |
10971806 | Broadband conformal antenna | THE BOEING COMPANY |
10971807 | Mobile device | -- |
10971808 | Shielded RFID antenna | 3M INNOVATIVE PROPERTIES COMPANY |
10971809 | Electronic device including antenna connected with conductive sheet of display panel | SAMSUNG ELECTRONICS CO., LTD. |
10971810 | Antenna device and electronic device having the same | SAMSUNG ELECTRONICS CO., LTD. |
10971811 | 5G membrane radio shroud | CCS TECHNOLOGIES LLC |
10971812 | Broadband antenna system | ADVANCED AUTOMOTIVE ANTENNAS, S.L.U. |
10971813 | Switchable patch antenna | PIVOTAL COMMWARE, INC. |
10971815 | Element level polarization synthesis network for electronically scanned arrays | ROCKWELL COLLINS, INC. |
10971816 | Phase adjustment method and apparatus for antenna array | HUAWEI TECHNOLOGIES CO., LTD. |
10971817 | Antenna-to-beamformer assignment and mapping in phased array antenna systems | SPACE EXPLORATION TECHNOLOGIES CORP. |
10971818 | Open cavity system for directed amplification of radio frequency signals | ELWHA LLC |
10971819 | Multi-band wireless signaling | QUALCOMM INCORPORATED |
10971820 | Arrangement comprising antenna elements | FILTRONIC WIRELESS AB |
10971821 | Chip antenna module | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10971822 | Antenna, module substrate, and module | KYOCERA CORPORATION |
10971823 | Artificial dielectric material and focusing lenses made of it | VASANT LIMITED |
10971824 | Antenna element | IMS CONNECTOR SYSTEMS GMBH |
10971825 | Antenna module and method of manufacturing the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10971826 | Wire with terminal | SUMITOMO WIRING SYSTEMS, LTD. |
10971827 | Crimp interconnect device, crimped arrangement and method for making a crimped arrangement | TDK ELECTRONICS AG |
10971828 | Insulation-displacement connector | SIMON, S.A.U. |
10971829 | Connector and package body | KYOCERA CORPORATION |
10971830 | Low profile connector with spring contacts | GENTEX CORPORATION |
10971831 | Contact system | GEBAUER & GRILLER KABELWERKE |
10971832 | High-power bushing for harsh environments | TYCO ELECTRONICS RAYCHEM GMBH |
10971833 | Board connector | SUMITOMO WIRING SYSTEMS, LTD. |
10971834 | Electrical connector | XIAMEN GHGM ELECTRIC CO., LTD. |
10971835 | Electrical connector | -- |
10971836 | Printed circuit board with embedded lateral connector | AMAZON TECHNOLOGIES, INC. |
10971837 | Substrate electrical connector with terminals in accommodating holes | -- |
10971838 | Combination structure of clamping member and circuit board for signal connector | -- |
10971839 | Floating connector | -- |
10971840 | Electrical connector | -- |
10971841 | Board connector | AUTONETWORKS TECHNOLOGIES, LTD. |
10971842 | Loadbreak electrical connector with enhanced safety probe | ABB SCHWEIZ AG |
10971843 | BGA socket device for testing BGA IC | -- |
10971844 | Connector including conductive voltage detection terminal branching from conduction path | YAZAKI CORPORATION |
10971845 | Rectangular impact-resistant elastic connector | SHENZHEN SUNWAY COMMUNICATION CO., LTD. |
10971846 | Board to board connector | MPD CORP. |
10971847 | Disconnect terminal | WEIDMüLLER INTERFACE GMBH & CO. KG |
10971848 | Connector with ventilation passage | SUMITOMO WIRING SYSTEMS, LTD. |
10971849 | Connector and connector assembly | 3M INNOVATIVE PROPERTIES COMPANY |
10971850 | Movable connector | IRISO ELECTRONICS CO., LTD. |
10971851 | Miniaturized connector with a terminal holding member | AUTONETWORKS TECHNOLOGIES, LTD. |
10971852 | Two connectors electro-mechanically joined by a busbar | SUMITOMO WIRING SYSTEMS, LTD. |
10971853 | Bus bar holding structure, electrical connection box and wire harness | YAZAKI CORPORATION |
10971854 | Shielded connector | YAZAKI CORPORATION |
10971855 | Electrical connector with plug latching assembly | HUBBELL INCORPORATED |
10971856 | Lever-type electrical connector | APTIV TECHNOLOGIES LIMITED |
10971857 | Cable extraction tool | LEVEL 3 COMMUNICATIONS, LLC |
10971858 | Electrical connector and electrical connector assembly | TYCO ELECTRONICS (SHANGHAI) CO. LTD. |
10971859 | Two connector housings, one with a lock arm, the second with rattle prevention ribs | SUMITOMO WIRING SYSTEMS, LTD. |
10971860 | Tamper-resistant nightlight | -- |
10971861 | Polarized electrical plug and adaptor with modular orientation verification | -- |
10971862 | Cable connector having crimp structure | HIROSE ELECTRIC CO., LTD. |
10971863 | High speed connector assembly and electrical connector thereof | -- |
10971864 | DIN rail shield | BAKC CAPITAL GROUP |
10971865 | Electrical connector with a multi-part shield | SUMITOMO WIRING SYSTEMS, LTD. |
10971866 | Connector device with antenna connection | ROKU, INC. |
10971867 | Electrical connection box | SUMITOMO WIRING SYSTEMS, LTD. |
10971868 | Electrical/mechanical switching module | TE CONNECTIVITY SERVICES GMBH |
10971869 | Device for locking a plug on a switchable power socket | BSH HAUSGERAETE GMBH |
10971870 | Connection interface for a panel and support structure | -- |
10971871 | Connector adaptation with impedance matching | IXI TECHNOLOGY HOLDINGS, INC. |
10971872 | Network sharing system | -- |
10971873 | Electrical unit with cooling member | LEAR CORPORATION |
10971874 | Lock mechanism and bus bar module | YAZAKI CORPORATION |
10971875 | Apparatus and method of securing adapters to a mobile device | -- |
10971876 | Belt structures for rotary electrical contact device | NATIONAL TECHNOLOGY & ENGINEERING SOLUTIONS OF SANDIA, LLC |
10971877 | Busbars docking platform | -- |
10971878 | Method for manufacturing terminal-equipped electrical wire, terminal-equipped electrical wire, and ultrasonic welding device | SUMITOMO WIRING SYSTEMS, LTD. |
10971879 | Electrical connection forming tool | COWHAM INNOVATIONS, LLC. |
10971880 | Connection for printed circuit board assemblies | NEPTUNE TECHNOLOGY GROUP INC. |
10971881 | Laser pulse including a flat top | BOARD OF TRUSTEES OF MICHIGAN STATE UNIVERSITY |
10971882 | Light source module | NICHIA CORPORATION |
10971883 | Gas laser apparatus | GIGAPHOTON INC. |
10971884 | Fiber source with cascaded gain stages and/or multimode delivery fiber with low splice loss | NLIGHT, INC. |
10971885 | Scalable high power fiber laser | NLIGHT, INC. |
10971886 | Laser apparatus | GIGAPHOTON INC. |
10971887 | Laser device and laser device control method | GIGAPHOTON INC. |
10971888 | Optical amplifier | MITSUBISHI ELECTRIC CORPORATION |
10971889 | Systems, devices, and methods for narrow waveband laser diodes | GOOGLE LLC |
10971890 | Micro laser diode transfer method and manufacturing method | GOERTEK INC. |
10971891 | Light source device | NICHIA CORPORATION |
10971892 | High power cavity package for light emitters | II-VI DELAWARE, INC. |
10971893 | Laser units | HP INDIGO B.V. |
10971894 | Driver for high speed laser diode | MAXIM INTEGRATED PRODUCTS, INC. |
10971895 | Surface plasmon infrared nano pulse laser having multi-resonance competition mechanism | SOUTHEAST UNIVERSITY |
10971896 | Applications, methods and systems for a laser deliver addressable array | NUBURU, INC. |
10971897 | Semiconductor laser device, semiconductor laser module, and laser light source system for welding | PANASONIC SEMICONDUCTOR SOLUTIONS CO., LTD. |
10971898 | Laser radar | SHENZHEN GENORIVISION TECHNOLOGY CO., LTD. |
10971899 | Laser light source unit | MITSUBISHI ELECTRIC CORPORATION |
10971900 | Vertical-cavity surface-emitting laser, structured light module and method for light projection and terminal | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
10971901 | Ignition plug | NGK SPARK PLUG CO., LTD. |
10971902 | Spark plug for a high frequency ignition system | ROSENBERGER HOCHFREQUENZTECHNIK GMBH |
10971909 | Interchangeable driver power system | ELEMENTAL LED, INC. |
10971910 | Recessed protective apparatus for outdoor electrical outlets | A. C. DANDY PRODUCTS LTD. |
10971912 | High voltage laminated power distribution system with integrated fuses | RIVIAN IP HOLDINGS, LLC |
10971913 | Power busbar connection tool | -- |
10971914 | Circuit assembly | AUTONETWORKS TECHNOLOGIES, LTD. |
10971918 | Automated ground fault interruptor | ARCONAS CORPORATION |
10971921 | DC-DC converters having bullet terminals | VERTIV CORPORATION |
10971922 | Reduction of geomagnetically induced currents by neutral switching | NEW YORK UNIVERSITY |
10971924 | Low-voltage protective switching device | EATON INTELLIGENT POWER LIMITED |
10971927 | Current controlling device | ROLLS-ROYCE PLC |
10971928 | Integrated overvoltage protection and monitoring system | RAYCAP IP ASSETS LTD |
10971945 | Bendable wireless charging apparatus | NANO AND ADVANCED MATERIALS INSTITUTE LIMITED |
10971946 | Wireless power receiver and wireless power transmitter | SAMSUNG ELECTRONICS CO., LTD. |
10971953 | Contactless power receiving device, contactless power transfer device, and contactless power transfer and receiving device | MAXELL, LTD. |
10971956 | Leakage magnetic field shielding device and wireless power transmission system including the same | KOREA ADVANCED INSTITUTE OF SCIENCE AND TECHNOLOGY |
10971967 | Electric motor for an elevator system and elevator system comprising such a motor | OTIS ELEVATOR COMPANY |
10972001 | Multi-terminal inductors for voltage regulators | INTEL CORPORATION |
10972055 | Integrated doherty power amplifier | SKYWORKS SOLUTIONS, INC. |
10972066 | Laminated electronic component and method of manufacturing the same | MURATA MANUFACTURING CO., LTD. |
10972100 | Dial device | -- |
10972145 | Method for antenna selection for concurrent independent transmissions via multiple antennas | MOTOROLA MOBILITY LLC |
10972149 | Surface wave interference reduction | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
10972176 | Communication system for aircrafts | ICOMERA AB |
10972195 | Mutual coupling based calibration | AST & SCIENCE, LLC |
10972589 | Bracket structure, input/output assembly and electronic device | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10972591 | Housing, method of producing the same, and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
10972598 | Bone conduction headset | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10972707 | Endoscope and method of manufacturing endoscope | OLYMPUS CORPORATION |
10972830 | Speaker monomer with pair of dynamic speakers thereof | SHENZHEN GINTO E-COMMERCE CO., LIMITED |
10973032 | Portable measuring device | EKAHAU OY |
10973108 | Lamp with incorporated network access point and lighting facility having a wireless network | OSRAM GMBH |
10973112 | Charged particle beam device | HITACHI HIGH-TECH CORPORATION |
10973113 | Component carrier with transistor components arranged side by side | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
10973114 | Indium-based interface structures, apparatus, and methods for forming the same | L3 TECHNOLOGIES, INC. |
10973116 | 3D high-inductive ground plane for crosstalk reduction | INTEL CORPORATION |
10973117 | Differential signal traces including a solder mask disposed thereon | FCI USA LLC |
10973118 | Flexible conductive film, its manufacturing method, flexible touch screen and flexible display panel | BOE TECHNOLOGY GROUP CO., LTD. |
10973124 | Connector assembly having an adapter to connect two circuit boards | TYCO ELECTRONICS (SHANGHAI) CO. LTD. |
10973127 | Voltage regulator module | -- |
10973128 | Flexible printed circuit and imaging apparatus including same | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10973134 | Voice-activated electronic device assembly with separable base | GOOGLE LLC |
10973136 | Display device | LG ELECTRONICS INC. |
10973137 | Circuit device, method for manufacturing circuit device and connector | AUTONETWORKS TECHNOLOGIES, LTD. |
10973139 | Flexible modularized support device | -- |
10973140 | Method for assembling a printed circuit board assembly | SPARTON CORPORATION |
10973141 | Cooling system for enclosure for electrical network protection element | GENERAL ELECTRIC COMPANY |
10973142 | Hermetically sealed printed circuit boards | COVIDIEN LP |
10973143 | Coordinating installation and connection of a motor control center subunit having moveable line contacts | EATON INTELLIGENT POWER LIMITED |
10973144 | Electronic device | -- |
10973145 | Fixing apparatus for fixing one or more printed circuit boards to a housing wall | LIEBHERR-ELEKTRONIK GMBH |
10973146 | Tool-less mechanism for fixing multiple add-on cards | -- |
10973147 | Rotatable cable holder module | -- |
10973148 | Networking device with orthogonal switch bars | CISCO TECHNOLOGY, INC. |
10973149 | Streamlined air baffle for electronic device | -- |
10973150 | Heat dissipation assembly and mainboard module | -- |
10973151 | Vapor chamber | MURATA MANUFACTURING CO., LTD. |
10973152 | Cooling system | TUSIMPLE, INC. |
10973153 | Power module | -- |
10973154 | Reconfigurable thermal control of high-powered integrated circuitry | INTEL CORPORATION |
10973155 | Heat dissipation plate assembly, display module and its assembling method | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10973156 | Dual electronic display assembly | MANUFACTURING RESOURCES INTERNATIONAL, INC. |
10973157 | Printed circuit card assembly connectable to a server chassis | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10973158 | Apparatus and method for mounting components on a substrate | BESI SWITZERLAND AG |
10973159 | Component mounting machine for preventing release fail of a component | FUJI CORPORATION |
10973160 | Component mounting machine | FUJI CORPORATION |
10973161 | Electronic component removal device | RAYTHEON COMPANY |
10973322 | Slide rail assembly | -- |
10973343 | Powered chairs for public venues, assemblies for use in powered chairs, and components for use in assemblies for use in powered chairs | -- |
10973354 | Modular electrical distribution system for an illuminable decoration, and illuminable decoration with modular electrical distribution system | -- |
10973446 | Device to extract physiological information and method therefor | -- |
10973684 | Machining device and method | CARL ZEISS MEDITEC AG |
10973958 | Artificial skin having a reflection coefficient substantially equal to human skin | THE GOVERNMENT OF THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF HOMELAND SECURITY |
10974052 | Medical communication and power charging system | ONWARD MEDICAL B.V. |
10974230 | Doped-carbon composites, synthesizing methods and applications of the same | BOARD OF TRUSTEES OF THE UNIVERSITY OF ARKANSAS |
10974256 | Aviation proactive air and surface purification component | AVIATION CLEAN AIR, LLC |
10974332 | Current feeding device for wire electrical discharge machining | AGIE CHARMILLES SA |
10974335 | Composite soldering, de-soldering station load detection | HAKKO CORPORATION |
10974354 | Blank assembly for housing, housing, manufacturing method for housing and terminal | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10974433 | Gate-all-around fin device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10974553 | Pneumatic tire equipped with an electronic member | COMPAGNIE GENERALE DES ETABLISSEMENTS MICHELIN |
10974611 | Motor-driven vehicle | TOYOTA JIDOSHA KABUSHIKI KAISHA |
10974618 | Insulating track segment for a ground power feeding system and corresponding ground power feeding system | ALSTOM TRANSPORT TECHNOLOGIES |
10974659 | Adjustable removable device caddy | DESERT FOX GOLF, LLC |
10974661 | Decorative part for vehicle and method for manufacturing same | TOYODA GOSEI CO., LTD. |
10974668 | Wire harness including branching circuit groups | AUTONETWORKS TECHNOLOGIES, LTD. |
10974671 | Vehicular circuit body | YAZAKI CORPORATION |
10974933 | Control device for lifting magnet | KOBELCO CONSTRUCTION MACHINERY CO., LTD. |
10974939 | Inductor to control transient currents during energized bond on | QUANTA ASSOCIATES, L.P. |
10975187 | Modified polytetrafluoroethylene fine powder and its manufacturing method, and electric wire and tube using it | AGC INC. |
10975200 | Conductive polymer composition, conductive-polymer-containing porous body and manufacturing method therefor, and solid electrolytic capacitor and manufacturing method therefor | IDEMITSU KOSAN CO., LTD. |
10975226 | Flame retardant additive for a low smoke, zero halogen compound | CORNING OPTICAL COMMUNICATIONS LLC |
10975238 | Solvent-less ionic liquid epoxy resin | ARIZONA BOARD OF REGENTS ON BEHALF OF ARIZONA STATE UNIVERSITY |
10975249 | Nanocomposite coating for antenna reflector and methods of making same | -- |
10975267 | Anisotropic conductive film and connection structure | DEXERIALS CORPORATION |
10975272 | Hot melt composition, hot melt composition production method, seal material, electronic device, and lamp | MORESCO CORPORATION |
10975308 | Liquid-crystalline medium | MERCK PATENT GMBH |
10975451 | Method for producing non-oriented electrical steel sheet having excellent magnetic properties | JFE STEEL CORPORATION |
10975457 | Iron cobalt ternary alloy and silica magnetic core | TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC. |
10975468 | Method of cleaning plasma processing apparatus | TOKYO ELECTRON LIMITED |
10975469 | Plasma resistant coating of porous body by atomic layer deposition | APPLIED MATERIALS, INC. |
10975470 | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment | ASM IP HOLDING B.V. |
10975471 | Nano-coating protection method for electrical connectors | JIANGSU FAVORED NANOTECHNOLOGY CO., LTD. |
10975472 | Nano-coating protection method for electrical connectors | JIANGSU FAVORED NANOTECHNOLOGY CO., LTD. |
10975497 | Light emitting device | TAMURA CORPORATION |
10975498 | System based on low-pressure chemical vapor deposition for fabricating perovskite film from organic halide compound and metal halide compound | OKINAWA INSTITUTE OF SCIENCE AND TECHNOLOGY SCHOOL CORPORATION |
10975750 | Heater-actuated flow bypass | WATLOW ELECTRIC MANUFACTURING COMPANY |
10975759 | Spark plug for an internal combustion engine having a combustion pre-chamber | CUMMINS INC. |
10975827 | Ignition control system with circulating-current control | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10975829 | Spark plug combustion ionization sensor | IC LLC |
10975852 | Cold-matter system having integrated pressure regulator | COLDQUANTA, INC. |
10975889 | Fan module and electronic device | -- |
10975923 | Plastic composite containing magnetic alloy powder, air conditioner compressor having the same and method of producing them | HYUNDAI MOTOR COMPANY |
10975978 | Solenoid device with sensor | BRT GROUP PTY LTD |
10976020 | Kinetic flame device | L&L CANDLE COMPANY, LLC |
10976025 | Plasma cell for providing VUV filtering in a laser-sustained plasma light source | KLA CORPORATION |
10976040 | Adaptor, light source device, and lighting apparatus | OPPLE LIGHTING CO., LTD. |
10976042 | High output socket power adapter | -- |
10976110 | Plate laminate type heat exchanger | T.RAD CO., LTD. |
10976116 | Liquid cooled heat dissipation device | -- |
10976129 | Electromagnetic driver with helical rails to impart rotation | HONEYWELL FEDERAL MANUFACTURING & TECHNOLOGIES, LLC |
10976130 | Electromagnetic driver with forward and reverse coils | HONEYWELL FEDERAL MANUFACTURING & TECHNOLOGIES, LLC |
10976203 | Thermocouple connector | K-TEC SYSTEMS, INC. |
10976215 | System and method of determining coolant leaks within information handling systems | DELL PRODUCTS L.P. |
10976242 | System and method for monitoring atomic absorption during a surface modification process | ACCUSTRATA, INC. |
10976248 | Tunable light source cavity detection using a plurality of axial-plus-transverse modes | SPARROW DETECT, INC. |
10976273 | X-ray spectrometer system | SIGRAY, INC. |
10976283 | Method and apparatus for spatial compression and increased mobility resolution of ions | BATTELLE MEMORIAL INSTITUTE |
10976290 | Data processing method for chromatograph mass spectrometry, chromatograph mass spectrometer, and non-transitory storage medium storing program for processing chromatograph mass spectrometry data | SHIMADZU CORPORATION |
10976321 | Mass spectrometric quantitation | ELECTROPHORETICS LIMITED |
10976348 | Test socket assembly | LEENO INDUSTRIAL INC. |
10976381 | Magnetic field monitor having automated quantitative calibration of magnetic field sensor | MIS SECURITY, LLC |
10976391 | Halbach magnet arrangement with notch | BRUKER BIOSPIN GMBH |
10976392 | Gradient coil | CANON MEDICAL SYSTEMS CORPORATION |
10976414 | Heterogeneous integration of curved mirror structure for passive alignment in chip-scale lidar | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
10976428 | Synthetic ultra-wideband millimeter-wave imaging for tissue diagnostics | THE TRUSTEES OF THE STEVENS INSTITUTE OF TECHNOLOGY |
10976430 | Radar arrangement | VOLVO CAR CORPORATION |
10976447 | Azimuth angle calculating device, and method of calculating azimuth angle | FURUNO ELECTRIC CO., LTD. |
10976491 | Photonics interposer optoelectronics | THE RESEARCH FOUNDATION FOR THE STATE UNIVERSITY OF NEW YORK |
10976510 | Optical receptacle and optical module | ENPLAS CORPORATION |
10976536 | Image-forming device, and dimension measurement device | HITACHI HIGH-TECH CORPORATION |
10976582 | Display | SONY CORPORATION |
10976617 | Display apparatus and method for manufacturing display apparatus | SAKAI DISPLAY PRODUCTS CORPORATION |
10976636 | Apparatus and method for providing a selectively absorbing structure | DUKE UNIVERSITY |
10976708 | Molecular atomic clock with wave propagating rotational spectroscopy cell | TEXAS INSTRUMENTS INCORPORATED |
10976772 | Cover glass to housing interface system | APPLE INC. |
10976775 | Roll-slide mobile terminal | LG ELECTRONICS INC. |
10976778 | Display device | LG ELECTRONICS INC. |
10976783 | Low profile auxiliary component mounting article | -- |
10976785 | Packaging of vertically oriented orthogonally connected systems | JABIL INC. |
10976786 | Removable electronic device configured for one-hand operations | NANNING FUGUI PRECISION INDUSTRIAL CO., LTD. |
10976787 | External liquid cooling device | -- |
10976788 | Method of cooling computer equipment | COOLTERA LIMITED |
10976793 | Mass storage device electrical power consumption monitoring | AMAZON TECHNOLOGIES, INC. |
10976834 | Light integrated sensing membrane | APPLE INC. |
10976854 | Force touch display panel, detection method thereof, and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
10976927 | Push button sheet and touch panel | FANUC CORPORATION |
10977295 | Digital jukebox device with improved user interfaces, and associated methods | TOUCHTUNES MUSIC CORPORATION |
10977354 | Authentication device for virtual assistant systems | MASSACHUSETTS MUTUAL LIFE INSURANCE COMPANY |
10977543 | RFID system | MURATA MANUFACTURING CO., LTD. |
10977545 | Radio frequency screw antenna and radio frequency screw tag | -- |
10977884 | Half-hemisphere antennas for locating remote devices | DENSO INTERNATIONAL AMERICA, INC. |
10977913 | Reed switch apparatus and method of using same | -- |
10977931 | Remote load control device capable of orientation detection | LUTRON TECHNOLOGY COMPANY LLC |
10977932 | Method and apparatus for electromagnetic wave communications associated with vehicular traffic | AT&T INTELLECTUAL PROPERTY I, L.P. |
10978101 | Method of manufacturing a wiring structure of a head suspension | NHK SPRING CO., LTD. |
10978216 | Conductive film and method for producing the same | SUMITOMO RIKO COMPANY LIMITED |
10978217 | Thermally-drawn fiber including porosity | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
10978218 | Hydrogel network | OXFORD UNIVERSITY INNOVATION LIMITED |
10978219 | Assembled wire, segmented conductor, and segment coil and motor using the same | ESSEX FURUKAWA MAGNET WIRE JAPAN CO., LTD. |
10978220 | Flex flat cable structure and flex flat cable electrical connector fix structure | -- |
10978221 | Reinforced electric wire and methods of making the same | POLYGROUP MACAU LIMITED (BVI) |
10978222 | Insulated electric wire | AUTONETWORKS TECHNOLOGIES, LTD. |
10978223 | Ground termination system for a variable frequency drive harness | ROLLS-ROYCE CORPORATION |
10978224 | Twisted wire and manufacturing method thereof | DAIKIN INDUSTRIES, LTD. |
10978225 | High-voltage insulator having multiple materials | LAWRENCE LIVERMORE NATIONAL SECURITY, LLC |
10978226 | Sintered Nd—Fe—B magnet composition and a production method for the sintered Nd—Fe—B magnet | YANTAI SHOUGANG MAGNETIC MATERIALS INC. |
10978227 | Alloy, magnetic core and process for the production of a tape from an alloy | VACUUMSCHMELZE GMBH & CO. KG |
10978228 | Magnetic material and manufacturing method therefor | NATIONAL INSTITUTE OF ADVANCED INDUSTRIAL SCIENCE AND TECHNOLOGY |
10978229 | Magnet arrangement for position sensor device and corresponding position sensor device | INFINEON TECHNOLOGIES AG |
10978230 | Magnet arrangement for producing a field suitable for NMR in a concave region | LIVIVOS INC. |
10978231 | Method and system for deactivating an acousto-magnetic label | SENSORMATIC ELECTRONICS, LLC |
10978232 | Electromagnet and method of making the electromagnet | THOMAS MAGNETE GMBH |
10978233 | Solenoid having a barrier between a solenoid housing and package | EAGLE INDUSTRY CO., LTD. |
10978234 | Magnetic stack, multilayer, tunnel junction, memory point and sensor comprising such a stack | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10978235 | Inductor | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10978236 | Apparatus for electrically connecting at least four electrical conductors | SIEMENS AKTIENGESELLSCHAFT |
10978237 | Core for stationary induction apparatus | HITACHI, LTD. |
10978238 | Coil component and switching regulator | MURATA MANUFACTURING CO., LTD. |
10978239 | Embedded coil assembly and method of making | TEXAS INSTRUMENTS INCORPORATED |
10978240 | Inductor with embraced corner capture pad | QUALCOMM INCORPORATED |
10978241 | Transformers having screen layers to reduce common mode noise | ASTEC INTERNATIONAL LIMITED |
10978242 | Inductive component | EPCOS AG |
10978243 | Power circuit, iron core for Scott connected transformer, Scott connected transformer, and superheated steam generator | TOKUDEN CO., LTD. |
10978244 | Wireless power transfer apparatus and power supplies including overlapping magnetic cores | EATON INTELLIGENT POWER LIMITED |
10978245 | Low voltage wireless power transfer pad | WIRELESS ADVANCED VEHICLE ELECTRIFICATION, INC. |
10978246 | Wireless power transmitter and method of controlling power thereof | LG INNOTEK CO., LTD. |
10978247 | Deposition system for winding of large-scale superconducting magnet coils | HEFEI INSTITUTES OF PHYSICAL SCIENCE, CHINESE ACAD |
10978248 | Capacitor with heat dissipater | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10978249 | Thin-film device and method of manufacturing thin-film device | MURATA MANUFACTURING CO., LTD. |
10978250 | Method of manufacturing capacitor | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10978251 | Multilayer ceramic electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10978252 | Electret sheet | SEKISUI CHEMICAL CO., LTD. |
10978253 | Capacitor device | NIPPON CHEMI-CON CORPORATION |
10978254 | High performance and reliability solid electrolytic tantalum capacitors and screening method | VISHAY SPRAGUE, INC. |
10978255 | Bichromic bipodal triphenylamine-based dyes with high photo-electron conversion at low light intensities | -- |
10978256 | Electrical switching device | INNOVATIVE SWITCHGEAR IP, LLC |
10978257 | Lock-off assembly for use in locking-off a trigger of an electrical device | DEFOND COMPONENTS LIMITED |
10978258 | Direct current circuit breaker device | EATON INTELLIGENT POWER LIMITED |
10978259 | Circuit breaker | EATON INTELLIGENT POWER LIMITED |
10978260 | Base plate, key assembly, illuminated key input device and manufacturing method of base plate | LITE-ON ELECTRONICS (GUANGZHOU) LIMITED |
10978261 | Method for fabricating keyboard covering film | -- |
10978262 | Reach tool for use in low voltage applications | OTIS ELEVATOR COMPANY |
10978263 | Coupling system for interrupter-conductor connection in high voltage circuit breakers | ABB SCHWEIZ AG |
10978264 | Single-body actuating arms with a friction-reduction region that serves as a sliding surface | WEG EQUIPAMENTOS ELETRICOS S.A. |
10978265 | Electrical interruption switching element with a tubular or rod-shaped compression area with a varying cross-sectional diameter | -- |
10978266 | Electromechanical switch having movable contact and dampener | TE CONNECTIVITY CORPORATION |
10978267 | High voltage power fuse including fatigue resistant fuse element and methods of making the same | EATON INTELLIGENT POWER LIMITED |
10978268 | Methods and systems for an X-ray tube assembly | GE PRECISION HEALTHCARE LLC |
10978269 | Sample chip for electron microscope and its related application | -- |
10978270 | Charged particle beam device, interchangeable multi-aperture arrangement for a charged particle beam device, and method for operating a charged particle beam device | ICT INTEGRATED CIRCUIT TESTING GESELLSCHAFT FüR HALBLEITERPRüFTECHNIK MBH |
10978271 | Electron imaging method and system | INSTITUT NATIONAL DE LA RECHERCHE SCIENTIFIQUE (INRS) |
10978272 | Measurement and endpointing of sample thickness | FEI COMPANY |
10978273 | Multiple charged particle beam writing method and apparatus using beams for straddling regions | NUFLARE TECHNOLOGY, INC. |
10978274 | Plasma processing apparatus and method for generating plasma | TOKYO ELECTRON LIMITED |
10978275 | Manufacturing method of showerhead for plasma processing apparatus | TOKYO ELECTRON LIMITED |
10978276 | Substrate processing apparatus including top reflector above annular lamp assembly | APPLIED MATERIALS, INC. |
10978277 | Apparatus and method for handling an implant | NOVA PLASMA LTD. |
10978278 | Normal-incident in-situ process monitor sensor | TOKYO ELECTRON LIMITED |
10978279 | Tubular target having a protective device | PLANSEE SE |
10978280 | Systems and methods for ICPMS matrix offset calibration | ELEMENTAL SCIENTIFIC, INC. |
10978281 | Method to obtain unbiased identification of interaction of test compounds with the proteome | INSTITUTE FOR SYSTEMS BIOLOGY |
10978282 | Liquid sample introduction system and method, for analytical plasma spectrometer | THERMO FISHER SCIENTIFIC (BREMEN) GMBH |
10978284 | Imaging guided ambient ionisation mass spectrometry | MICROMASS UK LIMITED |
10978285 | Element analysis device and element analysis method | HORIBA, LTD. |
10978286 | Coupling devices and source assemblies including them | PERKINELMER HEALTH SCIENCES, INC. |
10978287 | ESI sprayer and ionizer | SHIMADZU CORPORATION |
10978288 | Compact mass spectrometer | MICROMASS UK LIMITED |
10978289 | Methods and apparatus for ion fragmentation in a mass spectrometer | THERMO FISHER SCIENTIFIC (BREMEN) GMBH |
10978290 | Ultraviolet field-emission lamps and their applications | NS NANOTECH, INC. |
10978291 | Pre-cleaning a semiconductor structure | SPTS TECHNOLOGIES LIMITED |
10978312 | Semiconductor device package and method of manufacturing the same | -- |
10978313 | Fixture facilitating heat sink fabrication | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10978315 | Vacuum evacuation system | EBARA CORPORATION |
10978334 | Sealing structure for workpiece to substrate bonding in a processing chamber | APPLIED MATERIALS, INC. |
10978372 | Heat sink load balancing apparatus | GOOGLE LLC |
10978396 | Transient electronics using thermoresponsive materials | VANDERBILT UNIVERSITY |
10978411 | RF power package having planar tuning lines | INFINEON TECHNOLOGIES AG |
10978412 | Manufacturing method of package structure | -- |
10978425 | Reducing loss in stacked quantum devices | GOOGLE LLC |
10978434 | Systems in packages including wide-band phased-array antennas and methods of assembling same | INTEL CORPORATION |
10978497 | Display device | SEMINCONDUCTOR ENERGY LABORATORY CO., LTD. |
10978547 | Integrated inductor | -- |
10978553 | Formation of a capacitor using a hard mask | MICRON TECHNOLOGY, INC. |
10978603 | Energy storage | POWER ROLL LIMITED |
10978611 | Semiconductor structure with chirp layer | SILANNA UV TECHNOLOGIES PTE LTD |
10978701 | Porous silicon composite cluster structure, method of preparing the same, carbon composite using the same, and electrode, lithium battery, and device each including the same | SAMSUNG ELECTRONICS CO., LTD. |
10978702 | Energy storage devices | CF TRAVERSE LLC |
10978708 | Doped nickelate compounds | FARADION LIMITED |
10978710 | Electrode, power storage device, electronic device, and manufacturing method of electrode | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10978739 | Silicon-based energy storage devices with carboxylic ether, carboxylic acid based salt, or acrylate electrolyte containing electrolyte additives | ENEVATE CORPORATION |
10978742 | Electrolytic solution, electrochemical device, lithium-ion secondary cell, and module | DAIKIN INDUSTRIES, LTD. |
10978769 | Well thermalized stripline formation for high-density connections in quantum applications | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10978770 | Flexible flat cable comprising conductor layers disposed on opposite sides of a metal isolation layer | -- |
10978771 | Lumped circuit balance converter applied to double-sided parallel lines | INNOVATION SOUND TECHNOLOGY CO., LTD. |
10978772 | Balun-based four-port transmission-line networks | WERLATONE, INC. |
10978773 | Guided wave dielectric coupler having a dielectric cable with an exposed dielectric core position for enabling electromagnetic coupling between the cable and a transmission medium | AT&T INTELLECTUAL PROPERTY I, L.P. |
10978774 | Resonator and filter comprising the same | NOKIA TECHNOLOGIES OY |
10978775 | Cavity resonator, filter, and communications device | HUAWEI TECHNOLOGIES CO., LTD. |
10978776 | Dielectric resonator and dielectric filter, transceiver, and base station to which dielectric resonator is applied | HUAWEI TECHNOLOGIES CO., LTD. |
10978777 | Systems having windows with patterned coatings | APPLE INC. |
10978778 | Wafer level package with integrated antennas and means for shielding | FRAUNHOFER-GESELLSCHAFT ZUR FOERDERUNG DER ANGEWANDTEN FORSCHUNG E.V. |
10978779 | Sputtered SIP antenna | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
10978780 | Antenna apparatus and antenna module | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10978781 | 3D antenna for integrated circuits | -- |
10978782 | Semiconductor packages and manufacturing methods thereof | -- |
10978783 | Antenna system and mobile terminal | AAC TECHNOLOGIES PTE. LTD. |
10978784 | Electronic apparatus and information processing method | LENOVO (BEIJING) CO., LTD. |
10978785 | Chip antenna module | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10978786 | Antenna system and mobile terminal | AAC TECHNOLOGIES PTE. LTD. |
10978787 | Low-loss and flexible transmission line-integrated multi-port antenna for mmWave band | SENSORVIEW INCORPORATED |
10978788 | Antenna system for mobile terminal and mobile terminal | AAC TECHNOLOGIES PTE. LTD. |
10978789 | Electronic device including high-frequency transmission circuit | SAMSUNG ELECTRONICS CO., LTD. |
10978790 | Electromagnetic radiation attenuating device for laptop computers | -- |
10978791 | Combination antenna | NXP B.V. |
10978792 | Thermal growth management of radome by boundary constraints | THE BOEING COMPANY |
10978793 | Antenna with gain reduction | -- |
10978794 | Antenna device | YOKOWO CO., LTD. |
10978795 | Antenna structure and wireless communication device using the same | -- |
10978796 | Antenna apparatus and antenna module | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10978797 | Electronic devices having antenna array apertures mounted against a dielectric layer | APPLE INC. |
10978798 | Device for reverberation of modes | FRAUNHOFER-GESELLSCHAFT ZUR FOERDERUNG DER ANGEWANDTEN FORSCHUNG E.V. |
10978799 | Directional antenna tracking method and communication device | SZ DJI TECHNOLOGY CO., LTD. |
10978800 | Antenna element placement for a cylindrical feed antenna | KYMETA CORPORATION |
10978801 | Phased array antenna | FUJIKURA LTD. |
10978802 | Wireless communication device and electronic apparatus | CANON KABUSHIKI KAISHA |
10978803 | Communications system | KIRINTEC LIMITED |
10978804 | Quadrifilar helical antenna for communicating in a plurality of different frequency bands | BITTIUM WIRELESS OY |
10978806 | Electronic device slot antennas | APPLE INC. |
10978807 | Structural slot antenna with isolating element | MICROSOFT TECHNOLOGY LICENSING, LLC |
10978808 | Phased array line feed for reflector antenna | ARIZONA BOARD OF REGENTS ON BEHALF OF THE UNIVERSITY OF ARIZONA |
10978809 | Reflector having an electronic circuit and antenna device having a reflector | FRAUNHOFER-GESELLSCHAFT ZUR FöRDERUNG DER ANGEWANDTEN FORSCHUNG E.V. |
10978810 | Millimeter-wave detect or reflect array | KEYSIGHT TECHNOLOGIES, INC. |
10978811 | Slot antenna arrays for millimeter-wave communication systems | MOTOROLA MOBILITY LLC |
10978812 | Single layer shared aperture dual band antenna | DIRECTOR GENERAL, DEFENCE RESEARCH & DEVELOPMENT ORGANISATION (DRDO) |
10978813 | Bowtie antenna arrangement | GAPWAVES AB |
10978814 | High frequency antenna device | -- |
10978815 | Joining a terminal element with a stranded conductor | AUTO-KABEL MANAGEMENT GMBH |
10978816 | Press-fit terminal and terminal-attached substrate | YAZAKI CORPORATION |
10978817 | Connector for mounting to a substrate | JAPAN AVIATION ELECTRONICS INDUSTRY, LIMITED |
10978818 | Electronic device fastener | SK HYNIX INC. |
10978819 | Mechanical spring diode contact | APPLE INC. |
10978820 | IC socket with contacts having a retained portion | TYCO ELECTRONICS JAPAN G.K. |
10978821 | Electrical connector having deep drawn sleeve with two parts of different dimensions | FUYU ELECTRONICAL TECHNOLOGY (HUAIAN) CO., LTD. |
10978822 | Connector | OMRON CORPORATION |
10978823 | Waterproof packing, waterproof connector and wire harness | YAZAKI CORPORATION |
10978824 | Crimp terminal with ridge portion and manufacturing method thereof | YAZAKI CORPORATION |
10978825 | Covering element for an alternator pole | -- |
10978826 | Electrical connector assembly having a magnet and heat insulation or waterproof feature and assembling method of the same | FOXCONN (KUNSHAN) COMPUTER CONNECTOR CO., LTD. |
10978827 | On-board diagnostic port connector locking mechanism | I.D. SYSTEMS, INC. |
10978828 | Lever-type connector | YAZAKI CORPORATION |
10978829 | Electrical connector and connection | LISA DRAEXLMAIER GMBH |
10978830 | Lockable and releasable plug | HARTING ELECTRONICS GMBH |
10978831 | Electrical connector having a first power contact and a shorter second power contact | FOXCONN (KUNSHAN) COMPUTER CONNECTOR CO., LTD. |
10978832 | Protection member to protect resilient arms of a contact assembly from stubbing | TE CONNECTIVITY SERVICES GMBH |
10978833 | Electromagnetic interference (EMI) grounding protection method for a connector using a conductive housing | J.S.T. CORPORATION |
10978834 | Auxiliary outlet system | -- |
10978835 | Power connector | -- |
10978836 | Housing element, electric line arrangement, and production method therefor | LISA DRAEXLMAIER GMBH |
10978837 | Integrated antenna unit with blind mate interconnect | AMPHENOL CORPORATION |
10978838 | Multi-stage termination of a cable to an RJ-45 outlet | OPTICAL CABLE CORPORATION |
10978839 | Outlet connection schema for a PDU | SERVER TECHNOLOGY, INC. |
10978840 | Ganged coaxial connector assembly | COMMSCOPE TECHNOLOGIES LLC |
10978841 | Connector converter | GUANGDONG GOPOD GROUP HOLDING CO., LTD. |
10978842 | Customizable power supply | -- |
10978843 | Electric conduction apparatus | NINTENDO CO., LTD. |
10978844 | Pogo module and electronic device comprising same | SAMSUNG ELECTRONICS CO., LTD. |
10978845 | Punch down tool | -- |
10978846 | Wire terminal crimping method | YAZAKI CORPORATION |
10978847 | Implantable medical devices including elongated conductor bodies that facilitate device and lead configuration variants | MEDTRONIC, INC. |
10978848 | Fiber laser system with mechanism for inducing parasitic light losses | IPG PHOTONICS CORPORATION |
10978849 | User defined intensity profile laser beam | LAWRENCE LIVERMORE NATIONAL SECURITY, LLC |
10978850 | Passive Q-switching of diode-pumped laser | JERUSALEM COLLEGE OF TECHNOLOGY |
10978851 | Package for optical device and optical device module | FURUKAWA ELECTRIC CO., LTD. |
10978852 | Light emitting device | NICHIA CORPORATION |
10978853 | Optical scanning control device and retina scanning projection device | MITSUMI ELECTRIC CO., LTD. |
10978854 | Low impedance VCSELs | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
10978855 | Quantum cascade semiconductor laser | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
10978856 | Spark plug | NGK SPARK PLUG CO., LTD. |
10978857 | Method of manufacturing spark plug that reliably prevents gasket from coming off mounting screw | NGK SPARK PLUG CO., LTD. |
10978858 | Ion generating device enclosure | PLASMA AIR INTERNATIONAL, INC |
10978880 | System and method for contactless power transfer | GENERAL ELECTRIC COMPANY |
10978881 | Charging apparatus and method | VENTIVA, INC. |
10978886 | Self-inspection topology design for battery energy storage | BAIDU USA LLC |
10978890 | Portable multiple mobile electronic device charging station with integrated speaker | -- |
10978900 | Auxiliary battery capable of transmitting and receiving wireless power | AMOSENSE CO., LTD |
10978902 | Wireless charging device | -- |
10978906 | Four-way automatic transfer switch power topology | CUMMINS POWER GENERATION IP, INC. |
10978909 | Coil module and coil unit | TOYOTA JIDOSHA KABUSHIKI KAISHA |
10978911 | Inductive power transfer system | APPLE INC. |
10978913 | Magnetic field formation device, power supplying device, power receiving device, power receiving/supplying device, portable device, coil device, and magnetic field formation method | NITTO DENKO CORPORATION |
10978914 | Vault for wireless power transfer pad with integrated junction box | WIRELESS ADVANCED VEHICLE ELECTRIFICATION, INC. |
10978917 | RF-to-DC converter | DRAYSON TECHNOLOGIES (EUROPE) LIMITED |
10978929 | Push rod for an electro-mechanical actuator system and a method of manufacturing the same | BORGWARNER INC. |
10978943 | System and method for auto-ramping and energy dump for a superconducting wind turbine generator | GENERAL ELECTRIC COMPANY |
10978952 | Low-voltage DC-DC converter including zero voltage switching and method of driving same | HYUNDAI MOBIS CO., LTD. |
10978953 | Flyback power supply, inverter and electrically powered vehicle | MITSUBISHI ELECTRIC CORPORATION |
10978961 | Pulsed rectifier architecture | SCHNEIDER ELECTRIC IT CORPORATION |
10978963 | Multi-phase shift transformer based AC-DC converter | MURATA MANUFACTURING CO., LTD. |
10978979 | Power factor adjustment method and apparatus through the phase control in a transformer circuit | PHASETOWN, LLC |
10979015 | Common-mode choke coil | MURATA MANUFACTURING CO., LTD. |
10979016 | Broadband probes for impedance tuners | MAURY MICROWAVE, INC. |
10979091 | Reconfigurable wideband image suppressing receiver | ROCKWELL COLLINS, INC. |
10979095 | Method and apparatus for radio antenna frequency tuning | NXP USA, INC. |
10979117 | Method, system and apparatus for beam forming in a radio frequency transceiver with reduced complexity | -- |
10979143 | Frequency chirp correction method for photonic time-stretch system | ZHEJIANG UNIVERSITY |
10979155 | Antenna and environmental conditions monitoring for wireless and telecommunications for private, public, and first responders | JD DESIGN ENTERPRISES LLC |
10979604 | Camera module with imaging unit and light emitter | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10979796 | In-ear wireless listening device | APPLE INC. |
10979828 | Ear-worn electronic device incorporating chip antenna loading of antenna structure | STARKEY LABORATORIES, INC. |
10979912 | Vehicle including antenna apparatus and control method thereof | HYUNDAI MOTOR COMPANY |
10980100 | Residual gain monitoring and reduction for EUV drive laser | -- |
10980101 | Plasma generating device | FUJI CORPORATION |
10980102 | High-frequency coupler | MITSUBISHI HEAVY INDUSTRIES MACHINERY SYSTEMS, LTD. |
10980103 | Cooling of power electronics circuits | DR. ING. H.C. F. PORSCHE AKTIENGESELLSCHAFT |
10980106 | Apparatus related to conformal coating implemented with surface mount devices | SKYWORKS SOLUTIONS, INC. |
10980107 | Electromagnetic blocking structure, dielectric substrate, and unit cell | KYOCERA CORPORATION |
10980117 | Mid-plane board for coupling multiple circuit frames in a circuit emulator engine | CADENCE DESIGN SYSTEMS, INC. |
10980120 | Compact printed circuit board | RAIN BIRD CORPORATION |
10980122 | Thin film resistor having surface mounted trimming bridges for incrementally tuning resistance | THE BOEING COMPANY |
10980124 | Multilayer electronic component and board having the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10980131 | Chip embedded printed circuit boards and methods of fabrication | NANO DIMENSION TECHNOLOGIES, LTD. |
10980132 | Addressing misalignment of LEDs on a printed circuit board | LUMILEDS LLC |
10980134 | Method for orienting solder balls on a BGA device | INTEL CORPORATION |
10980135 | Insulated socket body and terminals for a land grid array socket assembly | -- |
10980137 | Display apparatus and portable terminal | SAMSUNG DISPLAY CO., LTD. |
10980138 | Memory card and memory card socket | SAMSUNG ELECTRONICS CO., LTD. |
10980139 | Flexible display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10980140 | Device enclosure | APPLE INC. |
10980141 | Latching system for an enclosure | COMMSCOPE CONNECTIVITY BELGIUM BVBA |
10980142 | Enclosure with improved locking of a lid in a sealed position | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
10980144 | Support assembly for supporting a cable and adapted to a rack system | -- |
10980145 | Hot-pluggable connection for data communications | VAPOR IO, INC. |
10980146 | Rail and shelf assemblies and rack formed therewith, and methods of installing rail and shelf assemblies on a rack | -- |
10980147 | Quick release cooling fan structure without wires | DONGGUAN CITY HANSHUO PLASTIC CO., LTD. |
10980148 | Vapor chamber with circuit unit | -- |
10980149 | Thermal superconductive finned heat sink and electrical equipment cabinet | KARHE TECHNOLOGY CO., LTD. |
10980150 | Electronic device | DENSO CORPORATION |
10980151 | Flexible heat transfer mechanism configurations | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
10980152 | Thermal rectification with phase change materials | SEAGATE TECHNOLOGY LLC |
10980153 | Direct contact heat exchanger | GE AVIATION SYSTEMS LLC |
10980154 | Cooling design for PCIE mounted server peripheral electronics | BAIDU USA LLC |
10980155 | Architecture for cryptocurrency mining operation | BITMAINTECH PTE. LTD. |
10980156 | Induction heating device having improved cooling structure | LG ELECTRONICS INC. |
10980157 | Information processing apparatus and information processing system | FUJITSU LIMITED |
10980159 | System and method for managing multiple connections | DELL PRODUCTS L.P. |
10980160 | Image pickup module, method for manufacturing image pickup module, and electronic device | CANON KABUSHIKI KAISHA |
10980161 | Work allocation device | FUJI CORPORATION |
10980287 | Aerosol-generating system and method of dispensing liquid aerosol-forming substrate with pumped air | ALTRIA CLIENT SERVICES LLC |
10980340 | Tray for media device | -- |
10980345 | Bracket assembly for a rack | -- |
10980465 | Sensor assembly | SHENZHEN MINDRAY BIO-MEDICAL ELECTRONICS CO., LTD. |
10980909 | Ion generating device and method for manufacturing ion generating device | SHARP KABUSHIKI KAISHA |
10980911 | Flexible ion generator device | GLOBAL PLASMA SOLUTIONS, INC. |
10980953 | Electronic cigarette | ALTRIA CLIENT SERVICES LLC |
10980996 | Magnetically operated switches and methods of making magnetically operated switches | MEDTRONIC, INC. |
10981005 | Electrical stimulator for peripheral stimulation | SPR THERAPEUTICS, INC. |
10981011 | Electrical stimulation system with intraoperative cable and methods of making and using | BOSTON SCIENTIFIC NEUROMODULATION CORPORATION |
10981074 | Sequentially operated modules | MAY PATENTS LTD. |
10981138 | Electrochemical reactor using ion on/off surface switch | M HIKARI & ENERGY LABORATORY CO., LTD. |
10981211 | Processing device and processing method | MITSUI HIGH-TEC, INC. |
10981264 | Hydraulic power tool | MILWAUKEE ELECTRIC TOOL CORPORATION |
10981281 | Low-profile manipulator interface system | MACDONALD, DETTWILER AND ASSOCIATES INC. |
10981310 | Method of manufacturing radar transparent cover for vehicles and radar transparent cover manufactured thereby | HYUNDAI MOTOR COMPANY |
10981401 | Apparatuses and processes for producing optical effect layers comprising oriented non-spherical magnetic or magnetizable pigment particles | SICPA HOLDING SA |
10981449 | Tie-down handle with electric port | FORD GLOBAL TECHNOLOGIES, LLC |
10981491 | In-vehicle light apparatus | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10981517 | Vehicular display device and roof module | YAZAKI CORPORATION |
10981522 | Binding structure of wire routing material | DAIWA KASEI INDUSTRY CO., LTD. |
10981525 | Rotary connector device | FURUKAWA ELECTRIC CO., LTD. |
10981628 | Control device for bicycle | -- |
10981794 | Stable aqueous dispersion of carbon | YAZAKI CORPORATION |
10981833 | Multi-layered ceramic electronic component and method for manufacturing the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10982101 | Stabilization of carbon nanotube coatings | BATTELLE MEMORIAL INSTITUTE |
10982120 | Thermocurable electroconductive adhesive | THREEBOND CO., LTD. |
10982161 | Process for producing high-carbon biogenic reagents | CARBON TECHNOLOGY HOLDINGS, LLC |
10982305 | Samarium-containing soft magnetic alloys | -- |
10982318 | Arc evaporation source | KOBE STEEL, LTD. |
10982320 | Device and method for producing defined properties of gradient layers in a system of multilayered coatings in sputtering installations | GRENZEBACH MASCHINENBAU GMBH |
10982321 | Homogeneous HiPIMS coating method | OERLIKON SURFACE SOLUTIONS AG, PFÄFFIKON |
10982323 | Conductive thin film manufacturing method | ULV AC, INC. |
10982325 | Fluid distributing device for a thin-film deposition apparatus, related apparatus and methods | PICOSUN OY |
10982329 | Insulation-coated oriented magnetic steel sheet and method for manufacturing same | JFE STEEL CORPORATION |
10982331 | Method for forming ceramic coating having improved plasma resistance and ceramic coating formed thereby | IONES CO., LTD. |
10982345 | Tin-plated product and method for producing same | DOWA METALTECH CO., LTD. |
10982426 | Integrated structural member | -- |
10982587 | Pre-chamber type internal combustion engine | TOYOTA JIDOSHA KABUSHIKI KAISHA |
10982633 | Fuel pump solenoid assembly method | CONTINENTAL AUTOMOTIVE SYSTEMS, INC. |
10982634 | Pump valve configuration and assembly method | CONTINENTAL AUTOMOTIVE SYSTEMS, INC. |
10982656 | Wind turbine with lightning protection system | LM WP PATENT HOLDING A/S |
10982685 | Heat dissipation device | -- |
10982785 | Circuit for controlling the current in inductive loads and control method therefor | STMICROELECTRONICS S.R.L. |
10982906 | Heat pipe with non-condensable gas | -- |
10982974 | Magnet, magnet structure, and rotational angle detector | TDK CORPORATION |
10982988 | Sensor adapter | ENDRESS + HAUSER SE+CO. KG |
10982989 | Fill level measuring device | KROHNE MESSTECHNIK GMBH |
10983011 | Lifetime determining technique for a solid electrolytic capacitor and system for the same | AVX CORPORATION |
10983012 | Temperature sensor | MURATA MANUFACTURING CO., LTD. |
10983013 | Temperature sensing body | HITACHI, LTD. |
10983075 | Device and method for analysing a defect of a photolithographic mask or of a wafer | CARL ZEISS SMT GMBH |
10983099 | Method for quantitative detection of trace polylactic acid microplastics in environmental sample | NANKAI UNIVERSITY |
10983148 | End plug sensor device with voltage divider and test point features | 3M INNOVATIVE PROPERTIES COMPANY |
10983156 | Panel for audible monitoring of electrical components and the detection of electrical faults | IRISS HOLDINGS, INC. |
10983171 | Signal transmission circuit, battery monitoring device, and battery monitoring method | LAPIS SEMICONDUCTOR CO., LTD. |
10983175 | Contact element adapted for connection with or within a terminal measuring device, and terminal measuring system | ROHDE & SCHWARZ GMBH & CO. KG |
10983181 | Magnetic sensor | TDK CORPORATION |
10983182 | Ultrasensitive magnetic tunneling junction sensor | BROWN UNIVERSITY |
10983192 | Scalable polarimetric phased array transceiver | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10983193 | Communication unit, integrated circuits and methods for cascading integrated circuits | NXP B.V. |
10983194 | Metasurfaces for improving co-site isolation for electronic warfare applications | HRL LABORATORIES, LLC |
10983205 | Redundant frequency modulators in radar system | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
10983260 | Third-harmonic generating apparatus for laser-radiation having polarization loop | COHERENT, INC. |
10983263 | Diffractive waveguide and eyepiece having image multiplying grating overlapping with outcoupling grating | MAGIC LEAP, INC. |
10983266 | Light bar and display device | -- |
10983292 | QSFP-DD backshell | MELLANOX TECHNOLOGIES, LTD. |
10983378 | Display device and assembling and disassembling method therefor | SUZHOU RAKEN TECHNOLOGY CO., LTD. |
10983494 | Modular field device having a display/operating device containing a radio module and an internal power supply | VEGA GRIESHABER KG |
10983510 | Operation state monitoring apparatus, learning data generation apparatus, method and program | OMRON CORPORATION |
10983548 | Electronic device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10983550 | Illumination switch device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10983558 | Biometric sensor and device including the same | SAMSUNG ELECTRONICS CO., LTD. |
10983567 | Keyboard magnetic guard rails | DELL PRODUCTS L.P. |
10983599 | Method for manufacturing keycaps of capped keyboard apparatus | -- |
10983615 | Patterning of a composition comprising silver nanowires | HERAEUS DEUTSCHLAND GMBH & CO. KG |
10983642 | Using driven shield and touch elements lock algorithm for achieving liquid tolerant capacitive touch solution | TEXAS INSTRUMENTS INCORPORATED |
10983653 | Conductive film, touch panel, and method for manufacturing conductive film | FUJIFILM CORPORATION |
10983669 | Device for displaying data associated with operation of a plurality of electronic component mounting machines at a production site | FUJI CORPORATION |
10984212 | Fingerprint detection device | -- |
10984306 | Battery-based neural network weights | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10984615 | Passive entry/passive start access systems with tone exchange sniffing | DENSO INTERNATIONAL AMERICA, INC. |
10984920 | Stable dispersions of monocrystalline nanometric silver particles | P.V. NANO CELL LTD. |
10984921 | Conductive paste | SEKISUI CHEMICAL CO., LTD. |
10984922 | Insulated electric wire, method for manufacturing same, and coil | MITSUBISHI MATERIALS CORPORATION |
10984923 | LCDI power cord | TOWER MANUFACTURING CORP. |
10984924 | Guarded coaxial cable assembly | HOLLAND ELECTRONICS, LLC |
10984925 | Electric wire twisting device and electric wire twisting method | SHINMAYWA INDUSTRIES, LTD. |
10984926 | Support structure and layout for a HVDC disconnector | GENERAL ELECTRIC TECHNOLOGY GMBH |
10984927 | PTC thermistor switch for electric motors | ELECTRICA S.R.L. |
10984928 | Magnetodielectric y-phase strontium hexagonal ferrite materials formed by sodium substitution | SKYWORKS SOLUTIONS, INC. |
10984929 | Rare earth magnet | TDK CORPORATION |
10984930 | Method for producing sintered R—T—B based magnet and diffusion source | HITACHI METALS, LTD. |
10984931 | Magnetic copper alloys | MATERION CORPORATION |
10984932 | Amorphous soft magnetic alloy and inductance component using the same | TOKIN CORPORATION |
10984933 | Superparamagnetic iron cobalt ternary alloy and silica nanoparticles of high magnetic saturation and a magnetic core containing the nanoparticles | TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC. |
10984934 | Fast inductive heaters for active quench protection of superconducting coil | FLORIDA STATE UNIVERSITY RESEARCH FOUNDATION, INC. |
10984935 | Superconducting dipole magnet structure for particle deflection | HEFEI INSTITUTES OF PHYSICAL SCIENCE, CHINESE ACADEMY OF SCIENCES |
10984936 | Electropermanent magnet array | ALTIUS SPACE MACHINES INC. |
10984937 | Electromagnetic tool for transferring micro devices and methods of fabrication | LUXNOUR TECHNOLOGIES INC. |
10984938 | Magnetoresistance effect device | TDK CORPORATION |
10984939 | Multilayer coil component | TDK CORPORATION |
10984940 | Compression housing for a laminate core of an inductive current transformer | SCHWEITZER ENGINEERING LABORATORIES, INC. |
10984941 | Inductor element | TDK CORPORATION |
10984942 | Coil component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10984943 | Electronic device | TDK CORPORATION |
10984945 | Transformer and resonant circuit having same | OMRON CORPORATION |
10984946 | Reducing magnetic flux density proximate to a wireless charging pad | WITRICITY CORPORATION |
10984947 | Contactless inductive energy transmission device and method | WEIDMüLLER INTERFACE GMBH & CO. KG |
10984948 | Method of manufacturing inductors in BEOL with particulate magnetic cores | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10984949 | Resin molded substrate and mounting structure for capacitor | FANUC CORPORATION |
10984950 | Method of manufacturing electronic device and the same | TDK CORPORATION |
10984951 | Multilayer capacitor having dummy electrodes on corners of dielectric layers | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10984952 | Capacitor | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10984953 | Electronic device assembly | TDK CORPORATION |
10984954 | Capacitor array | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10984955 | Electronic component structures with reduced microphonic noise | KEMET ELECTRONICS CORPORATION |
10984956 | Multilayer ceramic capacitor, package of multilayer ceramic capacitor and component mounting circuit substrate | TAIYO YUDEN CO., LTD. |
10984957 | Printed circuit board embedded capacitor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10984958 | Capacitive energy storage device | CARVER SCIENTIFIC, INC. |
10984959 | Quantum dot-sensitized solar cell and method of making the same | UNITED ARAB EMIRATES UNIVERSITY |
10984960 | Electrolytic capacitor | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10984961 | Hybrid capacitor and manufacturing method thereof | NIPPON CHEMI-CON CORPORATION |
10984962 | Sensor and supercapacitor based on graphene polypyrrole 3D porous structure, and integrated device including the same | KOREA UNIVERSITY RESEARCH AND BUSINESS FOUNDATION |
10984963 | Carbonaceous material, carbonaceous material-containing electrode material for electric double layer capacitor, electrode for electric double layer capacitor, and electric double layer capacitor | KURARAYCO., LTD. |
10984964 | Flexible supercapacitors and devices containing the same | BOARD OF REGENTS, THE UNIVERSITY OF TEXAS SYSTEM |
10984965 | Fully biodegradable supercapacitor and method for manufacturing same | KOREA UNIVERSITY RESEARCH AND BUSINESS FOUNDATION |
10984966 | Configurable micro-electro-mechanical systems (MEMS) transfer switch and methods | LOCKHEED MARTIN CORPORATION |
10984967 | Retrofit switch | LEEDARSON AMERICA INC. |
10984968 | Keyswitch structure | -- |
10984969 | Uniform illumination of keys on a flexible substrate | APPLE INC. |
10984970 | Keyboard | -- |
10984971 | Switch operation mechanism | KABUSHIKI KAISHA TOKAI RIKA DENKI SEISAKUSHO |
10984972 | Method and apparatus for a printed circuit board | GE AVIATION SYSTEMS LIMITED |
10984973 | Electric switch provided with an arc-blasting unit | GENERAL ELECTRIC TECHNOLOGY GMBH |
10984974 | Line side power, double break, switch neutral electronic circuit breaker | SCHNEIDER ELECTRIC USA, INC. |
10984975 | Installation structure of thermal fuse | MANDO CORPORATION |
10984976 | Microfabricated ion trap chip with an integrated microwave antenna | NATIONAL TECHNOLOGY & ENGINEERING SOLUTIONS OF SANDIA, LLC |
10984977 | Particle beam system and method for operating a particle beam system | CARL ZEISS MICROSCOPY GMBH |
10984978 | Multiple electron beam inspection apparatus and multiple electron beam inspection method | NUFLARE TECHNOLOGY, INC. |
10984979 | Charged particle detector and charged particle beam apparatus | HITACHI HIGH-TECH CORPORATION |
10984980 | Charged particle beam device for imaging vias inside trenches | HITACHI HIGH-TECH CORPORATION |
10984981 | Charged particle beam device having inspection scan direction based on scan with smaller dose | HITACHI HIGH-TECH CORPORATION |
10984982 | Charged particle beam optical apparatus, exposure apparatus, exposure method, control apparatus, control method, information generation apparatus, information generation method and device manufacturing method | NIKON CORPORATION |
10984983 | Particle beam system and method for operating a particle beam system | CARL ZEISS MICROSCOPY GMBH |
10984984 | Plasma source and method for removing materials from substrates utilizing pressure waves | AP SOLUTIONS, INC. |
10984985 | RF impedance matching network | RENO TECHNOLOGIES, INC. |
10984986 | Impedance matching network and method | RENO TECHNOLOGIES, INC. |
10984987 | Showerhead faceplate having flow apertures configured for hollow cathode discharge suppression | LAM RESEARCH CORPORATION |
10984988 | Method of manufacturing ring-shaped member and ring-shaped member | THINKON NEW TECHNOLOGY JAPAN CORPORATION |
10984989 | Charge neutralizer and plasma generator | KASUGA DENKI, INC. |
10984990 | Electrode assembly | APPLIED MATERIALS, INC. |
10984991 | Substrate processing apparatus | KOKUSAI ELECTRIC CORPORATION |
10984992 | Sputtering target | JX NIPPON MINING & METALS CORPORATION |
10984993 | Plasma processing apparatus | BEIJING NAURA MICROELECTRONICS EQUIPMENT CO., LTD. |
10984994 | Deposition apparatus and physical vapor deposition chamber | BEIJING NAURA MICROELECTRONICS EQUIPMENT CO., LTD. |
10984995 | Hybrid solar generator | GE AVIATION SYSTEMS LLC |
10984996 | Background subtraction-mediated data-dependent acquistion | MASSDEFECT TECHNOLOGIES, LLC |
10984997 | Mass spectrometer with main voltage generating unit and auxiliary power supply | SHIMADZU CORPORATION |
10984998 | Mass spectrometer | SHIMADZU CORPORATION |
10984999 | Device for detecting charged particles and an apparatus for mass spectrometry incorporating the same | -- |
10985000 | Chemical analyzer with membrane | INFICON, INC. |
10985001 | Rapid online analyzer for 14C-AMS | INSTITUTE OF EARTH ENVIRONMENT, CHINESE ACADEMY OF SCIENCES |
10985002 | Ionization sources and methods and systems using them | PERKINELMER HEALTH SCIENCES, INC. |
10985003 | Analysis method for determining halogens in geological samples by ICP-MS | CHINA UNIVERSITY OF GEOSCIENCES, WUHAN |
10985004 | Mass spectrometer | SHIMADZU CORPORATION |
10985029 | Substrate processing apparatus and substrate processing method | TOKYO ELECTRON LIMITED |
10985034 | Semiconductor processing device | BEIJING NAURA MICROELECTRONICS EQUIPMENT CO., LTD. |
10985045 | Electrostatic chuck mechanism and semiconductor processing device having the same | BEIJING NAURA MICROELECTRONICS EQUIPMENT CO., LTD. |
10985078 | Sensor and adjuster for a consumable | LAM RESEARCH CORPORATION |
10985090 | Methods of manufacturing a thin film resistor with ends overlapped by interconnect pads | -- |
10985108 | Compositions for gap coating and/or filling in or between electronic packages by capillary flow and methods for the use thereof | HENKEL IP & HOLDING GMBH |
10985109 | Shielded semiconductor packages with open terminals and methods of making via two-step process | STATS CHIPPAC PTE. LTD. |
10985111 | Electronic assembly, electronic apparatus including the same and method for fabricating electronic assembly | 3M INNOVATIVE PROPERTIES COMPANY |
10985128 | Anisotropic conductive film | DEXERIALS CORPORATION |
10985143 | Micro assembled LED displays and lighting elements | X DISPLAY COMPANY TECHNOLOGY LIMITED |
10985186 | Display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10985210 | Nonvolatile storage device | TOSHIBA MEMORY CORPORATION |
10985309 | Multilayer ceramic electronic component and method for manufacturing same | MURATA MANUFACTURING CO., LTD. |
10985312 | Methods of fabricating magneto-resistive random-access memory (MRAM) devices with self-aligned top electrode via and structures formed thereby | -- |
10985319 | Method of forming an apparatus comprising perovskite | EMBERION OY |
10985326 | Compounds comprising triazine group, fluorene-group and aryl group | NOVALED GMBH |
10985354 | Separator for electricity storage devices, electrode body using same, and electricity storage device | ASAHI KASEI KABUSHIKI KAISHA |
10985362 | Electrochemical device, negative electrode used for same, and method for manufacturing electrochemical device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10985364 | Pliable carbonaceous pocket composite structure, method for preparing the same, electrode, including the same, and energy storage device including the electrode | KOREA ADVANCED INSTITUTE OF SCIENCE AND TECHNOLOGY |
10985389 | Methods and devices for temperature controlled fuel cell | TACIT INTELLIGENCE LLC |
10985406 | All solid-state secondary battery, electrode sheet for battery, method for manufacturing electrode sheet for battery, solid electrolyte composition, method for producing solid electrolyte composition, and method for manufacturing all solid-state secondary battery | FUJIFILM CORPORATION |
10985414 | Power storage device including cooling member with bulging portion caused by evaporation of coolant | AUTONETWORKS TECHNOLOGIES, LTD. |
10985415 | Power storage module with cooling member | AUTONETWORKS TECHNOLOGIES, LTD. |
10985417 | Battery including heat dissipation plate and electronic device having the same | SAMSUNG ELECTRONICS CO., LTD. |
10985434 | Waveguide assembly including a waveguide element and a connector body, where the connector body includes recesses defining electromagnetic band gap elements therein | HUBER+SUHNER AG |
10985435 | Tunable probe for high-performance cross-coupled RF filters | THE BOEING COMPANY |
10985436 | Apparatus and method utilizing a transmission medium with hollow waveguide cores | AT&T INTELLECTUAL PROPERTY I, L.P. |
10985437 | Integrated coupling device, in particular of the 90° hybrid type | STMICROELECTRONICS SA |
10985438 | Vehicle window glass | NIPPON SHEET GLASS COMPANY, LIMITED |
10985439 | Retaining and/or fastening frame which is formed on or in a mobile-communications antenna and/or on or in an electronic and/or filter module, or which can be fastened thereon, and an associated mobile-communications antenna | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
10985440 | Small form factor pluggable unit with wireless capabilities | -- |
10985441 | Radio frequency filter module | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10985442 | Antenna apparatus, antenna module, and chip patch antenna of antenna apparatus and antenna module | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10985443 | Antenna packaging structure | -- |
10985444 | Antenna module and terminal | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
10985445 | Wirelessly reconfigurable antenna | INTERMEC IP CORP. |
10985446 | Physically reconfigurable structurally embedded vascular antenna and method of making | UNITED STATES OF AMERICA AS REPRESENTED BY THE SECRETARY OF THE AIR FORCE |
10985447 | Antenna device | GN HEARING A/S |
10985448 | Radio-frequency seal at interface of waveguide blocks | VIASAT, INC. |
10985449 | System and apparatus for driving antenna | VIASAT, INC. |
10985450 | Antenna built-in touch panel | SHARP KABUSHIKI KAISHA |
10985451 | Antenna module | SAMSUNG ELECTRONICS CO., LTD. |
10985452 | Antenna elements | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10985453 | Low profile high performance integrated antenna for small cell base station | INTEL CORPORATION |
10985454 | Base station antennas having bottom end caps with angled connector ports | COMMSCOPE TECHNOLOGIES LLC |
10985455 | EBG structure, EBG component, and antenna device | THE ANTENNA COMPANY INTERNATIONAL N.V. |
10985456 | Antenna system for wireless networks | SPRINT COMMUNICATIONS COMPANY L.P. |
10985457 | Method and apparatus for monitoring and compensating for environmental and other conditions affecting radio frequency liquid crystal | KYMETA CORPORATION |
10985458 | Antenna apparatus and terminal device | HUAWEI TECHNOLOGIES CO., LTD. |
10985459 | Antenna structure and wireless communication device using the same | -- |
10985460 | Antenna structure | -- |
10985461 | Terminal device | XI'AN YEP TELECOMMUNICATION TECHNOLOGY, LTD. |
10985462 | Distributed control system for beam steering applications | ETHERTRONICS, INC. |
10985463 | Loop type antenna and electronic device including same | SAMSUNG ELECTRONICS CO., LTD. |
10985464 | Miniaturized inductive loop antenna with distributed reactive loads | VERILY LIFE SCIENCES LLC |
10985465 | Multi-mode wireless antenna configurations | NUCURRENT, INC. |
10985466 | Terahertz detector and method based on N×M dielectric resonant antenna array | GUANGDONG UNIVERSITY OF TECHNOLOGY |
10985467 | Stacked patch antennas using dielectric substrates with patterned cavities | NOVATEL INC. |
10985468 | Half-patch launcher to provide a signal to a waveguide | THE BOEING COMPANY |
10985469 | Scanning antenna and method for manufacturing same | SHARP KABUSHIKI KAISHA |
10985470 | Curved near-field-focused slot array antennas | UNIVERSITY OF ELECTRONIC SCIENCE AND TECHNOLOGY OF CHINA |
10985471 | Radar device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10985472 | Waveguide slot array antenna | KMW INC. |
10985473 | Dielectric resonator antenna | CITY UNIVERSITY OF HONG KONG |
10985474 | Grounding connector with lock joint | PANDUIT CORP. |
10985475 | Electrical connection system with an additional leaf spring | TE CONNECTIVITY SERVICES GMBH |
10985476 | Cylindrical joint for connecting sub-cables of superconducting busbar | HEFEI INSTITUTES OF PHYSICAL SCIENCE, CHINESE ACAD |
10985477 | Removable terminal block assembly that permits an I/O base to operate in simplex mode or duplex mode | ROCKWELL AUTOMATION TECHNOLOGIES, INC. |
10985478 | Low profile lighting adapters | LUMENTURE, LLC |
10985479 | Compression-mounted electrical connector | SAMTEC, INC. |
10985480 | Transformation connector | GITECH INC. |
10985481 | Electric contacting device | HARTING ELECTRIC GMBH & CO. KG |
10985482 | Electrical connector having reduced crosstalk with improved signal transmission | -- |
10985483 | Electrical connector to increase connection reliability and control the impedance of the terminals | IRISO ELECTRONICS CO., LTD. |
10985484 | Electronic conductive interconnection for bridging across irregular areas in a textile product | FLEX LTD. |
10985485 | Tin-plated product and method for producing same | DOWA METALTECH CO., LTD. |
10985486 | Female terminal | AUTONETWORKS TECHNOLOGIES, LTD. |
10985487 | Electrical connector between a bus and a circuit breaker | ABB SCHWEIZ AG |
10985488 | Electrical contact, connector and method of manufacture | BECE PTE LTD |
10985489 | Connector | TYCO ELECTRONICS JAPAN G.K. |
10985490 | Safety socket | DONGGUAN KEBEN ELECTRICAL APPLIANCE CO., LTD. |
10985491 | Board connectors | MOLEX, LLC |
10985492 | Connector shroud configuration | APTIV TECHNOLOGIES LIMITED |
10985493 | Electrical connector | R.A. PHILLIPS INDUSTRIES, INC. |
10985494 | Vehicle connector | YAZAKI CORPORATION |
10985495 | High voltage connector with wet contacts | NORTHROP GRUMMAN SYSTEMS CORPORATION |
10985496 | Cable clamping device for sealed electrical connector and electrical connector | TYCO ELECTRONICS FRANCE SAS |
10985497 | Connecting device with multiple axial connectors | -- |
10985498 | Framing assembly with modular connectors | HYPERFRAME INC. |
10985499 | Electric connector | KYOCERA CORPORATION |
10985500 | Connector assembly | NICOMATIC |
10985501 | Lever-type connector | YAZAKI CORPORATION |
10985502 | Connector with pry preventing protrusion | SUMITOMO WIRING SYSTEMS, LTD. |
10985503 | Electrical connector providing reduced crosstalk with improved signal transmission | -- |
10985504 | Electrical connector and connector device | DAI-ICHI SEIKO CO., LTD. |
10985505 | Connector with exposed conductive contact | -- |
10985506 | Interface arrangement with protection against electromagnetic radiation and computer system using a method of assembling the same | FUJITSU CLIENT COMPUTING LIMITED |
10985507 | Plug connector part having a temperature-monitoring device | PHOENIX CONTACT E-MOBILITY GMBH |
10985508 | Water-proof explosion-free and electricity-free safety receptacle | -- |
10985509 | Safety grounded tree external wiring | NATIONAL CHRISTMAS PRODUCTS LLC |
10985510 | Connector with a sealing member | YAZAKI CORPORATION |
10985511 | Optimized power cord for transferring power to a transport climate control system | THERMO KING CORPORATION |
10985512 | Electronic device with a socket fixed in two perpendicular connecting axes | -- |
10985513 | Powered tree construction with rotation limiting | POLYGROUP MACAU LIMITED (BVI) |
10985514 | Coaxial cable connectors having port grounding | PPC BROADBAND, INC. |
10985515 | Surface mount connector and surface mount connector set | MURATA MANUFACTURING CO., LTD. |
10985516 | Outlet wall plate charger apparatus | -- |
10985517 | Apparatus for assembling cases made of thin plate | TYCO ELECTRONICS (SHANGHAI) CO. LTD. |
10985518 | Lasers with setback aperture | IRADION LASER, INC. |
10985519 | Active LMA optical fiber and laser system using the same | INSTITUT NATIONAL D'OPTIQUE |
10985520 | Long-distance fiber optic distributed acoustic sensing amplification system and method thereof | UNIVERSITY OF ELECTRONIC SCIENCE AND TECHNOLOGY OF CHINA |
10985521 | Ridge waveguide laser device | MITSUBISHI ELECTRIC CORPORATION |
10985522 | Laser apparatus | FANUC CORPORATION |
10985523 | Generation of VUV, EUV, and X-ray light using VUV-UV-VIS lasers | THE REGENTS OF THE UNIVERSITY OF COLORADO |
10985524 | High-power hybrid silicon-photonics laser | APPLE INC. |
10985525 | Transmitter module | SUMITOMO ELECTRIC DEVICE INNOVATIONS, INC. |
10985526 | Laser device and light-source device | FURUKAWA ELECTRIC CO., LTD. |
10985527 | Laser diode surface mounting structure | -- |
10985528 | Laser diodes separated from a plurality of laser bars | OSRAM OLED GMBH |
10985529 | Semiconductor laser diode | OSRAM OLED GMBH |
10985530 | Tunable semiconductor laser and operation method thereof | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
10985531 | Intensity noise mitigation for vertical-cavity surface emitting lasers | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
10985532 | Semiconductor optical waveguide and optical integrated element | MITSUBISHI ELECTRIC CORPORATION |
10985533 | Semiconductor laser device, semiconductor laser module, and laser light source system for welding | PANASONIC SEMICONDUCTOR SOLUTIONS CO., LTD. |
10985534 | Power and spectral monitoring in wavelength beam combining laser systems | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10985535 | Method for manufacturing spark plug with peripheral edge of proximal end surface of sealing distal-end layer prevented from significantly rising toward proximal end | DENSO CORPORATION |
10985536 | Portable air ionizer | BIONIC PRODUCTS PTY LTD |
10985538 | System and method for reducing air volume in a splitter | LEONI BORDNETZ-SYSTEME GMBH |
10985541 | Wire harness | SUMITOMO WIRING SYSTEMS, LTD. |
10985543 | Hanging assembly and display apparatus having the same | -- |
10985544 | Subsea connector with u-shaped configuration | NEXANS |
10985545 | Electrical switching device and associated configuration and diagnostic methods | SCHNEIDER ELECTRIC INDUSTRIES SAS |
10985552 | Circuit breakers | BOURNS, INC. |
10985578 | Tablet storage and charging cabinet | -- |
10985580 | Battery pack | SAMSUNG SDI CO., LTD. |
10985603 | Three-source automatic redundant bypass-isolation switches and related power systems and methods | EATON INTELLIGENT POWER LIMITED |
10985612 | Power supplies for pool and spa equipment | HAYWARD INDUSTRIES, INC. |
10985613 | Wireless inductive power transfer | KONINKLIJKE PHILIPS N.V. |
10985614 | Modular and efficient wireless power transfer systems | -- |
10985615 | Wireless power control method and device for wireless charging | LG INNOTEK CO., LTD. |
10985616 | Contactless transmitter | STMICROELECTRONICS (GRAND OUEST) SAS |
10985620 | Devices to be used as magnets | ACCELERATED SYSTEMS INC. |
10985628 | Electric drive device | MITSUBISHI ELECTRIC CORPORATION |
10985648 | Systems and methods for power monitoring and control | APPLIED ENERGY LLC |
10985669 | Phase module for a power converter | SIEMENS AKTIENGESELLSCHAFT |
10985672 | Inverter device having three phase sections | MEIDENSHA CORPORATION |
10985699 | Differential constructive wave oscillator device | NORTH CAROLINA A&T STATE UNIVERSITY |
10985724 | Transformer-based wideband filter with ripple reduction | APPLE INC. |
10985735 | Impedance matching device and impedance matching method | DAIHEN CORPORATION |
10985741 | Phononic frequency synthesizer | UNIVERSITY OF FLORIDA RESEARCH FOUNDATION, INCORPORATED |
10985802 | System and method for high speed data communications | AT&T INTELLECTUAL PROPERTY I, L.P. |
10985818 | Method, system and apparatus | NOKIA SOLUTIONS AND NETWORKS OY |
10985828 | System and method for beam switching and reporting | QUALCOMM INCORPORATED |
10985833 | Coverage area adjustment to adapt satellite communications | VIASAT, INC. |
10986005 | Technologies for dynamically managing resources in disaggregated accelerators | INTEL CORPORATION |
10986423 | Network device with compact chassis | ARISTA NETWORKS, INC. |
10986433 | Eartips for coupling via wireform attachment mechanisms | APPLE INC. |
10986434 | Headphone | BOSE CORPORATION |
10986510 | Electronic devices having sensor-augmented wireless link management | APPLE INC. |
10986587 | Controlling radio frequency (RF) output power of antennas | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10986665 | Quasi-colocation for LBT | QUALCOMM INCORPORATED |
10986688 | Dynamically reconfiguring connection types associated with a wireless networking device | MOTOROLA MOBILITY LLC |
10986701 | Movable core induction heating apparatus | INDUSTRY-ACADEMIC COOPERATION FOUNDATION CHANGWON NATIONAL UNIVERSITY |
10986716 | Anti-fouling load arrangement and method of installing multiple anti-fouling load arrangements | KONINKLIJKE PHILIPS N.V. |
10986723 | Heat sink tray for printed circuit boards | INGERSOLL-RAND INDUSTRIAL U.S., INC. |
10986725 | Light-emitting module and display device | -- |
10986728 | Multilayer board | MURATA MANUFACTURING CO., LTD. |
10986739 | Method of making printed circuit board structure including a closed cavity | SKYWORKS SOLUTIONS, INC. |
10986740 | Solid state drive device and data storage system including the same | SAMSUNG ELECTRONICS CO., LTD. |
10986741 | Electronic control device | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
10986742 | Vent/drain covers, vented/drained enclosures, and methods of protecting vent/drain features in electronic assemblies | HAMILTON SUNDSTRAND CORPORATION |
10986743 | Expansion card interface for high-frequency signals | -- |
10986744 | Window substrate and display device having the same | SAMSUNG DISPLAY CO., LTD. |
10986745 | Insulated housing having a cylindrical structure | DELTA ELECTRONICS (SHANGHAI) CO., LTD. |
10986746 | Power supply device with PCB positioning function and method for manufacturing the same | -- |
10986747 | Fixing assembly, casing assembly, and electronic device | -- |
10986748 | Input/output system | ROCKWELL AUTOMATION TECHNOLOGIES, INC. |
10986749 | Switch cabinet for exhaust-gas measurement installations | AVL EMISSION TEST SYSTEMS GMBH |
10986750 | Heat exchange device in directed flow system | HAMILTON SUNDSTRAND CORPORATION |
10986751 | External air baffle nozzle | -- |
10986752 | Electronic device filter door | AMAZON TECHNOLOGIES, INC. |
10986753 | Water-assisted air cooling for a row of cabinet | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10986754 | Circuit board module and heat-dissipating board structure thereof | -- |
10986755 | Water cooler assembly and system | ARCTIC (HK) LTD |
10986756 | Cooling apparatus for an electrical component | HUGHES NETWORK SYSTEMS LLC |
10986757 | Hardened optical platform with pluggable optics | CIENA CORPORATION |
10986758 | Charger | MAKITA CORPORATION |
10986759 | Power conversion systems and devices, methods of forming power conversion systems and devices, and methods of using and monitoring power conversion systems and devices | -- |
10986760 | Component supply device, and method for moving pallet | FUJI CORPORATION |
10986761 | Board inspecting apparatus and board inspecting method using the same | KOH YOUNG TECHNOLOGY INC. |
10986908 | Case for electronic device | RESEARCH & BUSINESS FOUNDATION SUNGKYUNKWAN UNIVERSITY |
10986938 | Chair with copper infused mesh | VIA, INC. |
10986940 | Modular point-of-purchase (POP) display | XEROX CORPORATION |
10987091 | System and method for catheter connections | BIOSENSE WEBSTER (ISRAEL) LTD. |
10987154 | Electrosurgical generators and sensors | COVIDIEN LP |
10987181 | Handling device for a microinvasive medical instrument | KARL STORZ SE & CO. KG |
10987519 | Implantable medical devices and related connector enclosure assemblies utilizing conductors electrically coupled to feedthrough pins | MEDTRONIC, INC. |
10987628 | Water control in dielectric fluid layers | PALO ALTO RESEARCH CENTER INCORPORATED |
10987691 | Method and apparatus for controlling pattern-width of coating liquid dispensed from a nozzle | TTNS INC. |
10987705 | Method and system for the removal and/or avoidance of contamination in charged particle beam systems | ASML NETHERLANDS B.V. |
10987729 | Fe-based amorphous alloy ribbon manufacturing method, Fe-based amorphous alloy ribbon manufacturing device, and wound body of Fe-based amorphous alloy ribbon | HITACHI METALS, LTD. |
10987735 | Spheroidal titanium metallic powders with custom microstructures | 6K INC. |
10987752 | Additive manufacturing of three-dimensional articles | ARCAM AB |
10987815 | Methods and systems for electroadhesion-based manipulation and mechanical release in manufacturing | GRABIT, INC. |
10987832 | Method and an apparatus for making a three-dimensional object and a membrane therefore | ADAPA APS |
10987893 | Thermally conductive thin film sheet and article comprising same | INDONG ADVANCED MATERIALS, INC. |
10988029 | Hazardous voltage interlock loop system | VOLVO TRUCK CORPORATION |
10988041 | Cable heat exchanger for a power connector | TE CONNECTIVITY CORPORATION |
10988076 | Steering column control module | BCS AUTOMOTIVE INTERFACE SOLUTIONS US LLC |
10988090 | Wire harness system | YAZAKI CORPORATION |
10988091 | Erase-replace OTA smart power strategy | FORD GLOBAL TECHNOLOGIES, LLC |
10988092 | Vehicular circuit body | YAZAKI CORPORATION |
10988093 | Vehicular static eliminating device and vehicle | SHARP KABUSHIKI KAISHA |
10988489 | Organoboranes useful as electrolytes for lithium batteries | CLARK ATLANTA UNIVERSITY |
10988586 | Vinylidene fluoride resin film | KUREHA CORPORATION |
10988620 | Composite element comprising a biphasic silica and carbon nanotube-based material | LUXEMBOURG INSTITUTE OF SCIENCE AND TECHNOLOGY (LIST) |
10988694 | Flame retardant resin composition, and formed part and wiring material each using the same | FURUKAWA ELECTRIC CO., LTD. |
10988758 | Magnetic nanoparticles for nucleic acid purification | ROCHE MOLECULAR SYSTEMS, INC. |
10988822 | Grain-oriented electrical steel sheet and method for manufacturing same | JFE STEEL CORPORATION |
10988957 | Configurable electrical connector key for electronic door locks | SARGENT MANUFACTURING COMPANY |
10988998 | Electric driven hydraulic fracking operation | NATIONAL SERVICE ALLIANCE—HOUSTON LLC |
10989098 | Thermally insulated exhaust system components | 3M INNOVATIVE PROPERTIES COMPANY |
10989154 | Fuel injector with an idle stroke | VITESCO TECHNOLOGIES GMBH |
10989162 | Combustion environment diagnostics | WEST VIRGINIA UNIVERSITY |
10989221 | Cooling system for streamlined airflow | -- |
10989286 | Multiband antenna transmission device | SHENZHEN ZHAOWEI MACHINERY & ELECTRONICS CO., LTD. |
10989352 | Display apparatus | SONY CORPORATION |
10989381 | Kinetic flame device | L&L CANDLE COMPANY, LLC |
10989400 | Modular smart quick connect device for electrical fixtures | -- |
10989401 | Hardscape fixtures and deployment | WANGS ALLIANCE CORPORATION |
10989405 | Luminous fan and light guide member | -- |
10989450 | Solid-state optical refrigerator for cryogenic cooling of payloads | TRIAD NATIONAL SECURITY, LLC |
10989533 | Laser line generating device | STANLEY BLACK & DECKER INC. |
10989564 | Transfer equipment for a vacuum chamber | DMT CORPORATION |
10989609 | Thermistor film and method of depositing the same | FLOSFIA INC. |
10989642 | Apparatus for and method of mass analysis | HITACHI HIGH-TECH SCIENCE CORPORATION |
10989681 | Grid coatings for capture of proteins and other compounds | PURDUE RESEARCH FOUNDATION |
10989690 | Trapped ion mobility spectrometer with high ion storage capacity | -- |
10989691 | Photoionization detector ultraviolet lamp | HONEYWELL INTERNATIONAL INC. |
10989698 | High resolution MSI based quantification | THERMO FISHER SCIENTIFIC (BREMEN) GMBH |
10989737 | Universal mate-in cable interface system | ZIOTA TECHNOLOGY INC. |
10989740 | Closed-loop current transducer | LEM INTERNATIONAL SA |
10989799 | Radar and antenna built in radar | BITSENSING INC. |
10989802 | Altimeter with high-resolution radar | HONEYWELL INTERNATIONAL INC. |
10989813 | Distance measurement apparatus | DENSO CORPORATION |
10989829 | Method for operating a multi-frequency metal detector and multi-frequency metal detector | METTLER-TOLEDO SAFELINE LTD. |
10989836 | Passive microwave sounder for satellite, having fixed reflection plate | KOREA AEROSPACE RESEARCH INSTITUTE |
10989843 | Transparent electrode-attached complex, transfer film, method for manufacturing transparent electrode-attached complex, and electrostatic capacitance-type input device | FUJIFILM CORPORATION |
10989933 | Connector, assistive device and wearable device | NEXTVPU (SHANGHAI) CO., LTD. |
10989966 | Transparent semiconductor, light control body, and electronic device | TDK CORPORATION |
10989983 | Amplification waveguide device and amplification beam steering apparatus including the same | SAMSUNG ELECTRONICS CO., LTD. |
10989984 | Optical phased arrays including member to correct phase error generated in manufacturing processes and method of correcting phase using the same | SAMSUNG ELECTRONICS CO., LTD. |
10989990 | Image capturing apparatus and accessories | CANON KABUSHIKI KAISHA |
10989994 | Projector having a refrigerant generator | SEIKO EPSON CORPORATION |
10990089 | Machine learning device learning failure occurrence mechanism of laser device | FANUC CORPORATION |
10990127 | Electronic device display structures | APPLE INC. |
10990130 | Flexible display panel and film-like structure | BOE TECHNOLOGY GROUP CO., LTD. |
10990135 | Electronic device with component-protecting structure | LSIS CO., LTD. |
10990136 | Wireless communication device and case assembly | -- |
10990141 | Connection between parallel system board and power board | FOXCONN (KUNSHAN) COMPUTER CONNECTOR CO., LTD. |
10990142 | Unlocking structure and electronic device | -- |
10990143 | PC module assembly | GUANGZHOU SHIYUAN ELECTRONICS CO., LTD. |
10990150 | VCONN in power delivery chargers | TEXAS INSTRUMENTS INCORPORATED |
10990234 | Touch sensor including antenna | DONGWOO FINE-CHEM CO., LTD. |
10990309 | Technologies for coordinating disaggregated accelerator device resources | INTEL CORPORATION |
10990710 | Electronic apparatus | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10990770 | Portable object and NFC antenna thereof | ORANGE |
10990871 | Contact switch, contact switch system, and interactive building block system carrying the contact switch | -- |
10990959 | Electronic device and transaction method using the same | SAMSUNG ELECTRONICS CO., LTD. |
10991182 | Multi-axis polarized RF antenna assemblies for passive entry/passive start systems | DENSO INTERNATIONAL AMERICA, INC. |
10991194 | Systems and methods for synchronously illuminating lighting components of an electronic gaming machine | ARISTOCRAT TECHNOLOGIES AUSTRALIA PTY LIMITED |
10991476 | Thermoplastic carbon composite electrodes | COLORADO STATE UNIVERSITY RESEARCH FOUNDATION |
10991477 | Insulated electrical cable | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
10991478 | Insulated wire | DAIKIN INDUSTRIES, LTD. |
10991479 | Electric power cable | ELECTRIC POWER RESEARCH INSTITUTE, INC. |
10991480 | Wire harness | AUTONETWORKS TECHNOLOGIES, LTD. |
10991481 | Polymer-coated wires | ZEUS INDUSTRIAL PRODUCTS, INC. |
10991482 | Cable | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10991483 | Assembled wire, method of producing the same, and electrical equipment using the same | ESSEX FURUKAWA MAGNET WIRE JAPAN CO., LTD. |
10991484 | Multi-conductor cable for vehicle and method for manufacturing multi-conductor cable for vehicle | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
10991485 | Coaxial cable | HITACHI METALS, LTD. |
10991486 | Aluminum wire manufacturing method | YAZAKI CORPORATION |
10991487 | Cable and producing method therefor | HITACHI METALS, LTD. |
10991488 | Shed housing | JIANGSU SHENMA ELECTRIC CO., LTD. |
10991489 | Insulation system for a tool, tool, and method for mounting the insulation system on the tool | ROBERT BOSCH GMBH |
10991490 | Porous stabilized beds, methods of manufacture thereof and articles comprising the same | UNIVERSITY OF FLORIDA RESEARCH FOUNDATION, INC. |
10991491 | Permanent magnet, and motor and power generator using the same | KABUSHIKI KAISHA TOSHIBA |
10991492 | R-T-B based permanent magnet | TDK CORPORATION |
10991493 | Rare earth magnet | TDK CORPORATION |
10991494 | Non-oriented electrical steel sheet | NIPPON STEEL CORPORATION |
10991495 | Soft magnetic alloy and magnetic component | TDK CORPORATION |
10991496 | Inductor | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10991497 | Apparatus and methods for controlling a charged particle in a magnetic field | ADAPTAS SOLUTIONS PTY LTD |
10991498 | Sine pulse actuation, and associated systems and methods | PACCAR INC |
10991499 | Drive waveform adjustments to compensate for transducer resonant frequency | CIRRUS LOGIC, INC. |
10991500 | Inductor component and method for manufacturing same | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10991501 | Transformer and power supply device including the same | SOLUM CO., LTD. |
10991502 | Bobbin wound electrical reactor assembly | TCI, LLC |
10991503 | Method of fabricating an inductor | -- |
10991504 | Magnetic assembly | DELTA ELECTRONICS (SHANGHAI) CO., LTD. |
10991505 | Coil unit connection structure | THK CO., LTD. |
10991506 | Shield for wireless charging, method of manufacturing same, and wireless charging device using same | HYUNDAI MOTOR COMPANY |
10991507 | Ignition coil for internal combustion engine | MITSUBISHI ELECTRIC CORPORATION |
10991508 | Film capacitor | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10991509 | Capacitor | MURATA MANUFACTURING CO., LTD. |
10991510 | Dielectric membrane and dielectric element | TDK CORPORATION |
10991511 | Dielectric composition and electronic component | TDK CORPORATION |
10991512 | Capacitor component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10991513 | Composite electronic component, composite electronic component package, circuit board, and method of producing a composite electronic component | TAIYO YUDEN CO., LTD. |
10991514 | Method for producing electrolytic capacitor | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10991515 | Solid electrolytic capacitor | MURATA MANUFACTURING CO., LTD. |
10991516 | Solid electrolytic capacitor | MURATA MANUFACTURING CO., LTD. |
10991517 | Molecular photon upconversion using organic-inorganic hybrid interfaces | THE FLORIDA STATE UNIVERSITY RESEARCH FOUNDATION, INC. |
10991518 | Vacuum-capacitor apparatus and method | GRANBLUETECH, L.L.C. |
10991519 | Flexible conductor for disconnector and the disconnector thereof | ABB POWER GRIDS SWITZERLAND AG |
10991520 | Key structure and keyboard using the same | LITE-ON ELECTRONICS (GUANGZHOU) LIMITED |
10991521 | Locking device for circuit breaker operation device | SHANGHAI LIANGXIN ELECTRICAL CO., LTD |
10991522 | Movable contact point, switch with movable contact point, and embossed tape for containing movable contact point | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10991523 | Keyboard device | -- |
10991524 | Information handling system keyboard discrete row illumination | DELL PRODUCTS L.P. |
10991525 | Electrical switch | SIMON, S.A.U. |
10991526 | Fuse cutout cover with variable roofs for different fuse cutouts | ECO ELECTRICAL SYSTEMS |
10991527 | Contact piece for a high-voltage circuit breaker and method for producing same | SIEMENS AKTIENGESELLSCHAFT |
10991528 | Gas-insulated load break switch and switchgear comprising a gas-insulated load break switch | ABB SCHWEIZ AG |
10991529 | Gas-blast circuit breaker | HITACHI, LTD. |
10991530 | Portable object comprising a near-field connection device | THE SWATCH GROUP RESEARCH AND DEVELOPMENT LTD |
10991531 | Electromagnetic relay | DENSO CORPORATION |
10991532 | Contact device and electromagnetic relay mounted with same | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10991533 | Medium voltage breaker conductor with an electrically efficient contour | EATON INTELLIGENT POWER LIMITED |
10991534 | Leakage protector | DONGGUAN |
10991535 | Miniature circuits breaker operating mechanism and miniature circuit breaker | XIAMEN HONGFA ELECTRICAL SAFETY & CONTROLS CO., LTD. |
10991536 | Electrical connection box | SUMITOMO WIRING SYSTEMS, LTD. |
10991537 | Vertical vacuum channel transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10991538 | High brightness x-ray reflection source | SIGRAY, INC. |
10991539 | X-ray tube and a conditioning method thereof | NANO-X IMAGING LTD. |
10991540 | Liquid crystal polymer for mounting x-ray window | MOXTEK, INC. |
10991541 | Detector for detecting incident electron beam | CANON KABUSHIKI KAISHA |
10991542 | Charged particle beam device | HITACHI HIGH-TECH CORPORATION |
10991543 | Charged particle beam device | HITACHI HIGH-TECH CORPORATION |
10991544 | Charged particle beam device, objective lens module, electrode device, and method of inspecting a specimen | ICT INTEGRATED CIRCUIT TESTING GESELLSCHAFT FüR HALBLEITERPRüFTECHNIK MBH |
10991545 | Method and device for spatial charged particle bunching | NEXGEN SEMI HOLDING, INC. |
10991546 | Isolated LINAC resonator pickup circuit | APPLIED MATERIALS, INC. |
10991547 | Method and device for a carrier proximity mask | APPLIED MATERIALS, INC. |
10991548 | Modular print head assembly for plasma jet printing | SPACE FOUNDRY INC. |
10991549 | Antenna and plasma deposition apparatus | TOKYO ELECTRON LIMITED |
10991550 | Modular recipe controlled calibration (MRCC) apparatus used to balance plasma in multiple station system | LAM RESEARCH CORPORATION |
10991551 | Cleaning method and plasma processing apparatus | TOKYO ELECTRON LIMITED |
10991552 | Cooling mechanism utilized in a plasma reactor with enhanced temperature regulation | APPLIED MATERIALS, INC. |
10991553 | Nanosecond pulser thermal management | EAGLE HARBOR TECHNOLOGIES, INC. |
10991554 | Plasma processing system with synchronized signal modulation | TOKYO ELECTRON LIMITED |
10991555 | Plasma processing device | SAMSUNG DISPLAY CO., LTD. |
10991556 | Adjustable extended electrode for edge uniformity control | APPLIED MATERIALS, INC. |
10991557 | Reaction chamber, dry etching machine and etching method | HKC CORPORATION LIMITED |
10991558 | Interactive analysis of mass spectrometry data including peak selection and dynamic labeling | PROTEIN METRICS INC. |
10991559 | Method for quantitative analysis of polymer using MALDI mass spectrometry, and method for manufacturing sample for MALDI mass spectrometry for quantitative analysis of polymer | LG CHEM, LTD. |
10991560 | Sample introduction system for spectrometers | MICROMASS UK LIMITED |
10991561 | Mass spectrometer vacuum interface method and apparatus | THERMO FISHER SCIENTIFIC (BREMEN) GMBH |
10991562 | Low cross-talk fast sample delivery system based upon acoustic droplet ejection | MICROMASS UK LIMITED |
10991563 | Molecular imaging of biological samples with sub-cellular spatial resolution and high sensitivity | VIRGIN INSTRUMENTS CORPORATION |
10991564 | Mass spectrometry probes and systems for ionizing a sample | PURDUE RESEARCH FOUNDATION |
10991565 | Ion analyzer | SHIMADZU CORPORATION |
10991566 | Time-of-flight mass spectrometer | SHIMADZU CORPORATION |
10991567 | Quadrupole devices | MICROMASS UK LIMITED |
10991568 | Ion resonance excitation operation method and device by applying a quadrupolar electric field combined with a dipolar electric field | BEIJING INSTITUTE OF TECHNOLOGY |
10991569 | Electrode arrangement for a discharge lamp, gas discharge lamp, protective film and method for providing a protective film on an electrode arrangement | OSRAM GMBH |
10991591 | Reactive ion etching apparatus | ULVAC, INC. |
10991606 | Purge stocker | MURATA MACHINERY, LTD. |
10991632 | Assembly process for circuit carrier and circuit carrier | AB MIKROELEKTRONIK GESELLSCHAFT MIT BESCHRAENKTER HAFTUNG |
10991654 | Inductive connection structure for use in an integrated circuit | STMICROELECTRONICS S.R.L. |
10991664 | Integrated fuse | STMICROELECTRONICS (ROUSSET) SAS |
10991665 | Package-level noise filtering for EMI RFI mitigation | INTEL CORPORATION |
10991672 | Cu alloy bonding wire for semiconductor device | NIPPON STEEL CHEMICAL & MATERIAL CO., LTD. |
10991674 | Electronic assembly and electronic system with impedance matched interconnect structures | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
10991835 | Hydrogen diffusion barrier for hybrid semiconductor growth | ARRAY PHOTONICS, INC. |
10991847 | Semiconducting devices containing quantum wells | ALLIANCE FOR SUSTAINABLE ENERGY, LLC |
10991873 | Semiconductor device and method for fabricating the same | -- |
10991874 | Magneto-ionic device with a solid state proton pump and methods for using the same | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
10991877 | Multi-state memory and method for manufacturing the same | INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES |
10991922 | Realization of a microelectronic device collector | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10991929 | Strain relief for flex foil | GENTHERM INC. |
10991931 | Energy storage apparatus | GS YUASA INTERNATIONAL, LTD. |
10991936 | Anode composition, method for preparing anode and lithium ion battery | ROBERT BOSCH GMBH |
10991997 | Energy storage container and heat dissipation system for the same | SUNGROW POWER SUPPLY CO., LTD. |
10992003 | Air-breathing aqueous sulfur rechargeable batteries | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
10992014 | Nonreciprocal circuit element and communication apparatus using the same | TDK CORPORATION |
10992015 | Coupling comprising a guide member embedded within a blind via of a post-wall waveguide and extending into a hollow tube waveguide | FUJIKURA LTD. |
10992016 | Multiplexer and combiner structures embedded in a mmwave connector interface | INTEL CORPORATION |
10992017 | Semiconductor package comprising chiplets disposed on a substrate which are electromagnetically coupled by dielectric waveguides and a computing networks formed therefrom | INTEL CORPORATION |
10992018 | Coaxial-waveguide-to-hollow- waveguide transition circuit | MITSUBISHI ELECTRIC CORPORATION |
10992019 | Power dividing circuit and power divider | NANNING FUGUI PRECISION INDUSTRIAL CO., LTD. |
10992020 | Dielectrically boosted very low frequency antenna | U.S. DEPARTMENT OF ENERGY |
10992021 | Cross talk and interference reduction for high frequency wireless interconnects | INTEL CORPORATION |
10992022 | Microwave antenna apparatus, packing and manufacturing method | SONY CORPORATION |
10992023 | Electronic device including antenna | SAMSUNG ELECTRONICS CO., LTD. |
10992024 | Radio-frequency localization techniques and associated systems, devices, and methods | HUMATICS CORPORATION |
10992025 | Antenna with extended range | VERILY LIFE SCIENCES LLC |
10992027 | Electronic device having an antenna | LENOVO (SINGAPORE) PTE. LTD. |
10992028 | Electronic device supporting signal radiation of antenna structure | SAMSUNG ELECTRONICS CO., LTD. |
10992029 | Multiple band antenna structures | FITBIT, INC. |
10992030 | Ultra-wideband MIMO antenna and terminal | AAC TECHNOLOGIES PTE. LTD. |
10992031 | Mobile communications antenna for mounting on a mast or wall-like support with at least two interchangeable amplifier modules | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
10992032 | Antenna apparatus for vehicle | LS MTRON LTD. |
10992033 | Antenna apparatus | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10992034 | Antenna element and antenna | SHENZHEN ANTOP TECHNOLOGY CO., LTD |
10992035 | Communications system | QUANTCOMM LLC |
10992036 | Portable communication device and antenna device with removeable matching circuit | MOTOROLA SOLUTIONS, INC. |
10992037 | Steerable antenna assembly | THE BOEING COMPANY |
10992038 | Method and apparatus for calibrating array antenna system for phase compensation | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
10992039 | Array antenna device | MITSUBISHI ELECTRIC CORPORATION |
10992040 | TFT substrate, scanning antenna comprising TFT substrate, and method for producing TFT substrate | SHARP KABUSHIKI KAISHA |
10992041 | Dual-frequency feed source assembly and dual-frequency microwave antenna | ROSENBERGER TECHNOLOGIES CO., LTD. |
10992042 | High-frequency transmission line | MURATA MANUFACTURING CO., LTD. |
10992043 | Antenna device and control method thereof | -- |
10992044 | Antenna system, communication terminal and base station | AAC TECHNOLOGIES PTE. LTD. |
10992045 | Multi-band planar antenna | NEPTUNE TECHNOLOGY GROUP INC. |
10992046 | Low profile high gain dual polarization UHF/VHF antenna | BAE SYSTEMS INFORMATION AND ELECTRONIC SYSTEMS INTEGRATION INC. |
10992047 | Compact folded dipole antenna with multiple frequency bands | NEPTUNE TECHNOLOGY GROUP INC. |
10992048 | Device and method for combined signal transmission or for combined signal transmission and energy transmission | MOLEX CVS DABENDORF GMBH |
10992049 | Elliptically polarized cavity backed wideband slot antenna | NOKIA SHANGHAI BELL CO., LTD. |
10992050 | Antenna device and array antenna device | MITSUBISHI ELECTRIC CORPORATION |
10992051 | Antenna and electronic device | BOE TECHNOLOGY GROUP CO., LTD. |
10992052 | Dielectric lens for antenna system | ASTRONICS AEROSAT CORPORATION |
10992053 | Radar antenna array with parasitic elements excited by surface waves | WAYMO LLC |
10992054 | Array antenna system | HUAWEI TECHNOLOGIES CO., LTD. |
10992055 | Component carrier with integrated antenna arrangement, electronic apparatus, radio communication method | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
10992056 | Slot antenna device | NIDEC CORPORATION |
10992057 | Electronic device having dual-band antennas mounted against a dielectric layer | APPLE INC. |
10992058 | Capacitively coupled patch antenna | TALLYSMAN WIRELESS INC. |
10992059 | Millimeter wave array antenna module and mobile terminal | AAC TECHNOLOGIES PTE. LTD. |
10992060 | Antenna structure and wireless communication device using the same | SHENZHEN NEXT GENERATION COMMUNICATIONS LIMITED |
10992061 | Elementary antenna comprising amplification chains for delivering signals to and amplifying signals arising from a planar radiating device thereof | THALES |
10992062 | Antenna, antenna array and base station | AAC TECHNOLOGIES PTE. LTD. |
10992063 | Antenna apparatus, method, and electronic apparatus | KABUSHIKI KAISHA TOSHIBA |
10992064 | Mobile device | -- |
10992065 | MIMO antenna and terminal | AAC TECHNOLOGIES PTE. LTD. |
10992066 | Broadband antenna | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
10992067 | Connection device for connection of an electrical line | PHOENIX CONTACT GMBH & CO. KG |
10992068 | Method for manufacturing solder with ground bar and coaxial cable array | HITACHI METALS, LTD. |
10992069 | Terminal block | SUMITOMO WIRING SYSTEMS, LTD. |
10992070 | Connector that connects to electric wires and to other connectors | MOLEX, LLC |
10992071 | Four-post terminal block and accessories for nonconductive plane application | SIEMENS MOBILITY, INC. |
10992072 | Electrical connector with rotatably mounted cover member | -- |
10992073 | Electrical terminal assembly with increased contact area | LEAR CORPORATION |
10992074 | Electrical cable connector | FOXCONN (KUNSHAN) COMPUTER CONNECTOR CO., LTD. |
10992075 | Attachable surface cover for USB port | DURAFLEX HONG KONG LIMITED |
10992076 | Connector in which boss cap passing through water-proof seal contacts with terminal holding body, and connector assembly method including the same | HIROSE ELECTRIC CO., LTD. |
10992077 | Mechanical interlock | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10992078 | Connection system for establishing an electrical connection through a drape and methods thereof | BARD ACCESS SYSTEMS, INC. |
10992079 | Safety-equipped connection systems and methods thereof for establishing electrical connections | BARD ACCESS SYSTEMS, INC. |
10992080 | High-current connector comprising an insulating bush | HARTING ELECTRIC GMBH & CO. KG |
10992081 | Charging socket and bracket for charging socket | SUBARU CORPORATION |
10992082 | Impedance-matching connection device | ZODIAC DATA SYSTEMS |
10992083 | Communication harness, communication harness set, and relay connector | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10992084 | Electrical connector having separate front insulative shell and rear metallic shell | FUYU ELECTRONICAL TECHNOLOGY (HUAIAN) CO., LTD. |
10992085 | Receptacle | JAPAN AVIATION ELECTRONICS INDUSTRY, LIMITED |
10992086 | High performance cable termination | AMPHENOL CORPORATION |
10992087 | Contact member for electrical connector | AMPHENOL CORPORATION |
10992088 | Universal Serial Bus Type-C electrical connector having a reduced length | FOXCONN (KUNSHAN) COMPUTER CONNECTOR CO., LTD. |
10992089 | Safety socket outlet | -- |
10992090 | Cable connector assembly having two plugs for providing a secure connection | YONG TAI ELECTRONIC(DONGGUAN) LTD. |
10992091 | Electrical plug | JACK ENTERPRISES LLC |
10992092 | Connector that connects to electric wires and to other connectors | MOLEX, LLC |
10992093 | Universal adapter structure | -- |
10992094 | Universal DC power adaptor | LAT ENTERPRISES, INC. |
10992095 | Device for manufacturing an electrical plug-in connector | PHOENIX CONTACT GMBH & CO. KG |
10992096 | Fiber encapsulation mechanism for energy dissipation in a fiber amplifying system | WAYMO LLC |
10992097 | Apparatus and method for an optical resonator with an integrated Bragg grating | HONEYWELL INTERNATIONAL INC. |
10992098 | Method and apparatus for real time averaging of beam parameter variations | EPILOG CORPORATION |
10992099 | Temperature-compensating device and electro optic transponder implementing such a device | THALES |
10992100 | Semiconductor device and method | -- |
10992101 | Package structure for edge-emitting laser | LITE-ON ELECTRONICS (GUANGZHOU) LIMITED |
10992102 | Submount, semiconductor device mounting submount, and semiconductor device module | FURUKAWA ELECTRIC CO., LTD. |
10992103 | Laser device | SHARP FUKUYAMA LASER CO., LTD. |
10992104 | Dual layer grating coupler | II-VI DELAWARE, INC. |
10992105 | Strain control in optoelectronic devices | LAWRENCE LIVERMORE NATIONAL SECURITY, LLC |
10992106 | Method for generating single picosecond optical pulses with substantially suppressed transient emission tail in semiconductor diode laser | UNM RAINFOREST INNOVATIONS |
10992107 | Narrow-linewidth laser | SUZHOU INSTITUTE OF NANO-TECH AND NANO-BIONICS, CHINESE ACADEMY OF SCIENCES |
10992108 | Generation of high-power spatially-restructurable spectrally-tunable beams in a multi-arm-cavity vecsel-based laser system | ARIZONA BOARD OF REGENTS ON BEHALF OF THE UNIVERSITY OF ARIZONA |
10992109 | Electrically isolating vertical-emitting devices | LUMENTUM OPERATIONS LLC |
10992110 | VCSELS having mode control and device coupling | II-VI DELAWARE, INC. |
10992111 | Lightning strike suppression type lightning protection device and lightning arrestor | LIGHTNING SUPRESSION SYSTEMS CO., LTD. |
10992112 | Fouling resistant spark plugs | FRAM GROUP IP LLC |
10992113 | Ignition apparatus | MITSUBISHI ELECTRIC CORPORATION |
10992114 | Systems and methods for using dynamic materials in no-power actuation of telecommunications maintenance hardware | VERIZON PATENT AND LICENSING INC. |
10992115 | Landscape advertising light box type miniaturized substation | JIANGSU HUAFU ELECTRIC POWER TECHNOLOGY CO., LTD. |
10992119 | Electric spinning pliers | -- |
10992130 | Head-worn device having electrostatic discharge protection | WIDEX A/S |
10992140 | Electrical power distribution assembly for an aircraft | SAFRAN ELECTRICAL & POWER |
10992160 | Charging device, charging method, power adapter and terminal | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10992179 | Wireless charging system with multi-coil scanning and learning | NIKE, INC. |
10992180 | Device for inductively transferring electrical energy and/or data, and method for producing such a device | BALLUFF GMBH |
10992184 | Non-contact power reception apparatus for non-contact charging and electronic settlement performed in a single portable terminal | GE HYBRID TECHNOLOGIES, LLC |
10992188 | Wireless power transmitter | ROHM CO., LTD. |
10992211 | Method for producing rotors or stators of electric machines | SCHAEFFLER ELMOTEC STATOMAT GMBH |
10992254 | Lead assembly for connecting solar panel arrays to inverter | SHOALS TECHNOLOGIES GROUP, LLC |
10992272 | High-frequency module with connection interface | TESAT-SPACECOM GMBH & CO. KG |
10992280 | Wireless communication apparatus | LAPIS SEMICONDUCTOR CO., LTD. |
10992285 | Group delay compensation filter | FUJITSU LIMITED |
10992286 | Signal switching systems and modules and devices using same | SKYWORKS SOLUTIONS, INC. |
10992325 | Open cavity system for directed amplification of acoustic signals | ELWHA LLC |
10992327 | Wireless radio frequency communication system | CONTEMPORARY AMPEREX TECHNOLOGY CO., LIMITED |
10992334 | Radio frequency switches with controllable resonant frequency | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
10992343 | Guided electromagnetic wave communications via an underground cable | AT&T INTELLECTUAL PROPERTY I, L.P. |
10992344 | Multi-use optical data, powerline data, and ground power interface for airplane factory automation | THE BOEING COMPANY |
10992370 | Transmission device, transmission method, receiving device and receiving method | SUN PATENT TRUST |
10992373 | Access node for end-to-end beamforming communications system | VIASAT, INC. |
10992376 | Work machine | FUJI CORPORATION |
10992446 | Switched amplifier for data transmission | ARRIS ENTERPRISES LLC |
10993011 | In-ear wireless listening device | APPLE INC. |
10993022 | Electronic device including vibration damping member | SAMSUNG ELECTRONICS CO., LTD. |
10993034 | Speaker and method for manufacturing speaker | AAC TECHNOLOGIES PTE. LTD. |
10993035 | Magnet system for an electromechanical transducer | UPPER LEVEL APS |
10993053 | Hearing device including antenna unit | OTICON A/S |
10993285 | Heterogeneous mobile radio arrangement for supplying at least one mobile radio cell with mobile radio services | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
10993291 | Electrical device with insulator body | TÜRK & HILLINGER GMBH |
10993311 | Display device | SAMSUNG DISPLAY CO., LTD. |
10993314 | Backplane footprint for high speed, high density electrical connectors | AMPHENOL CORPORATION |
10993317 | Wafer level optical module | APPLE INC. |
10993323 | Stackable printed circuit board | -- |
10993324 | Computer system with modified module socket | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10993325 | Interposer printed circuit boards for power modules | ABB POWER ELECTRONICS INC. |
10993326 | Securing mechanism for use with processor module | FUDING PRECISION COMPONENTS (SHENZHEN) CO., LTD. |
10993327 | Circuit board and method for manufacturing the same | AVARY HOLDING (SHENZHEN) CO., LIMITED. |
10993335 | Clamping device for protection casing of electronic device | THE JOY FACTORY, INC. |
10993336 | User interface module for a building control system with an interchangeable mounting base | HONEYWELL INTERNATIONAL INC. |
10993337 | Display device | LG DISPLAY CO., LTD. |
10993338 | Flexible display device | LG ELECTRONICS INC. |
10993339 | Devices and accessories employing a living hinge | GUI GLOBAL PRODUCTS, LTD. |
10993340 | Waterproof card holder and metal casing | SHENZHEN EVERWIN PRECISION TECHNOLOGY CO LTD |
10993341 | Electronic device including window | SAMSUNG ELECTRONICS CO., LTD. |
10993342 | Electronic device | -- |
10993343 | Sealing structure | KABUSHIKI KAISHA TOKAI RIKA DENKI SEISAKUSHO |
10993344 | Connection structure and assembly | FANUC CORPORATION |
10993345 | Peripheral storage card with offset slot alignment | LIQID INC. |
10993346 | Electronic device capable of installing different modules and case module thereof | -- |
10993347 | Electronic device and tiled electronic system comprising the same | -- |
10993348 | Server chassis | -- |
10993349 | Distributable modular chassis | LEGRAND AV INC. |
10993350 | Slide rail mechanism and supporting assembly thereof | -- |
10993351 | One mixed heat sink fins for better thermal dissipation used on electrical products | HARMAN INTERNATIONAL INDUSTRIES, INCORPORATED |
10993352 | Thermal transfer device for a pluggable module assembly | TE CONNECTIVITY CORPORATION |
10993353 | Fan controlled ambient air cooling of equipment in a controlled airflow environment | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
10993354 | Data center system, control method of data center system, and recording medium recording control program of data center system | FUJITSU LIMITED |
10993355 | Ground strap and method of grounding a plurality of electrically conductive members therewith | FEDERAL-MOGUL POWERTRAIN LLC |
10993357 | Component mounter, reel holding device | YAMAHA HATSUDOKI KABUSHIKI KAISHA |
10993358 | Device for removing portion of cover and method of removing portion of cover | -- |
10993359 | Component mounter, reel holding device | YAMAHA HATSUDOKI KABUSHIKI KAISHA |
10993360 | Assembly system | TYCO ELECTRONICS (SHANGHAI) CO. LTD. |
10993361 | Setup support device | FUJI CORPORATION |
10993476 | Electric heater based electronic smoking device | SHENZHEN YUKAN TECHNOLOGY CO., LTD |
10993505 | Flexible magnetic fastening apparatus | -- |
10993511 | Therapeutic bracelet | -- |
10993550 | Anti-theft retail merchandise pusher with remote alarm feature | FASTENERS FOR RETAIL, INC. |
10993560 | Plastic vessel comprising an accommodated metal element and method of producing the same | MAGLASSX GMBH |
10993679 | Portable x-ray generation device having electric field emission x-ray source | VATECH CO., LTD. |
10993867 | Actuation assembly for an oral irrigator | WATER PIK, INC. |
10994115 | Luer connector with on-board connection indicator | ORIDION MEDICAL 1987 LTD. |
10994303 | Methods for producing transparent conductive film and transparent conductive pattern | SHOWA DENKO K.K. |
10994331 | Copper powder and conductive composition containing same | MITSUI MINING & SMELTING CO., LTD. |
10994334 | Method for preparing rice ear-shaped copper particles, rice ear-shaped copper particles prepared thereby, and conductive paste using same | FOUNDATION FOR RESEARCH AND BUSINESS, SEOUL NATIONAL UNIVERSITY OF SCIENCE AND TECHNOLOGY |
10994365 | Apparatus for generating electron radiation and three-dimensional printing apparatus | -- |
10994401 | Tool basic module | ROBERT BOSCH GMBH |
10994469 | Dendrimer laser | THE UNIVERSITY COURT OF THE UNIVERSITY OF ST. ANDREWS |
10994494 | Tire sensor installation system and method | ANDROID INDUSTRIES LLC |
10994624 | Power conversion system and cable relay | MITSUBISHI ELECTRIC CORPORATION |
10994626 | Charging plug for a charging column and charging column having such a plug | DR. ING. H.C. F. PORSCHE AKTIENGESELLSCHAFT |
10994659 | Rearview mirror assembly with antenna | GENTEX CORPORATION |
10994676 | Electric connection box | YAZAKI CORPORATION |
10994677 | Busbar including a plurality of coaxial sleeves provided with arms endowed with electrical tracks | INSTITUT VEDECOM |
10994831 | Temperature management systems for aerial vehicles | AMAZON TECHNOLOGIES, INC. |
10994946 | Electromagnetic stopper for a cargo conveyor system | RHEFOR GBR |
10994966 | Fixture plate and housing | OTIS ELEVATOR COMPANY |
10995003 | Graphene materials and improved methods of making, drying, and applications | KING ABDULLAH UNIVERSITY OF SCIENCE AND TECHNOLOGY |
10995018 | Device for the plasma-supported treatment of liquids | LEIBNIZ-INSTITUT FÜR PLASMAFORSCHUNG UND TECHNOLOGIE E.V. |
10995034 | Composite hexagonal ferrite materials | SKYWORKS SOLUTIONS, INC. |
10995196 | Thermally conductive sheet and method for manufacturing thermally conductive sheet | KITAGAWA INDUSTRIES CO., LTD. |
10995223 | Fibrous carbon nanostructure dispersion liquid | ZEON CORPORATION |
10995235 | Composition for forming protective film for electroconductive pattern, protective film for electroconductive pattern, method for producing protective film, and method for producing transparent electroconductive film | SHOWA DENKO K.K. |
10995373 | Systems and methods for writing, reading, and controlling data stored in a polymer | IRIDIA, INC. |
10995393 | Non-oriented electrical steel sheet | NIPPON STEEL CORPORATION |
10995401 | Sputtering target and manufacturing method thereof | SK HYNIX INC. |
10995672 | Electrical waveform for gas turbine igniter | GENERAL ELECTRIC COMPANY |
10995724 | Igniter assembly and igniter unit | DIAMOND ELECTRIC MFG. CO., LTD |
10995771 | Adjustable cooling fan apparatus | -- |
10995787 | Quick locking piece and display screen assembly | ROE VISUAL CO., LTD. |
10995820 | Apparatus and method for magnetically unloading a rotor bearing | -- |
10995963 | Movable cooking appliance | WHIRLPOOL CORPORATION |
10996006 | Cycling heat dissipation module | -- |
10996045 | Distance measuring device, in particular for dielectric and metallic target objects | ASTYX GMBH |
10996078 | C-shaped cylindrical core for linear variable differential transformer (LVDT) probes | HONEYWELL INTERNATIONAL INC. |
10996082 | Sensor system for a rotor assembly | GENERAL ELECTRIC COMPANY |
10996096 | Arrangement for determining and displaying the optimal material thickness when measuring fill levels using radar sensors | VEGA GRIESHABER KG |
10996147 | Sample preparation method and sample preparing apparatus | NIKON CORPORATION |
10996181 | Sensor | LG ELECTRONICS INC. |
10996244 | High voltage capacitor with internal capacitor pack voltage and current sensors | SOUTHERN STATES, LLC |
10996247 | Volt-VAR device monitor | LANDIS+GYR INNOVATIONS, INC. |
10996251 | Method and device for obtaining internal side, external side insulation resistances of relay, and battery management system | CONTEMPORARY AMPEREX TECHNOLOGY CO., LIMITED |
10996252 | Measurement arrangement and measurement method | ROHDE & SCHWARZ GMBH & CO. KG |
10996274 | Control circuit, and method for improving the measurability of a mechanical switch-on process of an electromagnetic actuator | SCHAEFFLER TECHNOLOGIES AG & CO. KG |
10996286 | Test system and method for a wiring harness | THE BOEING COMPANY |
10996289 | Coil actuated position sensor with reflected magnetic field | ALLEGRO MICROSYSTEMS, LLC |
10996298 | Displacer in magnetic resonance imaging system | SHANGHAI UNITED IMAGING HEALTHCARE CO., LTD. |
10996330 | Antenna device for a radar sensor | ROBERT BOSCH GMBH |
10996343 | Methods and systems for utilizing dual global positioning system (GPS) antennas in vertical take-off and landing (VTOL) aerial vehicles | AEROVIRONMENT, INC. |
10996401 | Method and apparatus for optical coupling of optical signals for a photonic integrated circuit | MELLANOX TECHNOLOGIES, LTD. |
10996462 | Camera module | LG INNOTEK CO., LTD. |
10996523 | Display device having an antenna coil | -- |
10996549 | Projector with polarization element cooled with cooling liquid | SEIKO EPSON CORPORATION |
10996569 | Measurement device, method and display device | HITACHI HIGH-TECH CORPORATION |
10996579 | Magnetic core material for electrophotographic developer, carrier for electrophotographic developer, and developer | POWDERTECH CO., LTD. |
10996645 | Modular power adapters and methods of implementing modular power adapters | SMART POWER PARTNERS LLC |
10996688 | Gas supply system and gas supply method | TOKYO ELECTRON LIMITED |
10996695 | Electrical power adapter | -- |
10996722 | Adaptive heat dissipation frame | MICROSOFT TECHNOLOGY LICENSING, LLC |
10996772 | Structure of digital pen including antenna | SAMSUNG ELECTRONICS CO., LTD. |
10996776 | Electronic device and feedback providing method | SONY CORPORATION |
10997109 | Device with USB port | HUAWEI TECHNOLOGIES CO., LTD. |
10997355 | Design-rule checking for curvilinear device features | GLOBALFOUNDRIES U.S. INC. |
10997483 | NFC antenna switch | STMICROELECTRONICS, INC |
10997484 | Antenna device and communication terminal apparatus | MURATA MANUFACTURING CO., LTD. |
10997488 | Crowded RFID reading | NEC CORPORATION |
10997490 | Battery-based neural network weights | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10997879 | Support frame and electronic sign | BOE TECHNOLOGY GROUP CO., LTD. |
10998070 | Shift register with reduced wiring complexity | GOOGLE LLC |
10998108 | Electrical contact material, method of producing an electrical contact material, and terminal | FURUKAWA ELECTRIC CO., LTD. |
10998110 | Flame resistant covered conductor cable | PRIORITY WIRE & CABLE, INC. |
10998111 | Shielded electrical cable | 3M INNOVATIVE PROPERTIES COMPANY |
10998112 | Carbon nanotube based cabling | -- |
10998113 | Redundant resistor network | ROSEMOUNT AEROSPACE INC. |
10998114 | Varistor for high temperature applications | AVX CORPORATION |
10998115 | Inductor | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10998116 | VDT with high permeability shield | HAMILTON SUNDSTRAND CORPORATION |
10998117 | Wire-wound coil component and method for producing wire-wound coil component | MURATA MANUFACTURING CO., LTD. |
10998118 | PCB winding transformer and coil board thereof | DELTA ELECTRONICS (SHANGHAI) CO., LTD. |
10998119 | Coil component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10998120 | Method of making an inductor | INTEL CORPORATION |
10998121 | Capacitively balanced inductive charging coil | APPLE INC. |
10998122 | Common mode filter and manufacturing method thereof | TDK CORPORATION |
10998123 | Balun and method for manufacturing same | MURATA MANUFACTURING CO., LTD. |
10998124 | Nested flat wound coils forming windings for transformers and inductors | VISHAY DALE ELECTRONICS, LLC |
10998125 | Coil component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10998126 | Coil component and manufacturing methods thereof | TDK CORPORATION |
10998127 | Superconducting magnet apparatus and method for magnetizing a superconductor bulk magnet by field cooling through a ferromagnetic shield | BRUKER SWITZERLAND AG |
10998128 | Ignition coil for internal combustion engine | DENSO CORPORATION |
10998129 | Method for manufacturing laminated coil component | TDK CORPORATION |
10998130 | Coil component having resin walls | TDK CORPORATION |
10998131 | Multilayer device having an improved antiferromagnetic pinning layer and a corresponding manufacturing method | DEUTSCHES ELEKTRONEN-SYNCHROTRON DESY |
10998132 | Capacitor and electronics module assembly with low-inductance connection features | INFINEON TECHNOLOGIES AG |
10998133 | Dielectric material, method of manufacturing thereof, and dielectric devices and electronic devices including the same | SAMSUNG ELECTRONICS CO., LTD. |
10998134 | Capacitor and method for manufacturing capacitor | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10998135 | Ceramic electronic component with crack protection and production method therefor | TAIYO YUDEN CO., LTD |
10998136 | Three-dimensional capacitor | POINT ENGINEERING CO., LTD. |
10998137 | Electrolytic capacitor and method for manufacturing electrolytic capacitor | TOKIN CORPORATION |
10998138 | Solid electrolytic capacitor and method of manufacturing solid electrolytic capacitor | TOKIN CORPORATION |
10998139 | Solid electrolytic capacitor element, solid electrolytic capacitor, and manufacturing method of solid electrolytic capacitor element | MURATA MANUFACTURING CO., LTD. |
10998140 | Solar cell module | ZEON CORPORATION |
10998141 | Negative electrode of power storage device and power storage device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10998142 | High energy density capacitor system and method | FLASH POWER CAPACITORS, LLC |
10998143 | Electrochemical energy storage device | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
10998144 | Power contact electrode surface plasma therapy | ARC SUPPRESSION TECHNOLOGIES |
10998145 | Switch device for vehicle | HONDA MOTOR CO., LTD. |
10998146 | Toggle lever connection for electric switches | SIEMENS AKTIENGESELLSCHAFT |
10998147 | Switch device capable of automatically detecting and adjusting zero cross point | -- |
10998148 | Display cover apparatus for a push button switch | -- |
10998149 | Key switch | OMRON CORPORATION |
10998150 | Push-button switch | OMRON CORPORATION |
10998151 | Push button for use within a timepiece | CASIO COMPUTER CO., LTD. |
10998152 | Operating device having an illuminated volume control actuating element | BERLIN |
10998153 | Modular entertainment control system of snap-mounted multi-functional modules within a modular mounting frame | -- |
10998154 | Power relay device with readily accessible connection terminal | SUMITOMO WIRING SYSTEMS, LTD. |
10998155 | Contactor with arc suppressor | TE CONNECTIVITY CORPORATION |
10998156 | Auxiliary/control switches kit box for a medium voltage switching device | ABB SCHWEIZ AG |
10998157 | Tungsten alloy part, and discharge lamp, transmitting tube, and magnetron using the same | KABUSHIKI KAISHA TOSHIBA |
10998158 | Variable-focus magnetostatic lens | TRIAD NATIONAL SECURITY, LLC |
10998159 | Ion generator and electric apparatus | SHARP KABUSHIKI KAISHA |
10998160 | Cathode emitter to emitter attachment system and method | GENERAL ELECTRIC COMPANY |
10998161 | Anode, and x-ray generating tube, x-ray generating apparatus, and radiography system using the same | CANON KABUSHIKI KAISHA |
10998162 | Charged-particle beam apparatus, charged-particle beam writing apparatus, and charged-particle beam controlling method | NUFLARE TECHNOLOGY, INC. |
10998163 | Cryogenic trapped-ion system | UNIVERSITY OF MARYLAND, COLLEGE PARK |
10998164 | Charged particle beam writing apparatus and charged particle beam writing method | NUFLARE TECHNOLOGY, INC. |
10998165 | Ion plasma disintegrator | -- |
10998166 | System and method for beam position visualization | FEI COMPANY |
10998167 | Ion beam etch without need for wafer tilt or rotation | LAM RESEARCH CORPORATION |
10998168 | Plasma processing apparatus | HITACHI HIGH-TECH CORPORATION |
10998169 | Systems and methods of control for plasma processing | TOKYO ELECTRON LIMITED |
10998170 | Method for ion mass separation and ion energy control in process plasmas | TOKYO ELECTRON LIMITED |
10998171 | Plasma source and semiconductor processing apparatus | BEIJING NAURA MICROELECTRONICS EQUIPMENT CO., LTD. |
10998172 | Substrate processing chamber having improved process volume sealing | APPLIED MATERIALS, INC. |
10998173 | Two-phased atmospheric plasma generator | NOVA ENGINEERING FILMS, INC. |
10998174 | Dry etching equipment and method for producing semiconductor device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10998175 | Device for characterizing particles and uses thereof | -- |
10998176 | Ion detector | HAMAMATSU PHOTONICS K.K. |
10998177 | Ion analyzer | SHIMADZU CORPORATION |
10998178 | Systems and methods for sample analysis using swabs | PURDUE RESEARCH FOUNDATION |
10998179 | Methods and systems for increasing sensitivity of direct sampling interfaces for mass spectrometric analysis | DH TECHNOLOGIES DEVELOPMENT PTE. LTD. |
10998180 | Cooling plate for ICP-MS | THERMO FISHER SCIENTIFIC (BREMEN) GMBH |
10998181 | Low power mass analyzer and system integrating same for chemical analysis | LEIDOS, INC. |
10998188 | Gallium nitride laminated substrate and semiconductor device | HOSEI UNIVERSITY |
10998204 | Method of processing substrate and substrate processing apparatus | TOKYO ELECTRON LIMITED |
10998206 | Light irradiation type heat treatment apparatus | SCREEN HOLDINGS CO., LTD. |
10998208 | Cold fluid semiconductor device release during pick and place operations, and associated systems and methods | MICRON TECHNOLOGY, INC. |
10998244 | System and method for temperature control in plasma processing system | TOKYO ELECTRON LIMITED |
10998249 | Semiconductor assembly | SIEMENS AKTIENGESELLSCHAFT |
10998253 | Fluid diverting heat sink | GOOGLE LLC |
10998279 | On-chip integrated cavity resonator | INFINEON TECHNOLOGIES AG |
10998280 | Balance-unbalance converter and semiconductor integrated circuit having the same | ANRITSU CORPORATION |
10998284 | Low pressure sintering powder | ALPHA ASSEMBLY SOLUTIONS INC. |
10998459 | Light absorbing layer and a photovoltaic device including a light absorbing layer | EXEGER OPERATIONS AB |
10998470 | Cover for an electronic circuit package | STMICROELECTRONICS (GRENOBLE 2) SAS |
10998482 | Metal paste and thermoelectric module | LG CHEM, LTD. |
10998488 | Piezoelectric stack | VALQUA, LTD. |
10998491 | Magnetoresistive element and magnetic memory | TOHOKU UNIVERSITY |
10998495 | Magnetostrictive stack and corresponding bit-cell | INTEL CORPORATION |
10998538 | Energy storage device, energy storage apparatus and method of manufacturing energy storage device | GS YUASA INTERNATIONAL LTD. |
10998549 | Method to synthesize Na-based electroactive materials | CENTRE NATIONAL DE LA RECHERCHE SCIENTIFIQUE |
10998551 | Electrode material for electricity storage devices, electrode for electricity storage devices, and electricity storage device | SEKISUI CHEMICAL CO., LTD. |
10998573 | Lithium battery package | NINGBO ZHONGPENG LITHIUM ENERGY TECHNOLOGY CO., LTD. |
10998588 | Power storage module including a cooling member | AUTONETWORKS TECHNOLOGIES, LTD. |
10998591 | Battery and capacitor hybrid assembly structure | SAMHWA CAPACITOR CO., LTD. |
10998593 | Battery cover | YAZAKI CORPORATION |
10998603 | Radio frequency filter having cavity structure | KMW INC. |
10998604 | Excitation and use of guided surface wave modes on lossy media | CPG TECHNOLOGIES, LLC |
10998605 | Connecting unit for connecting to first and second interfaces, where the connecting unit comprises an internal conductor disposed within a housing formed by half-shell construction | TESAT-SPACECOM GMBH & CO. KG |
10998606 | Hierarchical network signal routing apparatus and method | SPACE EXPLORATION TECHNOLOGIES CORP. |
10998607 | Heat dissipating sheet having antenna function, and portable terminal including the same | AMOGREENTECH CO., LTD. |
10998608 | Home television antenna with detachable bracket | SHENZHEN BITECA ELECTRON CO., LTD. |
10998609 | Loop antenna | JAPAN AVIATION ELECTRONICS INDUSTRY, LIMITED |
10998610 | Electronic device, method for adjusting operating frequency band of antenna of electronic device | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
10998611 | Thin wire antenna for control devices, for example, for control of or inclusion in a luminaire | ABL IP HOLDING LLC |
10998612 | Single space wireless parking with improved antenna placements | J.J. MACKAY CANADA LIMITED |
10998613 | Chip antenna | WITS CO., LTD. |
10998614 | Ultra-wideband antenna | NETEERA TECHNOLOGIES LTD. |
10998615 | Spatial antenna diversity techniques | SONOS, INC. |
10998616 | Electronic device with millimeter wave antenna arrays | APPLE INC. |
10998617 | In-vehicle telematics blade array and methods for using the same | -- |
10998618 | Coaxial helix antennas | CALAMP WIRELESS NETWORKS CORPORATION |
10998619 | Ring type antenna module and jig for manufacturing same | AMOTECH CO., LTD. |
10998620 | Coil sharing method and device | SAMSUNG ELECTRONICS CO., LTD. |
10998621 | Wideband dual polarized antenna array system | -- |
10998622 | Antenna for wireless communication and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
10998623 | Method and apparatus for beam-steerable antenna with single-drive mechanism | VIASAT, INC. |
10998624 | Antenna with reconfigurable beam direction and antenna array with reconfigurable beam scanning range | WUHAN SYNTEK LTD. |
10998625 | Dielectric antenna array and system | FREEFALL AEROSPACE, INC. |
10998626 | Antenna control device, head-mounted display, antenna control method, and program | SONY INTERACTIVE ENTERTAINMENT INC. |
10998627 | Phase adjustment circuit and array antenna device | NEC CORPORATION |
10998628 | Modulation patterns for surface scattering antennas | SEARETE LLC |
10998629 | Scanned antenna | SHARP KABUSHIKI KAISHA |
10998630 | Antenna module and communication apparatus equipped with the same | MURATA MANUFACTURING CO., LTD. |
10998631 | Antenna system | -- |
10998632 | Antenna system and mobile terminal | AAC TECHNOLOGIES PTE. LTD. |
10998633 | Compact wideband high gain circularly polarized antenna | AGENCY FOR SCIENCE, TECHNOLOGY AND RESEARCH |
10998634 | Electronic device including antenna apparatus using photo-conductive material and antenna control method | SAMSUNG ELECTRONICS CO., LTD. |
10998635 | Antenna apparatus and vehicle | HYUNDAI MOTOR COMPANY |
10998636 | Broadband cavity-backed slot antenna | NOKIA SHANGHAI BELL CO., LTD |
10998637 | Multiple-feed antenna system having multi-position subreflector assembly | SEA TEL, INC. |
10998638 | Nickel-chromium particles and multilayer structures comprising nickel chromium core layers | TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC. |
10998639 | Discrete metallic particles and multilayer structures comprising reflective core layers | TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC. |
10998640 | Cross-polarized time division duplexed antenna | ANOKIWAVE, INC. |
10998641 | Systems, apparatus, and methods for selecting antennas | DISH TECHNOLOGIES L.L.C. |
10998642 | Dual polarization patch antenna system | PIVOTAL COMMWARE, INC. |
10998643 | Signal integrated terminal | SHENZHEN ANTOP TECHNOLOGY LIMITED |
10998644 | Rotatable transponder system | ELTA SYSTEMS LTD. |
10998645 | Connector and method of manufacturing a connector | AUTO-KABEL MANAGEMENT GMBH |
10998646 | Electrical connection structure, electrical connection method, electric connector, and electric device | SMK CORPORATION |
10998647 | Harness with a wire terminal | JAPAN AVIATION ELECTRONICS INDUSTRY, LTD. |
10998648 | Electrical ground strap assembly providing increased point of contact between a terminal and a bolt | PRODUCTION SPRING, LLC |
10998649 | Spring-force connection and round plug-in connector with a large number of spring-force connections | PHOENIX CONTACT GMBH & CO. KG |
10998650 | Grounding terminal and wire harness | SUMITOMO WIRING SYSTEMS, LTD. |
10998651 | Flame-resistant heat shrink assemblies for trace heating cables | NVENT SERVICES GMBH |
10998652 | Shield terminal | SUMITOMO WIRING SYSTEMS, LTD. |
10998653 | Edge connector, circuit board, and connector component | HUAWEI TECHNOLOGIES CO., LTD. |
10998654 | Board connector and connection structure for circuit board and board connector | AUTONETWORKS TECHNOLOGIES, LTD. |
10998655 | Connector and connection system | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10998656 | Electrical assembly | ROBERT BOSCH GMBH |
10998657 | Precious-metal-alloy contacts | APPLE INC. |
10998658 | Male terminal fitting and female terminal fitting | AUTONETWORKS TECHNOLOGIES, LTD. |
10998659 | Female terminal | AUTONETWORKS TECHNOLOGIES, LTD. |
10998660 | Connector assembly | -- |
10998661 | Electrical connector for connecting electrical conductors to a printed circuit board | WEIDMüLLER INTERFACE GMBH & CO. KG |
10998662 | Electrical connector | -- |
10998663 | Liquid-proof connector | YAZAKI CORPORATION |
10998665 | Hybrid connector | MEDLINE INDUSTRIES, INC. |
10998666 | Movable connector | IRISO ELECTRONICS CO., LTD. |
10998667 | Rotatable electric plug | -- |
10998668 | Connector assembly with a case that has a connection stopping portion that prevents stopping contact between first and second connectors | SUMITOMO WIRING SYSTEMS, LTD. |
10998669 | Connector with receptacle having moving plate and sealing member mounted in the receptacle | SUMITOMO WIRING SYSTEMS, LTD. |
10998670 | Connection structure for power conversion device | MITSUBISHI ELECTRIC CORPORATION |
10998671 | DIMM/expansion card retention method for highly kinematic environments | CRYSTAL GROUP, INC. |
10998672 | Component carrier structures connected by cooperating magnet structures | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
10998673 | Magnetic latching connector | ONANON, INC. |
10998674 | Electrical connector with biased latch | TE CONNECTIVITY SERVICES GMBH |
10998675 | Electrical connector | INTERPLEX INDUSTRIES, INC. |
10998676 | Frictional locking receptacle with programmable release | ZONIT STRUCTURED SOLUTIONS LLC |
10998677 | Electrical connector transmitting high frequency signals | FOXCONN INTERCONNECT TECHNOLOGY LIMITED |
10998678 | Modular electrical connector with additional grounding | TE CONNECTIVITY SERVICES GMBH |
10998679 | Electrical connector improving high frequency characteristics | -- |
10998681 | Electronic component to reduce noise caused by the tip end of a memory card | SONY MOBILE COMMUNICATIONS INC. |
10998682 | Connector | SUMITOMO WIRING SYSTEMS, LTD. |
10998683 | Connector protection method and system | DELTA ELECTRONICS (SHANGHAI) CO., LTD. |
10998684 | Power supply connector | TYCO ELECTRONICS (SHANGHAI) CO. LTD. |
10998685 | Single pair ethernet connector system | CISCO TECHNOLOGY, INC. |
10998686 | Electrical outlet with interchangeable faceplate modules | HUBBELL INCORPORATED |
10998687 | Punchdown tool | MILWAUKEE ELECTRIC TOOL CORPORATION |
10998688 | Ultra short pulse fiber pre-amplifier system for large-core fibers | TRUMPF LASER GMBH |
10998689 | Systems, apparatus, and methods for producing ultra stable, single-frequency, single-transverse-mode coherent light in solid-state lasers | -- |
10998690 | Acousto-optic tuning of lasers | PRANALYTICA, INC. |
10998691 | Method and system for adjusting the profile of a laser wavefront | COMPAGNIE INDUSTRIELLE DES LASERS CILAS |
10998692 | Laser oscillation device | MITSUBISHI ELECTRIC CORPORATION |
10998694 | Laser diode | LG INNOTEK CO., LTD. |
10998695 | Semiconductor laser device, manufacturing method thereof, and light emitting device | SHARP KABUSHIKI KAISHA |
10998696 | Vertical cavity surface emitting laser | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
10998697 | Method of manufacturing surface emitting laser | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
10998698 | Light source device | NICHIA CORPORATION |
10998700 | Block connector and base | -- |
10998702 | Modular low profile raceway to provide power and/or data connectivity | LEGRAND CONNECTRAC |
10998704 | Electrical connection box and wire harness | YAZAKI CORPORATION |
10998717 | Intelligent power strip with management of bistable relays to reduce current in-rush | VERTIV CORPORATION |
10998718 | Lightning and overvoltage protection device for data networks, telephony services, electroacoustic installations or bus systems | DEHN SE + CO KG |
10998735 | Desktop or floor LED lighting device has USB-port(s) | -- |
10998736 | Quickly charger has USB charging ports for lighting device | -- |
10998738 | Fusible switch, battery control apparatus including same, and battery control method | -- |
10998742 | Fixing connector with charging module | -- |
10998747 | Multi-function portable electronic device | -- |
10998758 | Power supply apparatus and electricity storage device | MURATA MANUFACTURING CO., LTD. |
10998774 | Wireless docking mat for electronic devices | INTEL CORPORATION |
10998812 | Detection device, control device, and inverter device | FUJI ELECTRIC CO., LTD. |
10998831 | Power switching module and electronic power device integrating said module | INSTITUT VEDECOM |
10998848 | Method of routing and securing electrical power cables for a solar roof installation | SOLARMASS ENERGY GROUP LTD. |
10998873 | Capacitor unit, integrated capacitor, and resonance unit | HUAWEI TECHNOLOGIES CO., LTD. |
10998875 | Cable television apparatus improving high frequency characteristics | -- |
10998934 | Information processing apparatus and image reading apparatus | CANON KABUSHIKI KAISHA |
10998938 | Near field communication device, method for operating a near field communication device, chip card and wearable | INFINEON TECHNOLOGIES AG |
10998964 | Ground network for end-to-end beamforming with multifrequency access node clusters | VIASAT, INC. |
10998986 | Wireless communication circuit for supporting antenna switching and device including same | SAMSUNG ELECTRONICS CO., LTD. |
10998993 | Global time synchronization using a guided surface wave | CPG TECHNOLOGIES, INC. |
10999052 | Cauls and methods of using cauls to produce composite articles | SPINTECH, LLC |
10999482 | Image capturing device | -- |
10999660 | Waterproof member and electronic device | NITTO DENKO CORPORATION |
10999669 | Combinatorial inner module | CONCRAFT HOLDING CO., LTD. |
10999897 | Insulation fluid heating apparatus and method | ABB POWER GRIDS SWITZERLAND AG |
10999899 | Heating cable having excellent flex resistance and flexibility | LS CABLE & SYSTEM LTD. |
10999916 | Functional contactor for an electronic device | AMOTECH CO., LTD. |
10999917 | Sparse laser etch anodized surface for cosmetic grounding | APPLE INC. |
10999918 | X-ray tube and X-ray generation device | ANRITSU INFIVIS CO., LTD. |
10999920 | Apparatus, system, and method for mitigating crosstalk in ball grid array devices | JUNIPER NETWORKS, INC. |
10999921 | Circuit board for reducing transmitting loss and electronic device therewith | SAMSUNG ELECTRONICS CO., LTD. |
10999925 | Stretchable conductor circuit | II-VI DELAWARE, INC. |
10999929 | Expansion card interfaces for high-frequency signals and methods of making the same | -- |
10999933 | Conductive material and process | HENKEL IP & HOLDING GMBH |
10999937 | Electronic device | OMRON CORPORATION |
10999939 | Circuit carrier board and manufacturing method thereof | -- |
10999940 | Electronic display mounting system | DAKTRONICS, INC. |
10999941 | Housing assembly for an integrated display unit | MANUFACTURING RESOURCES INTERNATIONAL, INC. |
10999942 | Seamless curved display system and methods | NANOLUMENS ACQUISTION, INC. |
10999943 | Display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10999944 | Structural device cover | MICROSOFT TECHNOLOGY LICENSING, LLC |
10999945 | Displacement aid for desktop devices | BIOTRONIK SE & CO. KG |
10999946 | Microchips for downhole data collection | SAUDI ARABIAN OIL COMPANY |
10999947 | Vehicle control apparatus | DENSO CORPORATION |
10999948 | Electronic device and supporting member thereof | INVENTEC (PUDONG) TECHNOLOGY CORPORATION |
10999949 | Accessory apparatus for electronic device having page-turning function, electronic device and electronic device assembly | ZHANGYUE TECHNOLOGY CO., LTD |
10999950 | Server rail and server rack mounting structure | -- |
10999951 | Electronic device and housing unit for electronic device | FUJITSU LIMITED |
10999952 | Vapor chamber and manufacturing method thereof | -- |
10999954 | Modular roof mounted cooling system and method for data center | VERTIV CORPORATION |
10999955 | Electronic power system and method for manufacturing the same | DANFOSS SILICON POWER GMBH |
10999956 | Module | MURATA MANUFACTURING CO., LTD. |
10999957 | Communication module and mounting structure thereof | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10999958 | Attachable portable protective containers | -- |
10999959 | Magnetic shielding sheet and method of preparation thereof | LG ELECTRONICS INC. |
10999960 | Electromagnetic wave shielding material using perforated metal thin plate and method of manufacturing same | CLEAN & SCIENCE CO., LTD. |
10999961 | Positioning device | TYCO ELECTRONICS (SHANGHAI) CO. LTD. |
10999976 | Fluid-cooled lighting systems and kits for controlled agricultural environments, and methods for installing same | AGNETIX, INC. |
11000120 | Modular accessory unit | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11000126 | Smart wall | LG ELECTRONICS INC. |
11000245 | Compact tunable x-ray source based on laser-plasma driven betatron emission | NUTECH VENTURES |
11000293 | Autoclave tolerant battery powered motorized surgical hand piece tool and motor control method | CONMED CORPORATION |
11000340 | Accessory support and coupling systems for an accessory support | STRYKER CORPORATION |
11000589 | Magnetic particle control and visualization | PULSE THERAPEUTICS, INC. |
11000608 | Ultraviolet lamp room/area disinfection apparatuses having integrated cooling systems | XENEX DISINFECTION SERVICES INC. |
11000686 | Platinum/iridium surface patterning by laser to improve neuromodulation electrode performance | VERILY LIFE SCIENCES LLC |
11000693 | Magnetic stimulation coils and ferromagnetic components for treatment and diagnostic procedures | NEURONETICS, INC. |
11000696 | Orthogonal double dipole cancer therapy treatment beam scanning apparatus and method of use thereof | -- |
11000705 | Relativistic energy compensating cancer therapy apparatus and method of use thereof | -- |
11000850 | Method of processing dried samples using digital microfluidic device | THE GOVERNING COUNCIL OF THE UNIVERSITY OF TORONTO |
11000867 | Method of applying powder to a substrate during a continuous process | CORNING OPTICAL COMMUNICATIONS LLC |
11000895 | Surface modified particulate and sintered or injection molded products | TUNDRA COMPOSITS, LLC |
11000909 | Electrical discharge machining electrode | RAYTHEON TECHNOLOGIES CORPORATION |
11000918 | Laser machining device | KEYENCE CORPORATION |
11001156 | Charging device having an induction coil stitched to a surface of a cross-laid structure | BAYERISCHE MOTOREN WERKE AKTIENGESELLSCHAFT |
11001157 | Device including a supply line with a sensor line configured to measure a torsion of the supply line and a method for measuring the torsion of a supply line based on a capacitance of the sensor line | LEONI KABEL GMBH |
11001340 | Motor vehicle with snowgliding device | SERNEKE HYBRID SKI AB |
11001356 | Rollable mast for undersea vehicles | BAE SYSTEMS INFORMATION AND ELECTRONIC SYSTEMS INTEGRATION INC. |
11001499 | Graphene oxide particles and method of making and using them | KING ABDULLAH UNIVERSITY OF SCIENCE AND TECHNOLOGY |
11001511 | Electrochemical ion exchange treatment of fluids | ERIX SOLUTIONS CORPORATION |
11001535 | Transferring nanostructures from wafers to transparent substrates | APPLIED MATERIALS, INC. |
11001678 | Conductive composite produced from coated powders | H.E.F. |
11001686 | Filler-containing film | DEXERIALS CORPORATION |
11001687 | Substrate with functional fine line and method for forming functional fine line | KONICA MINOLTA, INC. |
11001696 | UV-resistant superhydrophobic coating compositions | SOUTHWIRE COMPANY, LLC |
11001738 | Compositions comprising 2,3-dichloro-1,1,1-trifluoropropane, 2-chloro-1,1,1-trifluoropropene, 2-chloro-1,1,1,2-tetrafluoropropane or 2,3,3,3-tetrafluoropropene | THE CHEMOURS COMPANY FC, LLC |
11001921 | Processes for low pressure, cold bonding of solid lithium to metal substrates | ALBEMARLE CORPORATION |
11001925 | Substrate processing apparatus | ASM IP HOLDING B.V. |
11001926 | Apparatus and method for the reduction of impurities in films | GALLIUM ENTERPRISES PTY LTD |
11002218 | Notched spark plug | FORD GLOBAL TECHNOLOGIES, LLC |
11002219 | Spark plug gasket crush limiter | CATERPILLAR INC. |
11002363 | Seal | CARL FREUDENBERG KG |
11002496 | Thermal conduction device and associated heat dissipation system | ALSTOM TRANSPORT TECHNOLOGIES |
11002544 | RFOG resonance hopping | HONEYWELL INTERNATIONAL INC. |
11002600 | Detector protection in an optical emission speedometer | THERMO FISHER SCIENTIFIC (BREMEN) GMBH |
11002609 | Temperature sensing device | -- |
11002645 | Dual spray chamber | ELEMENTAL SCIENTIFIC, INC. |
11002662 | Bond test apparatus and method | NORDSON CORPORATION |
11002687 | Defect inspection method and defect inspection device | HITACHI HIGH-TECH CORPORATION |
11002688 | System for actinic inspection of semiconductor masks | -- |
11002692 | Method of examining a sample using a charged particle microscope | FEI COMPANY |
11002693 | Hard X-ray photoelectron spectroscopy system | SCIENTA OMICRON AB |
11002694 | Hard X-ray photoelectron spectroscopy arrangement and system | SCIENTA OMICRON AB |
11002715 | Method for liquid chromatographic mass spectrometry and liquid chromatograph mass spectrometer | SHIMADZU CORPORATION |
11002744 | Apparatus and method for glycopeptide analysis | DH TECHNOLOGIES DEVELOPMENT PTE. LTD. |
11002756 | Low-power accelerometer | -- |
11002799 | Method of evaluating power storage device, method of manufacturing power storage device, and test system | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11002828 | Method of using a multi-input and multi-output antenna (MIMO) array for high-resolution radar imaging and wireless communication for advanced driver assistance systems (ADAS) and autonomous driving | -- |
11002832 | Chip-scale LIDAR with a single 2D MEMS scanner | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
11002846 | Amplitude comparison monopulse RADAR system | -- |
11002859 | Intelligent vehicle positioning method based on feature point calibration | TSINGHUA UNIVERSITY |
11002868 | Neutron detector and method for its preparation | NUCLEAR RESEARCH CENTER NEGEV |
11002885 | Scratch-resistant anti-reflective articles | CORNING INCORPORATED |
11002893 | Transmission grating and laser device using the same, and method of producing transmission grating | NICHIA CORPORATION |
11002907 | Stepped optical bridge for connecting semiconductor waveguides | SKORPIOS TECHNOLOGIES, INC. |
11002908 | Fabrication and self-aligned local functionalization of nanocups and various plasmonic nanostructures on flexible substrates for implantable and sensing applications | CALIFORNIA INSTITUTE OF TECHNOLOGY |
11002909 | Optical integrated device and optical transmitter module | FURUKAWA ELECTRIC CO,. LTD. |
11002925 | Integrated waveguide coupler | SKORPIOS TECHNOLOGIES, INC. |
11002926 | Wavelength division multiplexing optical module | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11003080 | Process chamber for field guided exposure and method for implementing the process chamber | APPLIED MATERIALS, INC. |
11003210 | Enclosure for electronic devices | -- |
11003211 | Wristband mobile terminal and control method thereof | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
11003213 | Portable computer display structures | APPLE INC. |
11003220 | Electronic apparatus | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11003225 | Computing device using bypass assembly | MOLEX, LLC |
11003226 | Touchscreen sensor electromagnetic interference protection apparatus | ROCKWELL COLLINS, INC. |
11003227 | Liquid-type cooling apparatus and manufacturing method for heat radiation fin in liquid-type cooling apparatus | MITSUBISHI ELECTRIC CORPORATION |
11003231 | Selective power distribution to individual outlets | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11003263 | Wireless charging mousepad and processes thereof | -- |
11003942 | Electron channeling pattern acquisition from small crystalline areas | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11003973 | Near field communication device and corresponding manufacturing process | STMICROELECTRONICS S.R.L. |
11003980 | Wireless communication device and article with the same attached thereto | MURATA MANUFACTURING CO., LTD. |
11004177 | Image processing device and board production system | FUJI CORPORATION |
11004365 | Intelligent LED display | SHENZHEN CREATELED ELECTRONICS CO., LTD. |
11004381 | Array substrate comprising an antenna, driving method and display device | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. |
11004382 | Backlight source and manufacture method thereof, display device | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11004395 | Display device | SAMSUNG DISPLAY CO., LTD. |
11004465 | Magneto-resistance element in which I-III-VI2 compound semiconductor is used, method for manufacturing said magneto-resistance element, and magnetic storage device and spin transistor in which said magneto-resistance element is used | NATIONAL INSTITUTE FOR MATERIALS SCIENCE |
11004574 | Method for manufacturing anisotropic conductive film, and anisotropic conductive film | DEXERIALS CORPORATION |
11004575 | Magnet wire with corona resistant polyimide insulation | ESSEX FURUKAWA MAGNET WIRE USA LLC |
11004576 | Flame retardant electrical cable | PRYSMIAN S.P.A. |
11004577 | Cable type liquid leak sensor | -- |
11004578 | Twisted pair communication cables having dielectric separators that identify pairs | SUPERIOR ESSEX INTERNATIONAL LP |
11004579 | Breakaway pin for overhead electrical lines | ELECTRICAL MATERIALS COMPANY |
11004580 | Explosion-proof assembly for guiding through a stud, and method for producing same | R. STAHL SCHALTGERATE GMBH |
11004581 | Increased resonant frequency alkali-doped Y-phase hexagonal ferrites | SKYWORKS SOLUTIONS, INC. |
11004582 | Molded product of magnetic powder composition comprising magnetic powder of surface-treated magnetite particles, and methods of producing thereof | SOMAR CORPORATION |
11004583 | Magneto-dielectric material comprising hexaferrite fibers, methods of making, and uses thereof | ROGERS CORPORATION |
11004584 | Electric track system for various appliances via magnetic positioning | DELRAY BEACH |
11004585 | Permanent magnet, rotor, motor, and compressor | GUANGDONG MEIZHI COMPRESSOR CO., LTD. |
11004586 | Permanent magnet for a permanent magnet machine | SIEMENS GAMESA RENEWABLE ENERGY A/S |
11004587 | Linear actuator for valve control and operating systems and methods | THE FLORIDA STATE UNIVERSITY RESEARCH FOUNDATION, INC. |
11004588 | Switching of perpendicularly magnetized nanomagnets with spin-orbit torques in the absence of external magnetic fields | UNIVERSITY OF ROCHESTER |
11004589 | High-Q integrated inductor and method thereof | -- |
11004590 | Reactor having iron cores and coils | FANUC CORPORATION |
11004591 | Multilayer transformer structure comprising multiple rectification elements | FLEX LTD. |
11004592 | High-current half-turn windings | CUMMINS POWER GENERATION IP, INC. |
11004593 | Coil component | TAIYO YUDEN CO., LTD. |
11004594 | Surge voltage reduction member | YAZAKI CORPORATION |
11004595 | Coil component and method of manufacturing the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11004596 | Hybrid transformer systems and methods | GEORGIA TECH RESEARCH CORPORATION |
11004597 | Detection apparatus, power supply system, and method of controlling detection apparatus | SONY CORPORATION |
11004598 | Wireless charging coil | A.K. STAMPING COMPANY, INC. |
11004599 | Wireless charging coil | A.K. STAMPING COMPANY, INC. |
11004600 | Permanent magnet and method of making permanent magnet | FORD GLOBAL TECHNOLOGIES, LLC |
11004601 | Forming method for producing a composite part having a permanent magnet | PREH GMBH |
11004602 | Manufacturing method of flat coil and electronic apparatus | GOERTEK INC. |
11004603 | Vertical electrode decoupling/bypass capacitor | AVX CORPORATION |
11004604 | Ceramic electronic device with inflected external electrodes and manufacturing method of ceramic electronic device with reverse pattern slurry | TAIYO YUDEN CO., LTD. |
11004605 | Multilayer ceramic capacitor, circuit substrate and manufacturing method of the same | TAIYO YUDEN CO., LTD. |
11004606 | Dielectric materials using 2D nanosheet network interlayer | UNIVERSITY OF CONNECTICUT |
11004607 | Method for manufacturing multilayer ceramic capacitor | TAIYO YUDEN CO., LTD. |
11004608 | Composite electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11004609 | Multilayer ceramic capacitor having certain interlayer insulation resistance | TAIYO YUDEN CO., LTD. |
11004610 | Method for manufacturing multilayer electronic component | TDK CORPORATION |
11004611 | Acrylic binder and multilayer electronic component using the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11004612 | Low temperature sub-nanometer periodic stack dielectrics | MICROSOL TECHNOLOGIES INC. |
11004613 | Multilayer capacitor | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11004614 | Stacked capacitors for use in integrated circuit modules and the like | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11004615 | Solid electrolytic capacitor for use at high temperatures | AVX CORPORATION |
11004616 | Low leakage electrolytic capacitor | -- |
11004617 | Method for manufacturing organic-inorganic hybrid solar cell | LG CHEM, LTD. |
11004618 | Capacitor with electrodes made of an interconnected corrugated carbon-based network | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
11004619 | Circuit interrupters with non-contact sensor systems for evaluating erosion of electrical contacts and related methods | EATON INTELLIGENT POWER LIMITED |
11004620 | Circuit interrupter and method of determining contact wear based upon temperature | EATON INTELLIGENT POWER LIMITED |
11004621 | Relay | TDK ELECTRONICS AG |
11004622 | On-load tap changer | MASCHINENFABRIK REINHAUSEN GMBH |
11004623 | Cradle assist devices and related kits and methods | EATON INTELLIGENT POWER LIMITED |
11004624 | Circuit breaker lockout apparatus | ABUS AUGUST BREMICKER SöHNE KG |
11004625 | High speed circuit breaker for industrial and railways applications | MICROELETTRICA SCIENTIFICA S.P.A. |
11004626 | Power supply control device, power supply control method, and computer program | AUTONETWORKS TECHNOLOGIES, LTD. |
11004627 | Reaction force generating member and key switch device | FUJITSU COMPONENT LIMITED |
11004628 | Key switch device | OMRON CORPORATION |
11004629 | Lever switch mounted on a vehicle | TOYO DENSO KABUSHIKI KAISHA |
11004630 | Button assembly | -- |
11004631 | Rotation operation input device and electronic apparatus that achieve both downsizing and good operation feeling | CANON KABUSHIKI KAISHA |
11004632 | Vacuum arc extinguishing chamber contact, vacuum arc extinguishing chamber and vacuum circuit breaker | BEIJING ORIENT VACUUM ELECTRIC CO., LTD. |
11004633 | Three-pole polymeric switch having command and protection electronics integrated into a standalone device | -- |
11004634 | Breaker and safety circuit provided with same | BOURNS KK |
11004635 | Electric circuit breaker device | DAICEL CORPORATION |
11004636 | Electrical relay with mounting bracket | ALBRIGHT INTERNATIONAL LIMITED |
11004637 | Field device latching relay reset | ROSEMOUNT INC. |
11004638 | System for monitoring an electromechanical relay, assembly and method for monitoring relay operation conditions | ALSTOM TRANSPORT TECHNOLOGIES |
11004639 | Armature of relay | -- |
11004640 | Relay | OMRON CORPORATION |
11004641 | Thomson coil driven switch assembly with lightweight plunger | ABB SCHWEIZ AG |
11004642 | Switching device of a starting device for an engine | VOLVO TRUCK CORPORATION |
11004643 | Remote controlled circuit breaker panel system | MILLER-EADS CO. INC. |
11004644 | Inverted cylindrical magnetron (ICM) system and methods of use | VACTRONIX SCIENTIFIC, LLC |
11004645 | Display assembly with anti-reflective film applied to video monitor with vacuum suction | DISNEY ENTERPRISES, INC. |
11004646 | X-ray tube and X-ray generation device | HAMAMATSU PHOTONICS K.K. |
11004647 | Compact source for generating ionizing radiation, assembly comprising a plurality of sources and process for producing the source | THALES |
11004648 | Methods and systems for multi-area selective etching | APPLIED MATERIALS, INC. |
11004649 | Ion source device | LUXEMBOURG INSTITUTE OF SCIENCE AND TECHNOLOGY (LIST) |
11004650 | Multipole lens, aberration corrector using the same, and charged particle beam apparatus | HITACHI HIGH-TECH CORPORATION |
11004651 | Tomography-assisted TEM prep with requested intervention automation workflow | FEI COMPANY |
11004652 | Ion milling device | HITACHI HIGH-TECH CORPORATION |
11004653 | Edge detection system | FRACTILIA, LLC |
11004654 | System and method for generating and analyzing roughness measurements | FRACTILIA, LLC |
11004655 | Diffraction pattern detection in a transmission charged particle microscope | FEI COMPANY |
11004656 | Methods and apparatus for determining, using, and indicating ion beam working properties | GATAN, INC. |
11004657 | Multiple electron beam irradiation apparatus, multiple electron beam inspection apparatus, and multiple electron beam irradiation method | NUFLARE TECHNOLOGY, INC. |
11004658 | Plasma processing apparatus and plasma processing method | HITACHI HIGH-TECH CORPORATION |
11004659 | Amorphous carbon thin film, manufacturing method thereof and optical system including the same | -- |
11004660 | Variable output impedance RF generator | EAGLE HARBOR TECHNOLOGIES, INC. |
11004661 | Process chamber for cyclic and selective material removal and etching | APPLIED MATERIALS, INC. |
11004662 | Temperature controlled spacer for use in a substrate processing chamber | LAM RESEARCH CORPORATION |
11004663 | Chamber design for semiconductor processing | APPLIED MATERIALS, INC. |
11004664 | Heat transfer medium supply system and substrate processing apparatus | TOKYO ELECTRON LIMITED |
11004665 | Plasma processing apparatus | SHIBAURA MECHATRONICS CORPORATION |
11004666 | Portable miniaturized thermionic power cell with multiple regenerative layers | UNITED STATES OF AMERICA AS REPRESENTED BY THE ADMINISTRATOR OF NASA |
11004667 | Method and portable ion mobility spectrometer for the detection of an aerosol | SMITHS DETECTION-WATFORD LIMITED |
11004668 | Multipath duty cycle enhancement for mass spectrometry | MICROMASS UK LIMITED |
11004669 | Method and apparatus for analyzing samples using mass spectrometry | DH TECHNOLOGIES DEVELOPMENT PTE. LTD. |
11004671 | Sample handling systems, mass spectrometers and related methods | BIOMERIEUX, INC. |
11004672 | Systems and methods of operation of linear ion traps in dual balanced AC/unbalanced RF mode for 2D mass spectrometry | THERMO FINNIGAN LLC |
11004673 | High-power ultraviolet (UV) and vacuum ultraviolet (VUV) lamps with micro-cavity plasma arrays | EDEN PARK ILLUMINATION |
11004677 | Method for forming metal oxide layer, and plasma-enhanced chemical vapor deposition device | SAMSUNG DISPLAY CO., LTD. |
11004689 | Thermal silicon etch | APPLIED MATERIALS, INC. |
11004703 | Gas flow guiding device for semiconductor processing apparatus and method of using the same | XIA TAI XIN SEMICONDUCTOR (QING DAO) LTD. |
11004707 | Substrate processing apparatus and method | PICOSUN OY |
11004710 | Wafer placement error detection based on measuring a current through an electrostatic chuck and solution for intervention | APPLIED MATERIALS, INC. |
11004716 | Electrostatic chuck assembly and semiconductor manufacturing apparatus including the same | SAMSUNG ELECTRONICS CO., LTD. |
11004717 | Plasma processing apparatus and plasma processing method | TOKYO ELECTRON LIMITED |
11004722 | Lift pin assembly | -- |
11004766 | Cooler | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11004768 | Multi-chip package with partial integrated heat spreader | INTEL CORPORATION |
11004784 | Metal-on-metal capacitor | QUALCOMM INCORPORATED |
11004796 | Integrated fan-out package | -- |
11004799 | Package structure and manufacturing method thereof | -- |
11004809 | Chip package with antenna element | -- |
11004810 | Semiconductor package structure | -- |
11004822 | Wire clamp apparatus calibration method and wire bonding apparatus | SHINKAWA LTD. |
11004849 | Distributed electrical overstress protection for large density and high data rate communication applications | ANALOG DEVICES, INC. |
11004876 | Method for producing a semiconductor chip and semiconductor chip | OSRAM OLED GMBH |
11004893 | Photonic integrated device and manufacturing method thereof | QINGDAO YICHENLEISHUO TECHNOLOGY CO., LTD |
11004897 | Magnetoresistive random access memory and method for fabricating the same | -- |
11004989 | Photodiodes formed on a thermally conductive layer and, photodiode systems | PHASE SENSITIVE INNOVATIONS, INC. |
11005005 | Optoelectronic semiconductor device and method of manufacturing an optoelectronic semiconductor device | OSRAM OLED GMBH |
11005018 | Semiconductor continuous array layer | -- |
11005022 | Vertical transmon qubit device with microstrip waveguides | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11005034 | Magnetoresistive memory device including a high dielectric constant capping layer and methods of making the same | WESTERN DIGITAL TECHNOLOGIES, INC. |
11005086 | Feedthrough forming a terminal for an electrochemical metal-ion battery and associated battery | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11005087 | Systems and methods for infusion mixing a slurry based electrode | 24M TECHNOLOGIES, INC. |
11005145 | Preloaded battery module safety plugs | FORD GLOBAL TECHNOLOGIES, LLC |
11005148 | Liquid crystal phase shifter and fabrication method thereof, liquid crystal antenna and electronic device | BOE TECHNOLOGY GROUP CO., LTD. |
11005149 | Metaconductor skins for low loss RF conductors | UNIVERSITY OF FLORIDA RESEARCH FOUNDATION, INCORPORATED |
11005150 | Assembly for the propagation of waves in the frequency range between 1 GHz and 10 THz | UNIVERSITE DE BORDEAUX |
11005151 | Radome for vehicles and method for manufacturing said radome | ZANINI AUTO GRUP, S.A. |
11005152 | RFID transponder | CONFIDEX OY |
11005153 | Display panel and display device | XIAMEN TIANMA MICRO-ELECTRONICS CO., LTD |
11005154 | Antennas in frames for display panels | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11005155 | Microwave antenna apparatus and package | SONY CORPORATION |
11005156 | Antenna on protrusion of multi-layer ceramic-based structure | SONY MOBILE COMMUNICATIONS INC. |
11005157 | Liquid crystal cell and scanning antenna | SHARP KABUSHIKI KAISHA |
11005158 | Electrically functional structure integration in ultrathin foldable device | DELL PRODUCTS L.P. |
11005159 | Dual antenna wireless communication device in a load control system | LUTRON TECHNOLOGY COMPANY LLC |
11005160 | Near-field communication antenna device and electronic device having same | SAMSUNG ELECTRONICS CO., LTD. |
11005161 | Multilayer bowtie antenna structure | QUALCOMM INCORPORATED |
11005162 | Antenna structure of wireless communication device | -- |
11005163 | Lensed base station antennas that generate antenna beams having omnidirectional azimuth patterns | COMMSCOPE TECHNOLOGIES LLC |
11005164 | Ring-shaped antenna and earphone module having same | AMOTECH CO., LTD. |
11005165 | Cubesat antenna system | CALPOLY CORPORATION |
11005166 | Patch antenna module | AMOTECH CO., LTD. |
11005167 | Low profile antenna-conformal one dimensional | ANTENUM LLC |
11005169 | Antenna and wireless communication device including antenna | SAMSUNG ELECTRONICS CO., LTD. |
11005170 | Millimeter-wave radar cover | NOK CORPORATION |
11005171 | Antenna device | DENSO CORPORATION |
11005172 | Method for producing a radome and corresponding radome | HELLA GMBH & CO. KGAA |
11005173 | ESD protection for antenna port | QORVO INTERNATIONAL PTE. LTD. |
11005174 | Point symmetric complementary meander line slots for mutual coupling reduction | UNIVERSITY OF FLORIDA RESEARCH FOUNDATION, INCORPORATED |
11005175 | Hybrid metal sheet for magnetic shielding and wireless power transmission module including same | AMOSENSE CO., LTD. |
11005176 | Radome shell having a non-uniform structure | WISENSE TECHNOLOGIES LTD |
11005177 | Wireless telecommunication antenna mount and control system and methods of operating the same | RADIARC TECHNOLOGIES, LLC |
11005178 | Antenna and antenna array configurations, antenna systems and related methods of operation | PHASE SENSITIVE INNOVATIONS, INC. |
11005179 | Feed structure for a metamaterial antenna system | METAWAVE CORPORATION |
11005180 | Display device having NFC communication function | SUZHOU QINGYUE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11005181 | Multi-layer antenna assembly and related antenna array | QORVO US, INC. |
11005182 | Patch antenna | YOKOWO CO. , LTD. |
11005184 | Antenna apparatus | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11005185 | Millimeter wave conformal slot antenna | BAE SYSTEMS INFORMATION AND ELECTRONIC SYSTEMS INTEGRATION INC. |
11005186 | Tunable liquid crystal metasurfaces | LUMOTIVE, LLC |
11005187 | Antenna structure with metamaterial | GOVERNMENT OF THE UNITED STATES, AS REPRESENTED BY THE SECRETARY OF THE ARMY |
11005188 | Enhanced antenna systems | FRACTAL ANTENNA SYSTEMS, INC. |
11005189 | Technique for reconstruction of radiation patterns for antennas working in close proximity of conductive bodies | UNITED STATES OF AMERICA AS REPRESENTED BY THE SECRETARY OF THE AIR FORCE |
11005190 | Antenna array | -- |
11005191 | Omni-directional horizontally polarized antenna system | PC-TEL, INC. |
11005192 | Intelligent metamaterial radar having a dynamically controllable antenna | METAWAVE CORPORATION |
11005193 | Antenna and electronic device with the same | SAMSUNG ELECTRONICS CO., LTD. |
11005194 | Radio services providing with multi-radio wireless network devices with multi-segment multi-port antenna system | EVEREST NETWORKS, INC. |
11005195 | Encapsulated IPC lug connector | HUBBELL INCORPORATED |
11005196 | Electrical connector | STARCONN ELECTRONIC (SU ZHOU) CO., LTD |
11005197 | Control unit having press-fit structure | MITSUBISHI ELECTRIC CORPORATION |
11005198 | Connector | HOSIDEN CORPORATION |
11005199 | Electrical plug connector and electrical receptacle connector | -- |
11005200 | Connector assembly and connector | JAPAN AVIATION ELECTRONICS INDUSTRY, LIMITED |
11005201 | Connector that automatically latch-locks a flat conducting wire | -- |
11005202 | Connector | MOLEX, LLC |
11005203 | Terminal module | AUTONETWORKS TECHNOLOGIES, LTD. |
11005204 | High speed electrical connector assembly | CARLISLE INTERCONNECT TECHNOLOGIES, INC. |
11005205 | Stable female terminal and stable male-female plug-in electrical connector using same | XIAMEN GHGM INDUSTRIAL TRADE CO., LTD. |
11005206 | Plug-in coupling for a battery unit | HILTI AKTIENGESELLSCHAFT |
11005207 | Plug-and-socket connector for an electrical plug-and-socket connection, and electrical plug-and-socket connection created therewith | WAGO VERWALTUNGSGESELLSCHAFT MBH |
11005208 | Connector and wire harness | YAZAKI CORPORATION |
11005209 | Receptacle connector | -- |
11005210 | Electrical connector | MOLEX, LLC |
11005211 | Method for manufacturing cable with connector and cable with connector | TOKYO ELECTRON LIMITED |
11005212 | Coaxial cable connector sleeve with cutout | PPC BROADBAND, INC. |
11005213 | Method of operating a connector latch for a housing | J.S.T. CORPORATION |
11005214 | Locking electrical adaptor | -- |
11005215 | Connector and connector assembly | MOLEX, LLC |
11005216 | Connector and connector structure | YAZAKI CORPORATION |
11005217 | Anti-electrostatic discharge board to board floating connector | -- |
11005218 | Shielding structure for an electrical connector | TE CONNECTIVITY SERVICES GMBH |
11005219 | Gaussian chamber cable direct connector | -- |
11005220 | Medical imaging device connector assembly | KONINKLIJKE PHILIPS N.V. |
11005221 | Charging plug and charging station for a motor vehicle | PHOENIX CONTACT E-MOBILITY GMBH |
11005222 | Powered wall plate | -- |
11005223 | High-density switch | HD NETWORKS, LLC |
11005224 | Electrical adapter with integral lever arms | CORDELIA LIGHTING, INC. |
11005225 | Docking cradle for a computing device having multiple mounting orientations | PANASONIC AVIONICS CORPORATION |
11005226 | Wire with terminal production method, crimping tool and wire with terminal | AUTONETWORKS TECHNOLOGIES, LTD. |
11005227 | Multi-wavelength adjustable-radial-mode fiber laser | NUFERN |
11005228 | Laser machining device and laser machining method | KEYENCE CORPORATION |
11005229 | All solid-state laser light source device | HAN'S LASER TECHNOLOGY INDUSTRY GROUP CO., LTD. |
11005230 | Combiner, fiber laser device, and method for manufacturing combiner | FUJIKURA LTD. |
11005231 | Strain-balanced semiconductor structure | IQE PIC |
11005232 | Light source device | OLYMPUS CORPORATION |
11005233 | Ultra-low noise, highly stable single-mode operation, high power, Bragg grating based semiconductor laser | MORTON HYBRID LASERS, LLC |
11005234 | Laser bar device having multiple emitters | KYOCERA SLD LASER, INC. |
11005235 | Method for manufacturing surge absorbing device | AJOU UNIVERSITY INDUSTRY-ACADEMIC COOPERATION FOUNDATION |
11005236 | Spark plug | NGK SPARK PLUG CO., LTD. |
11005237 | Ignition plug | NGK SPARK PLUG CO., LTD. |
11005238 | Spark plug | DENSO CORPORATION |
11005241 | Distribution of a dielectric gaseous mixture to a high-voltage apparatus | L'AIR LIQUIDE, SOCIETé ANONYME POUR L'ETUDE ET L'EXPLOITATION DES PROCéDéS GEORGES CLAUDE |
11005242 | Wiring member and composite harness | SUMITOMO WIRING SYSTEMS, LTD. |
11005248 | Electrical box with movable access panel | -- |
11005251 | Apparatus for protecting a component of a power distribution system against wildlife | HUBBELL INCORPORATED |
11005258 | Method for detecting and transmitting dormant failure information | THALES |
11005260 | Leakage current detection and interruption device for power cord, and power connector and appliance employing the same | SUZHOU |
11005265 | System and method for implementing a zero-sequence current filter for a three-phase power system | SWITCHED SOURCE LLC |
11005275 | Intelligent charging USB splitter | WBTEC, LLC |
11005280 | Multi-battery and multi-device connection system | CONNECT-EASE L.L.C. |
11005281 | Charging device | LG ELECTRONICS INC. |
11005285 | Wireless power transfer | MOJO MOBILITY, INC. |
11005290 | Electric grid control system based on peer-to-peer network | INNOGY INNOVATION GMBH |
11005292 | Wireless power supply device and electrical apparatus | TYCO ELECTRONICS (SHANGHAI) CO. LTD. |
11005295 | Non-contact power feeding device | FUJI CORPORATION |
11005299 | Wireless power transmitter and receiver for vehicle | LG ELECTRONICS INC. |
11005325 | Rotating electric machine, stator of rotating electric machine, and compressor | MITSUBISHI ELECTRIC CORPORATION |
11005332 | Hybrid transmission high voltage connection | FORD GLOBAL TECHNOLOGIES, LLC |
11005345 | Punch processing method for electrical steel sheets and method for manufacturing laminated core | JFE STEEL CORPORATION |
11005390 | AC power transfer over self-passivating connectors | NORTHROP GRUMMAN SYSTEMS CORPORATION |
11005417 | Junction box for snap together electrical connections to photovoltaic panels | SOLAREDGE TECHNOLOGIES LTD. |
11005436 | Monolithic microwave integrated circuit having an overlay transformer and low impedance transmission lines | BAE SYSTEMS INFORMATION AND ELECTRONIC SYSTEMS INTEGRATION INC. |
11005437 | Spatial power-combining devices with thin film resistors | QORVO US, INC. |
11005441 | Audio/video power processor and audio/video playback system | -- |
11005452 | Impedance tuner and signal amplification device | MITSUBISHI ELECTRIC CORPORATION |
11005486 | Atomic oscillator and frequency signal generation system | SEIKO EPSON CORPORATION |
11005529 | Fuseless switch for cross phase of power line communication | -- |
11005546 | Antenna system, signal processing system, and signal processing method | HUAWEI TECHNOLOGIES CO., LTD. |
11005559 | Repeater and radiation pattern forming method for the same | -- |
11005562 | Broadband satellite communication system using optical feeder links | VIASAT, INC. |
11005580 | Array antenna calibration method and device | DATANG MOBILE COMMUNICATIONS EQUIPMENT CO., LTD. |
11005581 | Calibration of an antenna array that uses low-resolution phase shifters | FACEBOOK, INC. |
11005657 | System and method for automatically triggering the communication of sensitive information through a vehicle to a third party | NIO USA, INC. |
11005980 | Mobile terminal | LG ELECTRONICS INC. |
11006054 | Device for radiating or receiving electromagnetic waves | CANON KABUSHIKI KAISHA |
11006260 | Wireless sensor information monitoring | LONZA LTD. |
11006484 | Shielded fluoropolymer wire for high temperature skin effect trace heating | NVENT SERVICES GMBH |
11006498 | Robust UV-C anti-fouling device having a single-wire power source | KONINKLIJKE PHILIPS N.V. |
11006511 | Laser device and extreme ultraviolet light generation device using delay determination at a shutter | GIGAPHOTON INC. |
11006513 | Electronic assembly having sectional thermal management | DEERE & COMPANY |
11006517 | Printed circuit board and storage device including printed circuit board | SAMSUNG ELECTRONICS CO., LTD. |
11006521 | Wiring base plate, electronic device package, and electronic device | KYOCERA CORPORATION |
11006525 | Display device and method of manufacturing the same | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11006526 | Semiconductor storage device | TOSHIBA MEMORY CORPORATION |
11006533 | Frame for a display device, display device, and method of manufacturing the same | FAURECIA INTERIEUR INDUSTRIE |
11006534 | Tiled display and method of assembling the same | LG DISPLAY CO., LTD. |
11006535 | Housing construction for snap-in retention | VERILY LIFE SCIENCES LLC |
11006536 | Deployable hardened housing units | CACI, INC.—FEDERAL |
11006537 | Device comprising a movable component | BRECKERFIELD |
11006539 | Double line replaceable module locking bracket | HAMILTON SUNDSTRAND CORPORATION |
11006540 | Circuit board for mechanically fastening a housing | TRIDONIC GMBH & CO KG |
11006541 | Housing, electronic device, and method for manufacturing housing | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11006542 | Power-supply connector for shelves and power-supply system with tracks for use thereof | SELF ELECTRONICS CO., LTD. |
11006543 | Cover assembly for equipment rack | SCHNEIDER ELECTRIC IT CORPORATION |
11006544 | Automatic component discovery mechanism | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11006545 | Textile machine comprising a cooling arrangement | MASCHINENFABRIK RIETER AG |
11006546 | Equipment enclosure fan control systems and methods | EATON INTELLIGENT POWER LIMITED |
11006547 | Solution for precision cooling and fluid management optimization in immersion cooling | BAIDU USA LLC |
11006548 | Method and device to provide uniform cooling in rugged environments | SMART EMBEDDED COMPUTING, INC. |
11006549 | Additively manufactured cooling assemblies for thermal and/or mechanical systems, and methods for manufacturing the assemblies | GENERAL ELECTRIC COMPANY |
11006550 | Air flow disturbance determination in a cooling system | INVENSENSE, INC. |
11006551 | Method for re-direction of airflow from air handler system(s) integrated into a modular data center | DELL PRODUCTS L.P. |
11006552 | Air flow methods and systems for a welder-generator | ILLINOIS TOOL WORKS INC. |
11006553 | Magnetic field shielding sheet for a wireless charger, method for manufacturing same, and receiving apparatus for a wireless charger using the sheet | VIRGINIA WIRELESS AND STREAMING TECHNOLOGIES LLC |
11006554 | Electromagnetic interference shielding film, circuit board, and preparation method for electromagnetic interference shielding film | GUANGZHOU FANG BANG ELECTRONIC CO., LTD. |
11006555 | Shield member, shield member-attached electric wire, intermediate product for shield member, and method for producing shield member | AUTONETWORKS TECHNOLOGIES, LTD. |
11006556 | Electromagnetic shield structure and wire harness | FURUKAWA ELECTRIC CO., LTD. |
11006557 | Cloth electronization product and method | -- |
11006558 | Mounting management device | FUJI CORPORATION |
11006559 | Component mounting machine | FUJI CORPORATION |
11006560 | Method of manufacturing component-mounted substrate | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11006561 | Component mounter | FUJI CORPORATION |
11006674 | Assembly substation for assembling a cartridge for a smoking article and related method | RAI STRATEGIC HOLDINGS, INC. |
11006687 | Modular tree with rotation-lock electrical connectors | -- |
11006775 | System, apparatus, and method for providing an electrical safety circuit | NATIONAL CHRISTMAS PRODUCTS LLC |
11006832 | Wireless sensor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11006909 | Radiation shielding apparatuses and applications thereof | RADIACTION, LTD. |
11007371 | Wireless midfield systems and methods | THE BOARD OF TRUSTEES OF THE LELAND STANFORD JUNIOR UNIVERSITY |
11007381 | Increased beam output and dynamic field shaping for radiotherapy system | VARIAN MEDICAL SYSTEMS, INC |
11007478 | Air ionization system and device | IONAER INTERNATIONAL ARIZONA, LLC |
11007560 | Winding wire manufacturing device and control method for the same | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11007602 | Ultrasonic welding jig, terminal-equipped electric cable manufacturing method, and terminal-equipped electric cable | AUTONETWORKS TECHNOLOGIES, LTD. |
11007722 | Dielectric-heating bonding film and joining method using dielectric-heating bonding film | LINTEC CORPORATION |
11007749 | Display device and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11007754 | Film and hidden switch structure having the same | SEOYON E-HWA CO., LTD. |
11007888 | Locking device for vehicle | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11007954 | Sheeted harness | YAZAKI CORPORATION |
11008153 | Multiply-insulated assemblies | CONCEPT GROUP LLP |
11008181 | System for transferring substrate | SAMSUNG DISPLAY CO., LTD. |
11008415 | Resin cured product, electrical device, motor, transformer, cable sheath, mobile, structure, and method for healing resin cured product | HITACHI, LTD. |
11008439 | Solid polymeric articles having hydrophobic compounds intermixed therein | THE CHEMOURS COMPANY FC, LLC |
11008633 | Non-oriented electrical steel sheet and production method thereof | JFE STEEL CORPORATION |
11008643 | Tunable anisotropy of co-based nanocomposites for magnetic field sensing and inductor applications | CARNEGIE MELLON UNIVERSITY |
11008649 | Advanced sputter targets for ion generation | APPLIED MATERIALS, INC. |
11008650 | Compact system for coupling RF power directly into RF linacs | STARFIRE INDUSTRIES LLC |
11008651 | DC magnetron sputtering | SPTS TECHNOLOGIES LIMITED |
11008655 | Components such as edge rings including chemical vapor deposition (CVD) diamond coating with high purity SP3 bonds for plasma processing systems | LAM RESEARCH CORPORATION |
11008656 | Roll to roll fabrication apparatus for preventing thermal impact | LG DISPLAY CO., LTD. |
11008731 | Work vehicle | KOMATSU LTD. |
11008759 | Roofing product including a heater | CERTAINTEED CORPORATION |
11008798 | Safety door switch | KEYENCE CORPORATION |
11008841 | Self-forming travelling wave antenna module based on single conductor transmission lines for electromagnetic heating of hydrocarbon formations and method of use | ACCELEWARE LTD. |
11008931 | Pre-chamber type internal combustion engine | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11009054 | Fixing device, display module and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11009148 | Displacement transducer | ROBERT BOSCH GMBH |
11009216 | Optical signaling system for a smart-home device | GOOGLE LLC |
11009254 | Air conditioner having relay coil abnormality voltage control | MITSUBISHI ELECTRIC CORPORATION |
11009375 | Methodology for in situ characterizing and calibrating an entangled photon distribution system | THE UNITED STATES OF AMERICA AS REPRESENTED BY THE SECRETARY OF THE ARMY |
11009387 | Superconducting nanowire single photon detector and method of fabrication thereof | PSIQUANTUM CORP. |
11009403 | Time domain temperature sensor circuit with enhanced resolution | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11009404 | Capacitor-based temperature-sensing device | -- |
11009412 | Microelectromechanical scalable bulk-type piezoresistive force/pressure sensor | STMICROELECTRONICS S.R.L. |
11009459 | Fast two-photon imaging by diffracted swept-laser excitation | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
11009538 | Micro resonator array system | APPLIED MATERIALS, INC. |
11009560 | Method for testing multicore cable, method for manufacturing multicore cable assembly, and multicore cable test device | HITACHI METALS, LTD. |
11009568 | Magnetoresistive sensors and methods for generating closed flux magnetization patterns | INFINEON TECHNOLOGIES AG |
11009569 | Magnetic field sensing device | -- |
11009570 | Hybrid oxide/metal cap layer for boron-free free layer | SAMSUNG ELECTRONICS CO., LTD. |
11009585 | Microwave and millimeter wave imaging | THE CURATORS OF THE UNIVERSITY OF MISSOURI |
11009657 | Optical fiber splice encapsulated by a cladding light stripper | NLIGHT, INC. |
11009664 | Methods and system for wavelength tunable optical components and sub-systems | AEPONYX INC. |
11009695 | Occulter petal unfurling system | TENDEG LLC |
11009705 | Free space multiple laser diode module with fast axis collimator | GOOGLE LLC |
11009717 | Stackable laser beam module assembly | -- |
11009783 | Liquid cooled heat dissipation module and projection device | -- |
11009867 | Low-cost distributed multifunction radio frequency (MFRF) swarming unmanned aircraft systems (UAS) | ROCKWELL COLLINS, INC. |
11009906 | Information handling system display adaptive magnetic sound bar attachment | DELL PRODUCTS L.P. |
11009914 | Electronic device | -- |
11009920 | Adjustable hinge module for information handling systems | DELL PRODUCTS L.P. |
11009922 | Wireless intelligent electronic device | ELECTRO INDUSTRIES/GAUGETECH |
11009924 | Systems and methods for combined active and passive cooling of an information handling resource | DELL PRODUCTS L.P. |
11009925 | Electronic device for liquid immersion cooling | EXASCALER INC. |
11009928 | Optimizing airflow in a network device | ARISTA NETWORKS, INC. |
11009986 | Sensor and electronic device | SONY CORPORATION |
11010225 | Electronic control unit including a break-output section configured to output a break signal to interrupt an input of a monitoring signal to an external monitoring circuit | DENSO CORPORATION |
11010325 | Memory card slot interface adapter | MICROSOFT TECHNOLOGY LICENSING, LLC |
11010326 | Universal serial bus voltage reducing adaptor | WESTERN DIGITAL TECHNOLOGIES, INC. |
11010996 | Passive entry/passive start systems using I and Q data for detecting range extender type relay station attacks | DENSO INTERNATIONAL AMERICA, INC. |
11011032 | Method of supplying tactile information | CK MATERIALS LAB CO., LTD. |
11011084 | Modular mounting system | CHRISTIE DIGITAL SYSTEMS USA, INC. |
11011168 | Compact speaker device | GOOGLE LLC |
11011281 | Conductive sheet and conductive pattern | DONGWOO FINE-CHEM CO., LTD. |
11011282 | Wearable microwave meta-skin with tunable frequency selective and cloaking effects | IOWA STATE UNIVERSITY RESEARCH FOUNDATION, INC. |
11011283 | Easy clean cable | GENERAL CABLE TECHNOLOGIES CORPORATION |
11011284 | Data line convenient for storage | SHENZHEN POPEYE INCUBATION INC LIMITED |
11011285 | Method of manufacturing electrical cable, and resulting product, with reduced required installation pulling force | SOUTHWIRE COMPANY, LLC |
11011286 | Cable | HITACHI METALS, LTD. |
11011287 | Electrical HV transmission power cable | BOREALIS AG |
11011288 | Hybrid electrical/optical data/power cabling system | DELL PRODUCTS L.P. |
11011289 | Anti-kink device for a cable | LEONI KABEL GMBH |
11011290 | Method for manufacturing resistor, and resistor | KOA CORPORATION |
11011291 | Laminated electronic component | MURATA MANUFACTURING CO., LTD. |
11011292 | Electronic component | MURATA MANUFACTURING CO., LTD. |
11011293 | Inductor component | MURATA MANUFACTURING CO., LTD. |
11011294 | Multilayer coil component | TDK CORPORATION |
11011295 | High efficiency on-chip 3D transformer structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011296 | Method for manufacturing a surface mounted inductor | MURATA MANUFACTURING CO., LTD. |
11011297 | Semiconductor device and semiconductor module | ROHM CO., LTD. |
11011298 | Coil component | MURATA MANUFACTURING CO., LTD. |
11011299 | Terminal plate member of coil component and method for manufacturing electronic component | SUMIDA CORPORATION |
11011300 | Electronic component | MURATA MANUFACTURING CO., LTD. |
11011301 | Magnetic coupling coil component | TAIYO YUDEN CO., LTD. |
11011302 | Common-mode choke coil | MURATA MANUFACTURING CO., LTD. |
11011303 | Dummy fill with eddy current self-canceling element for inductor component | GLOBALFOUNDRIES U.S. INC. |
11011304 | Multilayer electronic component | TDK CORPORATION |
11011305 | Powder magnetic core, and coil component | HITACHI METALS, LTD. |
11011306 | Ceramic electronic component and method for producing ceramic electronic component | MURATA MANUFACTURING CO., LTD. |
11011307 | Electronic component | MURATA MANUFACTURING CO., LTD. |
11011308 | Multilayer ceramic electronic component | MURATA MANUFACTURING CO., LTD. |
11011309 | Ceramic electronic component, ceramic electronic component manufacturing method, and ceramic electronic component-mounting circuit board | TAIYO YUDEN CO., LTD |
11011310 | Electronic component with external electrode including sintered layer and conductive resin layer on the sintered layer | TDK CORPORATION |
11011311 | Multilayer capacitor | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11011312 | Multilayer ceramic capacitor using molybdenum (Mo) ground layer and manufacturing method of the same | TAIYO YUDEN CO., LTD. |
11011313 | Multilayer ceramic capacitor | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11011314 | Multilayer ceramic capacitor and manufacturing method of multilayer ceramic capacitor | TAIYO YUDEN CO., LTD. |
11011315 | Thin film capacitor, manufacturing method therefor, and multilayer circuit board embedded with thin film capacitor | TDK CORPORATION |
11011316 | Capacitor and method for manufacturing same | NIPPON CHEMI-CON CORPORATION |
11011317 | Solid electrolytic capacitor | MURATA MANUFACTURING CO., LTD. |
11011318 | Solid electrolytic capacitor | MURATA MANUFACTURING CO., LTD. |
11011319 | Electronic component | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11011320 | Bus stop using large-scale perovskite solar cell | PUSAN NATIONAL UNIVERSITY INDUSTRY-UNIVERSITY COOPERATION FOUNDATION |
11011321 | Electrochemical energy storage device | FLORIDA STATE UNIVERSITY RESEARCH FOUNDATION, INC. |
11011322 | Graphene alignment in solid polymer electrolyte | THE BOARD OF REGENTS FOR OKLAHOMA STATE UNIVERSITY |
11011323 | Sensing devices, sensors, and methods for monitoring environmental conditions | BELLUTECH LLC |
11011324 | Contact device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11011325 | Locking push-button switch housing with a jig fulcrum projection | OMRON CORPORATION |
11011326 | Button | GOERTEK TECHNOLOGY CO. LTD. |
11011327 | Rotary bridge micro-switch | DEFOND ELECTECH CO., LTD. |
11011328 | Key module, keyboard and electronic device using same | LITE-ON ELECTRONICS (GUANGZHOU) LIMITED |
11011329 | Reaction force generating member for a key switch device | FUJITSU COMPONENT LIMITED |
11011330 | Keyboard device | -- |
11011331 | Limit switch | OMRON CORPORATION |
11011333 | Force-distance controlled mechanical switch | ROHDE & SCHWARZ GMBH & CO. KG |
11011334 | Electromagnetic switch | MAHLE INTERNATIONAL GMBH |
11011335 | In or relating to circuit interruption devices | GENERAL ELECTRIC TECHNOLOGY GMBH |
11011336 | Direct-acting electromagnetic trip device | ZHEJIANG CHINT ELECTRICS CO., LTD. |
11011337 | Fast spin-polarized electron source | NUTECH VENTURES |
11011338 | Annular cathode for vacuum tube | THALES |
11011339 | Magnetron | -- |
11011340 | Ion generation composite target and laser-driven ion acceleration apparatus using the same | -- |
11011341 | Transmission target for a high power electron beam | VAREX IMAGING CORPORATION |
11011342 | Beam bender | EBARA CORPORATION |
11011343 | High-current ion implanter and method for controlling ion beam using high-current ion implanter | APPLIED MATERIALS, INC. |
11011344 | Interferometric electron microscope | HITACHI, LTD. |
11011345 | Charged particle beam device | HITACHI HIGH-TECH CORPORATION |
11011346 | Electron beam device and image processing method | HITACHI HIGH-TECH CORPORATION |
11011347 | Plasma processing apparatus | TOKYO ELECTRON LIMITED |
11011348 | Scanning electron microscope and sample observation method using scanning electron microscope | HITACHI HIGH-TECH CORPORATION |
11011349 | System, method, and apparatus for controlling ion energy distribution in plasma processing systems | AES GLOBAL HOLDINGS, PTE. LTD. |
11011350 | Variable power capacitor for RF power applications | -- |
11011351 | Monoenergetic ion generation for controlled etch | LAM RESEARCH CORPORATION |
11011352 | Atmospheric pressure plasma device | KYUNGPOOK NATIONAL UNIVERSITY INDUSTRY-ACADEMIC COOPERATION FOUNDATION |
11011353 | Systems and methods for performing edge ring characterization | LAM RESEARCH CORPORATION |
11011354 | Non-pertubative measurements of low and null magnetic field in high temperature plasmas | TAE TECHNOLOGIES, INC. |
11011355 | Temperature-tuned substrate support for substrate processing systems | LAM RESEARCH CORPORATION |
11011356 | Sputtering target with backside cooling grooves | APPLIED MATERIALS, INC. |
11011357 | Methods and apparatus for multi-cathode substrate processing | APPLIED MATERIALS, INC. |
11011358 | Electron multiplier having resistance value variation suppression and stablization | HAMAMATSU PHOTONICS K.K. |
11011359 | Techniques for processing of mass spectral data | WATERS TECHNOLOGIES CORPORATION |
11011360 | Analyzer apparatus and control method | ATONARP INC. |
11011362 | Fast continuous SRM acquisitions with or without ion trapping | THERMO FINNIGAN LLC |
11011363 | Enclosure for ambient ionisation ion source | MICROMASS UK LIMITED |
11011364 | Apparatus configured to produce an image charge/current signal | SHIMADZU CORPORATION |
11011365 | Mass spectrometry system and working method and application thereof, and sampling device used therein | NINGBO UNIVERSITY |
11011366 | Broadband ultraviolet illumination sources | KLA CORPORATION |
11011367 | Light-emitting tube array-type light source device | SHIKOH TECH CO., LTD. |
11011368 | Microwave excited ultraviolet lamp system with data logging and retrieval circuit and method | NORDSON CORPORATION |
11011386 | Etching method and plasma treatment device | TOKYO ELECTRON LIMITED |
11011388 | Plasma apparatus for high aspect ratio selective lateral etch using cyclic passivation and etching | LAM RESEARCH CORPORATION |
11011396 | Customized smart devices and touchscreen devices and cleanspace manufacturing methods to make them | -- |
11011404 | Ceramic structure, member for substrate-holding apparatus, and method for producing the ceramic structure | NGK INSULATORS, LTD. |
11011405 | Apparatus for supporting substrate having gas supply hole and method of manufacturing same | SEMES CO., LTD. |
11011454 | Power module apparatus, cooling structure, and electric vehicle or hybrid electric vehicle | ROHM CO., LTD. |
11011461 | Perpendicular inductors integrated in a substrate | QUALCOMM INCORPORATED |
11011465 | Single crystal silicon carbide substrate, method of manufacturing single crystal silicon carbide substrate, and semiconductor laser | HITACHI POWER SOLUTIONS CO., LTD. |
11011474 | Electromagnetic wave attenuator and electronic device | KABUSHIKI KAISHA TOSHIBA |
11011481 | Configurable resistor | INTEL CORPORATION |
11011558 | Energy ray detector, detection apparatus, and equipment | CANON KABUSHIKI KAISHA |
11011575 | Circuit selector of embedded magnetoresistive random access memory | -- |
11011605 | Diamond semiconductor device | EVINCE TECHNOLOGY LTD. |
11011663 | Semiconductor element for oscillating or detecting terahertz wave and manufacturing method of semiconductor element | CANON KABUSHIKI KAISHA |
11011718 | Solar cell and method for manufacturing solar cell | KYOCERA CORPORATION |
11011729 | Display module and method of manufacturing same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11011748 | Electroactive materials for metal-ion batteries | NEXEON LIMITED |
11011755 | Holey graphene framework composites for ultra-high rate energy storage and methods of preparing such composites | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
11011778 | Solid-state electrolyte and all-solid-state battery | MURATA MANUFACTURING CO., LTD. |
11011793 | Protection of an electrical energy accumulation device | THALES |
11011798 | Energy storage device | GS YUASA INTERNATIONAL LTD. |
11011801 | Battery connectors for implantable medical devices | MEDTRONIC, INC. |
11011813 | Power amplifier with shielded transmission lines | NXP B.V. |
11011814 | Coupling comprising a conductive wire embedded in a post-wall waveguide and extending into a hollow tube waveguide | FUJIKURA LTD. |
11011815 | Circularly-polarized dielectric waveguide launch for millimeter-wave data communication | TEXAS INSTRUMENTS INCORPORATED |
11011816 | Radar assembly with a slot transition through a printed circuit board | APTIV TECHNOLOGIES LIMITED |
11011817 | Waveguide-excited terahertz microstrip antenna | TSINGHUA UNIVERSITY |
11011818 | Transformer having series and parallel connected transmission lines | WERLATONE, INC. |
11011819 | Directional coupler and method for manufacturing the same as well as radio transmitter and radio device | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11011820 | Antenna system providing coverage for multiple-input multiple-output, MIMO, communication, a method and system | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11011821 | Deployable conical space antenna and associated methods | EAGLE TECHNOLOGY, LLC |
11011822 | Antenna apparatus, circuit board, and arrangement method | NEC CORPORATION |
11011823 | Automation field device | ENDRESS+HAUSER SE+CO. KG |
11011824 | Antenna unit and electronic device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11011825 | Antenna assembly comprising antennas formed on inclined side surface of printed circuit board and electronic device comprising the same | SAMSUNG ELECTRONICS CO., LTD. |
11011826 | Near-field electromagnetic induction (NFEMI) device | NXP B.V. |
11011827 | Antenna boards and communication devices | INTEL IP CORPORATION |
11011828 | Apparatus and method for arranging antennas supporting millimeter wave frequency bands | SAMSUNG ELECTRONICS CO., LTD. |
11011829 | Antenna device and electronic apparatus | -- |
11011830 | Wireless communication system within an external enclosure for attachment to a vehicle | UAVIONIX CORPORATION |
11011831 | Directional antenna | YAMAHA HATSUDOKI KABUSHIKI KAISHA |
11011832 | Radio wave transparent cover | TOYODA GOSEI CO., LTD. |
11011833 | Antenna structure and electronic device | -- |
11011834 | Metamaterials, radomes including metamaterials, and methods | FLORIDA STATE UNIVERSITY RESEARCH FOUNDATION, INC. |
11011835 | Adjustable-tilt housing with flattened dome shape, array antenna, and bracket mount | UBIQUITI INC. |
11011836 | Adjacent antenna interference mitigation | THE BOEING COMPANY |
11011837 | Communications terminal | HUAWEI TECHNOLOGIES CO., LTD. |
11011838 | Heterogeneous network optimization utilizing modal antenna techniques | ETHERTRONICS, INC. |
11011839 | Method for orienting reflectors of a terahertz communications system | FUNDACION CENTRO DE TECNOLOGIAS DE INTERACCION VISUAL Y COMUNICACIONES VICOMTECH |
11011840 | Traces between phase array antenna and radio frequency integrated circuit in mm wave systems | FACEBOOK, INC. |
11011841 | Method of eliminating resonances in multiband radiating arrays | COMMSCOPE TECHNOLOGIES LLC |
11011842 | RFID system | DEKA PRODUCTS LIMITED PARTNERSHIP |
11011843 | Antenna element, antenna module, and communication apparatus | MURATA MANUFACTURING CO., LTD. |
11011844 | Antenna module and electronic device including the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11011845 | Hearing assistance device incorporating a quarter wave stub as a solderless antenna connection | STARKEY LABORATORIES, INC. |
11011846 | Antenna and semiconductor device with improved tradeoff relationship between antenna gain and antenna size | LAPIS SEMICONDUCTOR CO., LTD. |
11011847 | Multi-antenna structure with two radiating antennas with one antenna fed from the other antenna | PLUME DESIGN, INC. |
11011848 | Quad-tapered slot antenna with thinned blades | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
11011849 | Antenna structure | -- |
11011850 | Antenna apparatus and electronic device | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
11011851 | Multi-antenna system | HUAWEI TECHNOLOGIES CO., LTD. |
11011852 | Antenna system | NOKIA SHANGHAI BELL CO., LTD. |
11011853 | Laminar phased array with polarization-isolated transmit/receive interfaces | ANOKIWAVE, INC. |
11011854 | Polymer dispersed/shear aligned phase modulator device | WAFER LLC |
11011855 | Antenna system | -- |
11011856 | Dual vertical beam cellular array | HUAWEI TECHNOLOGIES CO., LTD. |
11011857 | Wire termination using fixturing elements | ADVANCED BIONICS AG |
11011858 | Multiple bussed terminations | TE CONNECTIVITY INDIA PRIVATE LIMITED |
11011859 | Plug-type connector with insulation displacement contact | HARTING ELECTRONICS GMBH |
11011860 | Plug terminal block structure | -- |
11011861 | Stacked receptacle connector assembly | TE CONNECTIVITY SERVICES GMBH |
11011862 | Electrical connector | -- |
11011863 | Electrical connector | -- |
11011864 | Electrical receptacle connector | -- |
11011865 | Connector | AUTONETWORKS TECHNOLOGIES, LTD. |
11011866 | Electric connector and manufacturing method thereof | -- |
11011867 | Outlet cover assembly with electrical connection | IBO PARTNERS, LLC |
11011868 | Opening sealing member and electrical device | SUMITOMO WIRING SYSTEMS, LTD. |
11011869 | Connector seal structure | HONDA MOTOR CO., LTD. |
11011870 | First connector, second connector and electrical connector assembly | MOLEX, LLC |
11011871 | Connector for different connection types | OMRON CORPORATION |
11011872 | Inserting/removing assembly | -- |
11011873 | Connector assembly | MOLEX, LLC |
11011874 | Connector and connector assembly | MOLEX, LLC |
11011875 | Electrical cable braid positioning clip | TE CONNECTIVITY SERVICES GMBH |
11011876 | System and method for remote management of network interface peripherals | DELL PRODUCTS L.P. |
11011877 | Tamper resistant power receptacle | -- |
11011878 | Electrical receptacle with prong receptacles within a front plate thickness | -- |
11011879 | High-density patch panel | HD NETWORKS, LLC |
11011880 | Connecting cable, connecting cable assembly, and driving system | LIMOSS (DONGGUAN) CO., LTD. |
11011881 | Interchangeable plug charger with coaxial conductive structure | SALCOMP (SHENZHEN) CO., LTD. |
11011882 | Ultrafast electro-optic laser | GOVERNMENT OF THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF COMMERCE |
11011883 | Radio frequency slab laser | KERN TECHNOLOGIES, LLC |
11011884 | Systems and methods for designing optical devices having mode selective facets | X DEVELOPMENT LLC |
11011885 | Laser device and light-source device | FURUKAWA ELECTRIC CO., LTD. |
11011886 | Packaging of a directly modulated laser chip in photonics module | INPHI CORPORATION |
11011887 | Semiconductor laser diode | OSRAM OLED GMBH |
11011888 | Light-emitting device and light-emitting apparatus | SONY CORPORATION |
11011889 | Manufacturable multi-emitter laser diode | KYOCERA SLD LASER, INC. |
11011890 | Arrester and method for manufacturing an arrester | EPCOS AG |
11011891 | Spark plug and ignition device of internal combustion engine | DENSO CORPORATION |
11011892 | Pre-chamber spark plug and method for producing a pre-chamber spark plug | DKT VERWALTUNGS-GMBH |
11011896 | Cable gland for grounding a cable | CAPE INDUSTRIES, LLC |
11011897 | Selectively adjustable junction box | -- |
11011899 | Position-adjustable receptacle and fasteners therefor | ELECTRICAL IMPROVEMENT PRODUCTS LLC |
11011901 | Snap-on screwless wall plate assembly | HUBBELL INCORPORATED |
11011902 | Line connector, electrical line assembly and production process for an electrical connection | LISA DRAEXLMAIER GMBH |
11011903 | Disconnecting device | ELLENBERGER & POENSGEN GMBH |
11011915 | Method of making a wireless connector transmitter module | NUCURRENT, INC. |
11011918 | Intelligent charging USB splitter | WBTEC, LLC |
11011926 | Adaptive charger | SCHNEIDER ELECTRIC IT CORPORATION |
11011927 | Balanced force blow-on contact automatic transfer switch | CUMMINS POWER GENERATION IP, INC. |
11011930 | Energy receiver, detection method, power transmission system, detection device, and energy transmitter | SONY CORPORATION |
11011933 | Contactless electric power supply device | FUJI CORPORATION |
11011938 | Magnetic field adjusting three-dimensional flexible resonator for wireless power transmission system | SAMSUNG ELECTRONICS CO., LTD. |
11011941 | Method and apparatus for wireless power delivery tracking | GURU, INC. |
11011942 | Flat antennas having two or more resonant frequencies for use in wireless power transmission systems | ENERGOUS CORPORATION |
11011952 | Motor driving device and motor driving method | FANUC CORPORATION |
11011980 | Switched-capacitor converter with multi-tapped autotransformer | INFINEON TECHNOLOGIES AUSTRIA AG |
11011989 | Power supply circuit and method of operation thereof | -- |
11011996 | Power converter | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
11012015 | Motor with integrated power and signal connector | APPLIED MOTION PRODUCTS, INC. |
11012047 | Resonant retunable antenna | DEZEGA HOLDING UKRAINE, LLC |
11012050 | Radio-frequency filter, radio-frequency front-end circuit, and communication apparatus | MURATA MANUFACTURING CO., LTD. |
11012069 | Keyboard key with capacitive switch having mechanical and proximity switching functions | TACTUAL LABS CO. |
11012070 | Electronic device and method thereof for grip recognition | SAMSUNG ELECTRONICS CO., LTD. |
11012107 | Antenna system | HUAWEI TECHNOLOGIES CO., LTD. |
11012120 | System comprising a rack and a line replaceable module | SAFRAN ELECTRONICS & DEFENSE |
11012140 | Device and method for receiving and reradiating electromagnetic signals | STEALTHCASE OY |
11012146 | System and method for aircraft data transmission | PRATT & WHITNEY CANADA CORP. |
11012159 | Phased-array radio frequency receiver | PHASE SENSITIVE INNOVATIONS, INC. |
11012161 | Transceiver and method for undersea communication | -- |
11012252 | Active ethernet cable | CREDO TECHNOLOGY GROUP LIMITED |
11012547 | Electronic device | SHARP KABUSHIKI KAISHA |
11012550 | Smart phone case having integrated UV lights | -- |
11012765 | Methods and systems for managing connector arrays | EXTREME NETWORKS, INC. |
11012767 | Hub for communication network, and manufacturing method therefor | LG ELECTRONICS INC. |
11012771 | Earphone | HUAWEI TECHNOLOGIES CO., LTD. |
11012786 | Armature-based acoustic receiver having improved output and method | KNOWLES ELECTRONICS, LLC |
11012794 | Hearing aid device with integrated antenna | OTICON A/S |
11012897 | Nodes for use in a communication network and methods of operating the same | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11012968 | Small-cell movement detection | T-MOBILE USA, INC. |
11012998 | Multi-beam cellular communication system | BLACKBERRY LIMITED |
11013078 | Integrated sensor assembly for LED-based controlled environment agriculture (CEA) lighting, and methods and apparatus employing same | AGNETIX, INC. |
11013088 | Three-position operating mode switch | GOOGLE LLC |
11013089 | Intelligent lighting control bulb detection apparatuses, systems, and methods | RACEPOINT ENERGY, LLC |
11013100 | Plasma accelerator | UNIVERSITY OF STRATHCLYDE |
11013102 | Printed circuit board and electronic device | SEIKO EPSON CORPORATION |
11013104 | Power conversion apparatus | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11013109 | Display unit, electronic apparatus including the same, and method of manufacturing the electronic apparatus | SAMSUNG DISPLAY CO., LTD. |
11013110 | Receiver and receiving system | NIPPON MEKTRON, LTD. |
11013112 | Ceramic copper circuit board and semiconductor device based on the same | KABUSHIKI KAISHA TOSHIBA |
11013117 | Electronic device with built in fuse | MURATA MANUFACTURING CO., LTD. |
11013122 | Electrical connection structure for wiring boards and display device | SAKAI DISPLAY PRODUCTS CORPORATION |
11013126 | Connection structure | DEXERIALS CORPORATION |
11013129 | Electronic apparatus and fabrication method therefor | SONY INTERACTIVE ENTERTAINMENT INC. |
11013130 | Display device | LG DISPLAY CO., LTD. |
11013131 | Shielding cover | -- |
11013132 | Electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11013133 | Electronic devices comprising butyl rubber | ARLANXEO SINGAPORE PTE. LTD |
11013134 | Seal structure of power control unit | HONDA MOTOR CO., LTD. |
11013135 | Casing and method for mounting substrate to casing | KAWASAKI JUKOGYO KABUSHIKI KAISHA |
11013136 | Fixing device for fixing a circuit board and electronic device fixing mount with the fixing device | -- |
11013137 | Server capable of accessing and rotating storage devices accommodated therein | SUPER MICRO COMPUTER, INC. |
11013138 | Cable management assembly for managing cables of rack-mounted computers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11013139 | Power supply for a networking device with orthogonal switch bars | CISCO TECHNOLOGY, INC. |
11013140 | Wall-mounted cable housing assemblies | AMAZON TECHNOLOGIES, INC. |
11013141 | Decoupled conduction/convection dual heat sink for on-board memory microcontrollers | MICROSOFT TECHNOLOGY LICENSING, LLC |
11013142 | Electronic display with cooling | MANUFACTURING RESOURCES INTERNATIONAL, INC. |
11013143 | Electronic device for liquid immersion cooling, power supply unit, and cooling system | EXASCALER INC. |
11013144 | Absorption/desorption processes and systems for liquid immersion cooling | TMGCORE, LLC |
11013145 | Vapor chamber | MURATA MANUFACTURING CO., LTD. |
11013146 | Asymmetric heat pipe coupled to a heat sink | CIENA CORPORATION |
11013147 | Thermal interface material structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11013148 | Imaging apparatus | RICOH COMPANY, LTD. |
11013149 | Electronic device including heat dissipation structure | SAMSUNG ELECTRONICS CO., LTD. |
11013150 | Electronic device comprising heat dissipation structure | SAMSUNG ELECTRONICS CO., LTD. |
11013151 | Electronic component housing cooling system | -- |
11013152 | Data center coolant switch | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11013153 | Inverter and method of controlling the same | LSIS CO., LTD. |
11013154 | Display device | LG DISPLAY CO., LTD. |
11013155 | Electromagnetic wave shielding structure and manufacturing method therefor | SAMSUNG ELECTRONICS CO., LTD. |
11013156 | EMI shielding film and method of manufacturing the same | -- |
11013157 | Antenna screening composite, panel, assembly, and method of manufacturing same | SOLAR COMMUNICATIONS INTERNATIONAL, INC. |
11013158 | Electrical shielding material composed of metallized stainless steel or low carbon steel monofilament yarns | MICROMETAL TECHNOLOGIES, INC. |
11013159 | Rotary head and control of a surface mounter | YAMAHA HATSUDOKI KABUSHIKI KAISHA |
11013160 | Component mounting method and method for manufacturing component-mounted board | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11013161 | Electronic component mounter | FUJI CORPORATION |
11013275 | Flexible fabric ribbon connectors for garments with sensors and electronics | L.I.F.E. CORPORATION S.A. |
11013282 | Cut-changing clothing based on adjustable stitching | INTEL CORPORATION |
11013355 | Modular tree with electrical connector | -- |
11013418 | Identification of device location in healthcare facility | HILL-ROM SERVICES, INC. |
11013928 | Ground electrical path from an MLCC filter capacitor on an AIMD circuit board to the ferrule of a hermetic feedthrough | GREATBATCH LTD. |
11013930 | Wireless midfield systems and methods | THE BOARD OF TRUSTEES OF THE LELAND STANFORD JUNIOR UNIVERSITY |
11014013 | Sequentially operated modules | MAY PATENTS LTD. |
11014187 | Method of manufacturing radio frequency (RF) coil multi-driven RF based negative ion source | INSTITUTE FOR PLASMA RESEARCH |
11014203 | System for applying interface materials | -- |
11014777 | Cord winding module | QINGDAO GOERTEK TECHNOLOGY CO., LTD |
11014813 | Room-temperature ferromagnetic-ferroelectric multiferroic material | COUNCIL OF SCIENTIFIC & INDUSTRIAL RESEARCH |
11014816 | Laser-induced graphene (LIG) and laser induced graphene scrolls (LIGS) materials | WILLIAM MARSH RICE UNIVERSITY |
11014826 | Ferrite particles, resin composition and resin film | POWDERTECH CO., LTD. |
11014836 | Autonomous bubble generating plasma unit for water treatment | -- |
11014848 | Glass ceramic articles having improved properties and methods for making the same | CORNING INCORPORATED |
11014853 | Y<sub>2</sub>O<sub>3</sub>—ZrO<sub>2 </sub>erosion resistant material for chamber components in plasma environments | APPLIED MATERIALS, INC. |
11014877 | Ether-bridged dication, ionic liquid electrolyte and charge storage device thereof | -- |
11015003 | Jacket with improved properties | BOREALIS AG |
11015043 | Conductor jacket and process for producing same | DOW GLOBAL TECHNOLOGIES LLC |
11015053 | Flame-retardant thermoplastic polyurethane | BASF SE |
11015066 | Conductive polymer dispersion, conductive film and method of manufacturing the same, and antistatic container and method of manufacturing the same | SHIN-ETSU POLYMER CO., LTD. |
11015067 | Slurry for flexible electrodes, and flexible electrode using same | DENKA COMPANY LIMITED |
11015101 | Rare earth regenerator material particle, rare earth regenerator material particle group, and cold head, superconducting magnet, examination apparatus, and cryopump using the same | KABUSHIKI KAISHA TOSHIBA |
11015166 | Frustules extracted from benthic pennate diatoms harvested from an industrial biofilm process | SWEDISH ALGAE FACTORY AB |
11015245 | Gas-phase reactor and system having exhaust plenum and components thereof | ASM IP HOLDING B.V. |
11015247 | Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition | LAM RESEARCH CORPORATION |
11015249 | Silver-coated silicone rubber particles, conductive paste containing same, and a conductive film production method using conductive paste | MITSUBISHI MATERIALS CORPORATION |
11015382 | Motor driving device provided with fan unit | FANUC CORPORATION |
11015440 | Stabilizer with fin-mounted electrode for providing signals to drill string antenna | HALLIBURTON ENERGY SERVICES, INC. |
11015604 | Method and apparatus for pressure equalization in rotary compressors | LENNOX INDUSTRIES INC. |
11015740 | Support member-attached wire harness and wire harness support structure | AUTONETWORKS TECHNOLOGIES, LTD. |
11015767 | Easy-to-assemble integrated LED bulb lamp | NANNING CITY HONG CAI ILLUMINATIONS TECHNOLOGY COMPANY LIMITED |
11015793 | Infinite mirror liquid cooler | -- |
11015797 | Luminaire with wireless node | ABL IP HOLDING LLC |
11015824 | Air curtain containment system and assembly for data centers | INERTECHIP LLC |
11015827 | User interface for boost control of an environmental control system | BRITISH GAS TRADING LIMITED |
11015842 | Magneto-caloric thermal diode assembly with radial polarity alignment | HAIER US APPLIANCE SOLUTIONS, INC. |
11015845 | Systems and methods for managing conditions in enclosed space | NORTEK AIR SOLATIONS CANADA, INC. |
11015872 | Additively manufactured heat transfer device | THE BOEING COMPANY |
11015911 | Explosion-proof housing with inner pressure relief | R. STAHL SCHALTGERATE GMBH |
11015969 | Fill level radar device having controlled transmission power | VEGA GRIESHABER KG |
11015970 | Radar level measurement device comprising synchronisation signals on different line types | VEGA GRIESHABER KG |
11015987 | Temperature estimation method | MITSUBISHI ELECTRIC CORPORATION |
11016001 | Systems and methods for leak detection in liquid-cooled information handling systems | DELL PRODUCTS L.P. |
11016045 | Inverter device and method for detecting heat dissipation characteristics of inverter device | KABUSHIKI KAISHA TOSHIBA |
11016051 | Wireless sensors for use in polymers to measure the structural integrity of the same and methods of manufacture thereof | MATERIALS TECHNOLOGY INSTITUTE, INC. (MTI) |
11016068 | Replaceable emitter assembly for interfacing a separation column to a mass spectrometer | THERMO HYPERSIL-KEYSTONE LLC |
11016076 | Evaluation of component condition through analysis of material interaction | PRATT & WHITNEY CANADA CORP. |
11016082 | Noninvasive detection of cancer originating in tissue outside of the lung using exhaled breath | UNIVERSITY OF LOUISVILLE RESEARCH FOUNDATION, INC. |
11016089 | Nanocomposites and nanoagents for detection and treatment of a target of interest and methods of making and using same | BOARD OF TRUSTEES OF THE UNIVERSITY OF ARKANSAS |
11016098 | Method for determining a concentration of a target analyte in a sample of bodily fluid | ROCHE DIAGNOSTICS OPERATIONS, INC. |
11016113 | Sensor bearing unit, assembly method of said unit and method for assembling said sensor bearing unit on shaft | AKTIEBOLAGET SKF |
11016192 | Light ranging device with MEMS scanned emitter array and synchronized electronically scanned sensor array | OUSTER, INC. |
11016193 | Light ranging device having an electronically scanned emitter array | OUSTER, INC. |
11016200 | Signal receiving station for an ADS-B surveillance system | DIRECTION DES SERVICES DE LA NAVIGATION AÉRIENNE |
11016242 | Display device | LG DISPLAY CO., LTD. |
11016252 | Systems and methods for providing heat-rejecting media on a cable assembly | DELL PRODUCTS L.P. |
11016255 | Coaxial wire and optical fiber trace via hybrid structures and methods to manufacture | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11016373 | Heat receiver having an annular flow path and manufacturing method of the same | SEIKO EPSON CORPORATION |
11016374 | Apparatus for projecting a light spot | TRILITE TECHNOLOGIES GMBH |
11016378 | Laser light source | KYOCERA SLD LASER, INC. |
11016447 | Control device equipped with a module for detecting the axial position of its rod, and timepiece comprising such a control device | ETA SA MANUFACTURE HORLOGERE SUISSE |
11016448 | Watch with built-in tag and method of determining authenticity of watch with built-in tag | MURATA MANUFACTURING CO., LTD. |
11016536 | Display apparatus | SAMSUNG ELECTRONICS CO., LTD. |
11016538 | Interchangeable housing panel with rechargeable power, network connectivity, and memory for use with portable computing devices | MICROSOFT TECHNOLOGY LICENSING, LLC |
11016541 | Hinge assembly and electronic device using the same | -- |
11016546 | Heat dissipation apparatus and terminal device having same | HUAWEI TECHNOLOGIES CO., LTD. |
11016547 | Control of electronic displays | AMSCREEN GROUP LIMITED |
11016574 | Millimeter-wave-radar-based electromagnetic apparatus | ROGERS CORPORATION |
11016832 | Cloud-based scale-up system composition | INTEL CORPORATION |
11017130 | Data center design | GOOGLE LLC |
11017280 | Auxiliary antenna, RFID system, and method for reading RFID tag | MURATA MANUFACTURING CO., LTD. |
11017284 | RFID tag | MURATA MANUFACTURING CO., LTD. |
11017285 | Method for optimizing the antenna configuration of a booster | NEXTIVITY, INC. |
11017808 | Fractionation method for magnetic recording-magnetic powder, fractionation apparatus for magnetic recording-magnetic powder, and method of producing magnetic recording medium | SONY CORPORATION |
11017820 | Electromagnetic shielding for electronic devices | SEAGATE TECHNOLOGY LLC |
11017828 | Apparatus for generating a magnetic field and method of using said apparatus | CROCUS TECHNOLOGY SA |
11017913 | Crystal and substrate of conductive GaAs, and method for forming the same | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11017914 | Covered electric wire, terminal-fitted electric wire, copper alloy wire, and copper alloy stranded wire | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11017915 | Stretchable electronics and methods of making the same | CARNEGIE MELLON UNIVERSITY |
11017916 | Particles, connecting material and connection structure | SEKISUI CHEMICAL CO., LTD. |
11017917 | Dielectric composite containing dispersed primary nanoparticles of aluminum or aluminum oxide | HELICON CHEMICAL COMPANY |
11017918 | Semi-conductive composition for power cable | DYM SOLUTION CO., LTD. |
11017919 | Medium-voltage or high-voltage electrical device having low-thickness hybrid insulation | GENERAL ELECTRIC TECHNOLOGY GMBH |
11017920 | Vehicle wire harness for suppressing localized uneven progression of wear in connector terminals and manufacturing method of wire harness | AUTONETWORKS TECHNOLOGIES, LTD. |
11017921 | Coaxial cable and method of construction thereof | FEDERAL-MOGUL POWERTRAIN LLC |
11017922 | Chip resistor and mounting structure thereof | ROHM CO., LTD. |
11017923 | Resistor component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11017924 | Thermistor element and method for manufacturing same | MITSUBISHI MATERIALS CORPORATION |
11017925 | Soft magnetic powder, powder magnetic core, magnetic element, and electronic device | SEIKO EPSON CORPORATION |
11017926 | Coil component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11017927 | System and method for perturbing a permanent magnet asymmetric field to move a body | GREEN WAVE POWER SYSTEMS LLC |
11017928 | Magnet, magnet stack, and motor | TDK CORPORATION |
11017929 | Multi-layer sensor core | ST. JUDE MEDICAL CARDIOLOGY DIVISION, INC. |
11017930 | Inductor | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11017931 | Coil component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11017932 | Inductor apparatus optimized for low power loss in class-D audio amplifier applications and method for making the same | CRESTRON ELECTRONICS, INC. |
11017933 | Winding coil component | MURATA MANUFACTURING CO., LTD. |
11017934 | Electronic module | -- |
11017935 | Reactor | AUTONETWORKS TECHNOLOGIES, LTD. |
11017936 | Coil electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11017937 | Variable coupled inductor | -- |
11017938 | Methods, apparatus and systems for dry-type transformers | SIEMENS ENERGY GLOBAL GMBH & CO. KG |
11017939 | Magnetic component assembly with filled gap | EATON INTELLIGENT POWER LIMITED |
11017940 | Integrated circuit comprising a variable inductor | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11017941 | Isolation transformer with low unwanted resonances, energy transfer device having an isolation transformer and energy transfer device for wireless transfer of energy having an isolation transformer | TDK ELECTRONICS AG |
11017942 | Systems and methods for determining coil current in wireless power systems | WITRICITY CORPORATION |
11017943 | Method for preparing a permanent magnet material | BAOTOU TIANHE MAGNETICS TECHNOLOGY CO., LTD. |
11017944 | Multilayer ceramic electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11017945 | Multilayer ceramic capacitor and manufacturing method of multilayer ceramic capacitor | TAIYO YUDEN CO., LTD. |
11017946 | Capacitor component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11017947 | Multilayer ceramic capacitor and manufacturing method of multilayer ceramic capacitor | TAIYO YUDEN CO., LTD. |
11017948 | Multilayer ceramic electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11017949 | Multi-layer ceramic capacitor and method of producing the same | TAIYO YUDEN CO., LTD. |
11017950 | Method for producing a ceramic electronic component | MURATA MANUFACTURING CO., LTD. |
11017951 | Multilayer electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11017952 | Multi-layer ceramic electronic component | TAIYO YUDEN CO., LTD. |
11017953 | Multilayer ceramic electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11017954 | Solid electrolytic capacitor and method of manufacturing the same | JAPAN CAPACITOR INDUSTRIAL CO., LTD. |
11017955 | Cathode subassembly with integrated separator for electrolytic capacitor, and method of manufacture thereof | PACESETTER, INC. |
11017956 | Capacitor and method for manufacturing same | NIPPON CHEMI-CON CORPORATION |
11017957 | Dye-sensitized solar cell | SHARP KABUSHIKI KAISHA |
11017958 | Monolithic flexible supercapacitors, methods of making and uses thereof | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11017959 | Nanoelectromechanical devices with metal-to-metal contacts | -- |
11017960 | Electrical contact system | TYCO ELECTRONICS (SHENZHEN) CO. LTD |
11017961 | Safety switch arrangement | EUCHNER GMBH + CO. KG |
11017962 | Light device control apparatus | LEEDARSON AMERICA INC. |
11017963 | Intelligent lighting control system including releasable faceplate | RACEPOINT ENERGY, LLC |
11017964 | Middle illuminated button switch | -- |
11017965 | Pushbutton assembly | BCS AUTOMOTIVE INTERFACE SOLUTIONS US LLC |
11017966 | Key structure convertible between digital and analog switch modes and switching method thereof | -- |
11017967 | Distribution grounding switch to support distributed energy resources | -- |
11017968 | Electrical switch | SIEMENS AKTIENGESELLSCHAFT |
11017969 | Self-deactivating tethered interconnection system for power outlet | ESL POWER SYSTEMS, INC. |
11017970 | Circuit testing system and method of operation | -- |
11017971 | Differential electrical protection device that measures a differential current over a plurality of phase conductors | SCHNEIDER ELECTRIC INDUSTRIES SAS |
11017972 | Solderless surface mount fuse | SUZHOU LITTELFUSE OVS CO., LTD. |
11017973 | Heat sink apparatus for microwave magnetron | -- |
11017974 | Ion source | NISSIN ION EQUIPMENT CO., LTD. |
11017975 | Electromagnetic interference containment for accelerator systems | VARIAN MEDICAL SYSTEMS, INC. |
11017976 | Spiral groove bearing assembly with minimized deflection | GENERAL ELECTRIC COMPANY |
11017977 | Liquid metal bearing assembly and method for operating said liquid metal bearing assembly | GE PRECISION HEALTHCARE LLC |
11017978 | Ion implanter and beam park device | SUMITOMO HEAVY INDUSTRIES ION TECHNOLOGY CO., LTD. |
11017979 | Method of ion implantation and an apparatus for the same | SHANGHAI HUALI MICROELECTRONICS CORPORATION |
11017980 | Method of manipulating a sample in an evacuated chamber of a charged particle apparatus | FEI COMPANY |
11017981 | Charged particle beam system | HITACHI HIGH-TECH CORPORATION |
11017982 | Composite charged particle beam apparatus and control method thereof | HITACHI HIGH-TECH SCIENCE CORPORATION |
11017983 | RF power amplifier | RENO TECHNOLOGIES, INC. |
11017984 | Ceramic coated quartz lid for processing chamber | APPLIED MATERIALS, INC. |
11017985 | Plasma processing apparatus, impedance matching method, and plasma processing method | TOKYO ELECTRON LIMITED |
11017986 | Deposition radial and edge profile tunability through independent control of TEOS flow | APPLIED MATERIALS, INC. |
11017987 | Etching method and etching processing apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11017988 | Charged particle beam apparatus | HITACH HIGH-TECH SCIENCE CORPORATION |
11017989 | Collimator, fabrication apparatus including the same, and method of fabricating a semiconductor device using the same | SAMSUNG ELECTRONICS CO., LTD. |
11017990 | Compact mass spectrometer | MICROMASS UK LIMITED |
11017991 | Mass spectrometric determination of fatty acids | QUEST DIAGNOSTICS INVESTMENTS INCOPORATED |
11017992 | AC-coupled system for particle detection | AGILENT TECHNOLOGIES, INC. |
11017993 | Separation of liquid in droplets and sedimented material enclosed therein | -- |
11017994 | Ion guide | MICROMASS UK LIMITED |
11018014 | Dry etching method | HITACHI HIGH-TECH CORPORATION |
11018045 | Deposition apparatus including upper shower head and lower shower head | SAMSUNG ELECTRONICS CO., LTD. |
11018046 | Substrate processing apparatus including edge ring | SAMSUNG ELECTRONICS CO., LTD. |
11018076 | Cooling apparatus, semiconductor module, and vehicle | FUJI ELECTRIC CO., LTD. |
11018077 | Modular microjet cooling of packaged electronic components | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11018083 | Semiconductor package and manufacturing method thereof | -- |
11018094 | Semiconductor packages configured for measuring contact resistances and methods of obtaining contact resistances of the semiconductor packages | SK HYNIX INC. |
11018098 | Fabricated two-sided millimeter wave antenna using through-silicon-vias | MICRON TECHNOLOGY, INC. |
11018117 | Half-bridge module with coaxial arrangement of the DC terminals | ABB POWER GRIDS SWITZERLAND AG |
11018126 | IC with test structures and e-beam pads embedded within a contiguous standard cell area | PDF SOLUTIONS, INC. |
11018159 | Display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11018184 | Magnetoresistive random access memory with particular shape of dielectric layer | -- |
11018215 | Package and manufacturing method thereof | -- |
11018290 | Enhanced superconducting transition temperature in electroplated rhenium | THE REGENTS OF THE UNIVERSITY OF COLORADO, A BODY CORPORATE |
11018293 | Magnetoresistance effect element | TDK CORPORATION |
11018303 | Charge-transporting varnish | NISSAN CHEMICAL INDUSTRIES, LTD. |
11018337 | Negative electrode material for power storage device, electrode structure, power storage device, and production method for each | -- |
11018344 | Current collector for electrical storage device, method for producing the same, and coating liquid used in said production method | SHOWA DENKO K.K. |
11018370 | Separator with wide temperature range and low shrinkage as well as method for preparing the same | SHANGHAI ENERGY NEW MATERIALS TECHNOLOGY CO., LTD. |
11018401 | Flared dielectric coupling system and methods for use therewith | AT&T INTELLECTUAL PROPERTY I, L.P. |
11018402 | High speed data communication system | FCI USA LLC |
11018403 | Electromagnetic wave transmission cable including a hollow dielectric tube surrounded by a foamed resin member having different expansion ratios at different regions therein | PIONEER CORPORATION |
11018404 | Circuit body structure, where planar conductors on different layers of a multilayer board are connected by an interlayers connection | NEC SPACE TECHNOLOGIES, LTD. |
11018405 | Dielectric resonator, dielectric filter using dielectric resonator, transceiver, and base station | HUAWEI TECHNOLOGIES CO., LTD. |
11018406 | Radiofrequency communication module for a tire | COMPAGNIE GENERALE DES ETABLISSEMENTS MICHELIN |
11018407 | Electronic device | TOSHIBA CLIENT SOLUTIONS CO., LTD. |
11018408 | Antenna apparatus in wireless communication device | SAMSUNG ELECTRONICS CO., LTD. |
11018410 | Wireless communication module | MERRY ELECTRONICS (SHENZHEN) CO., LTD. |
11018411 | RF antenna arrangement configured to be a part of a lid to an apparatus | DISH TECHNOLOGIES L.L.C. |
11018412 | Antenna module supporting dual bands and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11018413 | Apparatus comprising an antenna and a ground plane, and a method of manufacture | NOKIA TECHNOLOGIES OY |
11018414 | Electronic device comprising antenna | SAMSUNG ELECTRONICS CO., LTD. |
11018415 | Electronic device including an antenna structure | SAMSUNG ELECTRONICS CO., LTD. |
11018416 | Small cell antennas suitable for MIMO operation | COMMSCOPE TECHNOLOGIES LLC |
11018417 | Short circuit detection apparatus for resonant antenna networks and methods therefor | NXP B.V. |
11018418 | Chip antenna and chip antenna module including the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11018419 | Radome | HELLA GMBH & CO. KGAA |
11018420 | Display device and communication system | SHARP KABUSHIKI KAISHA |
11018421 | Tunable matching network for antenna systems | ETHERTRONICS, INC. |
11018422 | Semiconductor device package and method of manufacturing the same | -- |
11018423 | Antenna and manufacturing method thereof | ALCATEL LUCENT |
11018424 | Multi radiator antenna comprising means for indicating antenna main lobe direction | CELLMAX TECHNOLOGIES AB |
11018425 | Active electronically scanned array with power amplifier drain bias tapering for optimal power added efficiency | ROCKWELL COLLINS, INC. |
11018426 | Antenna structure | -- |
11018427 | Multiplexed antennas that sector-split in a first band and operate as MIMO antennas in a second band | COMMSCOPE TECHNOLOGIES LLC |
11018428 | Patch antenna feed | NORBIT ITS |
11018430 | Self-grounded surface mountable bowtie antenna arrangement, an antenna petal and a fabrication method | GAPWAVES AB |
11018431 | Conformal planar dipole antenna | THE BOEING COMPANY |
11018432 | Slot mode antennas | SUUNTO OY |
11018433 | Triple wideband hybrid LTE slot antenna | SMART ANTENNA TECHNOLOGIES LTD. |
11018434 | Antenna apparatus, and manufacturing method | KABUSHIKI KAISHA TOSHIBA |
11018435 | Antenna and vehicle having the same | HYUNDAI MOTOR COMPANY |
11018436 | Antenna modules for phased array antennas | SPACE EXPLORATION TECHNOLOGIES CORP. |
11018437 | Multi-band base station antennas having broadband decoupling radiating elements and related radiating elements | COMMSCOPE TECHNOLOGIES LLC |
11018438 | Multi-band fast roll off antenna having multi-layer PCB-formed cloaked dipoles | JOHN MEZZALINGUA ASSOCIATES, LLC |
11018439 | Scanned antenna and liquid crystal device | SHARP KABUSHIKI KAISHA |
11018440 | Apparatus and method for determining beam index of antenna array | SAMSUNG ELECTRONICS CO., LTD. |
11018441 | Wireless module | FUJIKURA LTD. |
11018442 | Connector having press contact portions separated by partition walls | KYOCERA CORPORATION |
11018443 | Coupler between a coaxial connector and a coaxial cable | ROSENBERGER HOCHFREQUENZTECHNIK GMBH & CO. KG |
11018444 | Multi-mode and/or multi-speed non-volatile memory (NVM) express (NVMe) over fabrics (NVMe-of) device | SAMSUNG ELECTRONICS CO., LTD. |
11018445 | Terminal with electrically conductive tubular shaped body portion | DAI-ICTII SEIKO CO., LTD. |
11018446 | Connector | SUMITOMO WIRING SYSTEMS, LTD. |
11018447 | Transition coupling for terminating connector and liquidtight conduit fitting | SERVICE WIRE COMPANY |
11018448 | Covering | -- |
11018449 | Connector | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11018450 | Connector position assurance device, connector system and method for operating the connector system | J.S.T. CORPORATION |
11018451 | Locking electrical contact device with switch | HUBBELL INCORPORATED |
11018452 | Positioning fastener | -- |
11018453 | Cord restraint for electrical cords | -- |
11018454 | Backplane connector omitting ground shields and system using same | MOLEX, LLC |
11018455 | Connector and transmission wafer thereof | STARCONN ELECTRONIC (SU ZHOU) CO., LTD |
11018456 | Contact module for a connector assembly | TE CONNECTIVITY CORPORATION |
11018457 | Electrical connector with insertion loss control window in a contact module | TE CONNECTIVITY SERVICES GMBH |
11018458 | Electrical connector with an electromagnetic shielding mechanism | TYCO ELECTRONICS (SHANGHAI) CO. LTD. |
11018459 | Protection circuit against high voltages for USB type C receiver | STMICROELECTRONICS (ROUSSET) SAS |
11018460 | Telephone jack bracket and masking devices for mounting on same | -- |
11018461 | Power plug for monitoring appliance performance | GREEN RUNNING LIMITED |
11018462 | Connector for vehicle | YAZAKI CORPORATION |
11018463 | Coaxial cable connector with integrated grounding member | PPC BROADBAND, INC. |
11018464 | Pin bridge connector for modular building block system for RF and microwave design | X-MICROWAVE, LLC |
11018466 | Electrical power transmission and outlet system | SHANUTEC (SHANGHAI) CO., LTD. |
11018467 | Plug-in network device with adjustable power tongs for plug attachment | EXTREME NETWORKS, INC. |
11018468 | Card tray, card tray plug-in device, and terminal | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
11018469 | Current collector and conductor line system | CONDUCTIX-WAMPFLER GMBH |
11018470 | System for optimizing laser beam | PICOMOLE INC. |
11018471 | Method of producing light emitting device | NICHIA CORPORATION |
11018472 | Semiconductor light emitting device | PANASONIC CORPORATION |
11018473 | Selective-area growth of III-V materials for integration with silicon photonics | CISCO TECHNOLOGY, INC. |
11018474 | Laser temperature compensation system and driving method thereof | OPTELLA INC. |
11018475 | High-output power quarter-wavelength shifted distributed feedback laser diode | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
11018476 | Laser module and system | LMD APPLIED SCIENCE, LLC |
11018477 | Tunable laser for coherent transmission system | MACOM TECHNOLOGY SOLUTIONS HOLDINGS, INC. |
11018478 | Ion generator device support | PLASMA AIR INTERNATIONAL, INC |
11018484 | Serpentine comb assembly for cable management and retention via curve engagement | ORACLE INTERNATIONAL CORPORATION |
11018485 | Explosion-proof structure | YOKOGAWA ELECTRIC CORPORATION |
11018486 | Module of a seal or transition | ROXTEC AB |
11018488 | Climate responsive transmission lines | NEWSOUTH INNOVATIONS PTY LIMITED |
11018489 | Terminal structure of armored cable and armor wire anchoring device | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11018496 | Leakage current detection and protection device, and power connector and electrical appliance employing the same | SUZHOU |
11018502 | Cable with over-temperature protection | -- |
11018527 | Wireless power supply assembly and electronic device | NINGBO WEIE ELECTRONICS TECHNOLOGY LTD. |
11018531 | Receiver for wireless charging system | SAMSUNG ELECTRONICS CO., LTD. |
11018535 | Motor, rotor, compressor, and refrigeration and air conditioning apparatus | MITSUBISHI ELECTRIC CORPORATION |
11018546 | Arc resistant device and method | TOSHIBA INTERNATIONAL CORPORATION |
11018568 | Servovalve with adjustable air gaps | HAMILTON SUNDSTRAND CORPORATION |
11018570 | Circuit for converting an AC or a DC electrical input into a DC electrical output | MANIA-TRONIQUE 1993 INC. |
11018596 | Power converting device | -- |
11018624 | Connector for joining photovoltaic components | DOW GLOBAL TECHNOLOGIES LLC |
11018641 | Power combiner circuit | OPHIR RF, INC. |
11018648 | Sonic logging broadband impedance matching transformer modular design method and module | INSTITUUTE OF GEOLOGY AND GEOPHYSICS, CHINESE ACADEMY OF SCIENCES |
11018706 | Antenna device and electronic device including same | SAMSUNG ELECTRONICS CO., LTD. |
11018717 | Snap-on triaxial cable balun and method for tuned trapping of RF current | LIFE SERVICES, LLC |
11018719 | Broadband, low profile, high isolation, two-port antenna | THE REGENTS OF THE UNIVERSITY OF MICHIGAN |
11018727 | Diversity modules for processing radio frequency signals | SKYWORKS SOLUTIONS, INC. |
11018747 | Configurable polarimetric phased array transceiver architecture | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11018749 | OAM multiplexing communication system and inter-mode interference compensation method | NIPPON TELEGRAPH AND TELEPHONE CORPORATION |
11018755 | Network for enabling beyond visual line of sight aircraft command and control communications | BELL TEXTRON INC. |
11018756 | Satellite for end-to-end beamforming with non-overlapping feeder and user frequencies | VIASAT, INC. |
11018757 | Satellite for end-to-end beamforming | VIASAT, INC. |
11018770 | Silicon photonics phased array systems | MORTON PHOTONICS |
11018912 | Restricted Euclidean modulation | KYMETA CORPORATION |
11019187 | Mobile terminal | LG ELECTRONICS INC. |
11019190 | Electronic device including connecting member sharing structure | SAMSUNG ELECTRONICS CO., LTD. |
11019324 | Stereo camera | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
11019506 | Multi-beam base station antennas having wideband radiating elements | COMMSCOPE TECHNOLOGIES LLC |
11019713 | Asymmetrical ballast transformer | ATMOSPHERIC PLASMA SOLUTIONS, INC. |
11019714 | Waveform detection of states and faults in plasma inverters | ATMOSPHERIC PLASMA SOLUTIONS, INC. |
11019715 | Plasma source having a dielectric plasma chamber with improved plasma resistance | MKS INSTRUMENTS, INC. |
11019729 | Device having a substrate configured to be thermoformed coupled to an electrically conductive member | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11019730 | Contact assembly | HONEYWELL FEDERAL MANUFACTURING & TECHNOLOGIES, LLC |
11019735 | Housing assembly for an integrated display unit | MANUFACTURING RESOURCES INTERNATIONAL, INC. |
11019736 | Electronic device including flexible printed circuit board | SAMSUNG ELECTRONICS CO., LTD. |
11019737 | Flexible display device | HUIZHOU CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11019738 | Laundry appliance | WHIRLPOOL CORPORATION |
11019739 | Methods and apparatus for providing improved visual and optionally tactile features on a substrate | CORNING INCORPORATED |
11019740 | Electrical connection box | SUMITOMO WIRING SYSTEMS, LTD. |
11019741 | Apparatus for protecting telecommunication radios and methods of using the same | COMMSCOPE TECHNOLOGIES LLC |
11019742 | Hinge structure and electronic device having the same | -- |
11019743 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11019744 | Insert molding around glass members for portable electronic devices | APPLE INC. |
11019745 | Sealing members | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11019746 | Lateral alignment system for an appliance control panel assembly and method | WHIRLPOOL CORPORATION |
11019747 | DC bus architecture for datacenters | MICROSOFT TECHNOLOGY LICENSING, LLC |
11019748 | Suspended fan modules | SEAGATE TECHNOLOGY LLC |
11019749 | Apparatus and method that manage sensor module temperature | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
11019750 | Water-cooling head | -- |
11019751 | Activate loading mechanism | INTEL CORPORATION |
11019752 | Cooling module design for servers | BAIDU USA LLC |
11019753 | Cooling electronic devices within a data center | MICROSOFT TECHNOLOGY LICENSING, LLC |
11019754 | Acoustic and vibration mitigation in a data storage system | SEAGATE TECHNOLOGY LLC |
11019755 | Effectiveness-weighted control of cooling system components | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11019756 | Power conversion device | MITSUBISHI ELECTRIC CORPORATION |
11019757 | Back cover module | -- |
11019758 | Electromagnetic shielding film and preparation method therefor | HENAN GUOAN ELECTRONIC MATERIAL CO., LTD. |
11019759 | Electromagnetic wave shielding material | JX NIPPON MINING & METALS CORPORATION |
11019760 | Feeder management method and feeder management device | FUJI CORPORATION |
11019761 | Mounting head and component mounter | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11019808 | Single or dual technology animal tags and system and method of using the same | BOEHRINGER INGELHEIM ANIMAL HEALTH USA INC. |
11019863 | Conductive textile assembly with electrical shielding structure | INTELLIGENT TEXTILES LIMITED |
11020019 | Dynamic amplifier change | SENSEONICS, INCORPORATED |
11020055 | Intelligent multifunction bed monitor | -- |
11020067 | Hydrodynamic bearing system and method for manufacturing the hydrodynamic bearing system | GE PRECISION HEALTHCARE LLC |
11020284 | Incontinence detection pad with liquid filter layer | HILL-ROM SERVICES, INC. |
11020285 | Module-housing system and method | BIOLINK SYSTEMS, LLC |
11020599 | Implantable lead interconnect system having a rotating cam | HERAEUS MEDICAL COMPONENTS LLC |
11020739 | Imprinted substrates | ILLUMINA, INC. |
11020778 | Photoresist removal method using residue gas analyzer | -- |
11020812 | Welding lead connector and welding lead connector including locking mechanism | ALPHA/OMEGA ENERGY SOLUTIONS, LLC |
11020823 | Laser diode driving power source and laser machining device | MITSUBISHI ELECTRIC CORPORATION |
11020825 | Connecting material and connection structure | SEKISUI CHEMICAL CO., LTD. |
11020878 | Equipment and process for producing polymer pellets | BOREALIS TECHNOLOGY OY |
11021069 | Multisource magnetic integration using a current source fed transformer structure with decoupled secondary windings | FORD GLOBAL TECHNOLOGIES, LLC |
11021080 | Section insulator for an overhead contact line | ALSTOM TRANSPORT TECHNOLOGIES |
11021116 | Cable harness | SUMITOMO WIRING SYSTEMS, LTD. |
11021118 | Wire harness manufacturing method and wire harness | YAZAKI CORPORATION |
11021188 | Systems with radio frequency resonators, tuning elements, and spectrum analyzers to provide values of resonance parameters | TEXAS INSTRUMENTS INCORPORATED |
11021270 | Thermal management system for structures in space | AST & SCIENCE, LLC |
11021364 | Planar cavity MEMS and related structures, methods of manufacture and design structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11021369 | Carbon nanotube sheet structure and method for its making | GENERAL NANO LLC |
11021400 | Ultra-high dielectric constant garnet | SKYWORKS SOLUTIONS, INC. |
11021403 | Method for forming a temperature compensated dielectric material | SKYWORKS SOLUTIONS, INC. |
11021556 | Tetrafluoroethylene/hexafluoropropylene copolymer, and electric wire | DAIKIN INDUSTRIES, LTD. |
11021597 | Biaxially oriented films made of propylene polymer compositions | BOREALIS AG |
11021616 | Self-exposure method for surface of conductive particles anchored in polymer layer, method of fabricating anisotropic conductive film using the self-exposure method and the anisotropic conductive film | KOREA ADVANCED INSTITUTE OF SCIENCE AND TECHNOLOGY |
11021626 | Conductive paste | SUMITOMO BAKELITE CO., LTD. |
11021771 | Non-oriented electrical steel sheet and method for manufacturing non-oriented electrical steel sheet | NIPPON STEEL CORPORATION |
11021774 | Copper alloy plate having excellent electrical conductivity and bending deflection coefficient | JX NIPPON MINING & METALS CORPORATION |
11021787 | Coating arrangement and method | VON ARDENNE ASSET GMBH & CO. KG |
11021804 | Plating solution and metal composite and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11021902 | Adjustment drive of a motor vehicle and drive unit for an adjustment drive | BROSE FAHRZEUGTEILE GMBH & CO. KOMMANDITGESELLSCHAFT, BAMBERG |
11022086 | Optimized barrier discharge device for corona ignition | TENNECO INC. |
11022219 | Seal element for connecting a first component and a second component in a fluid-tight and electrically conductive manner, and corresponding component assembly | AUDI AG |
11022232 | Valve with proportional electromagnetic actuator | SAFRAN AERO BOOSTERS SA |
11022277 | Wavelength converter and lighting apparatus | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11022291 | High pressure hydro-cooled misting/vapor illumination system for LED devices using liquid or gas | -- |
11022374 | Staged spray indirect evaporative cooling system | MUNTERS CORPORATION |
11022440 | Cladding-pumped waveguide optical gyroscope | -- |
11022475 | Fill level radar device having automated frequency adjustment | VEGA GRIESHABER KG |
11022489 | Portable multi-spectrometry system for chemical and biological sensing in atmospheric air | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
11022491 | Compact, power-efficient stacked broadband optical emitters | AMS SENSORS SINGAPORE PTE. LTD |
11022567 | Apparatus and method for producing an image of an object by electromagnetic radiation | HÜBNER GMBH & CO. KG |
11022581 | Multiple functions ion mobility spectrometer device | NUCTECH COMPANY LIMITED |
11022627 | Probe and electric connecting apparatus | KABUSHIKI KAISHA NIHON MICRONICS |
11022638 | Testing shield continuity of a cable or cabling installation using common mode insertion loss | FLUKE CORPORATION |
11022645 | Semiconductor element test device | -- |
11022661 | Magnetoresistance element with increased operational range | ALLEGRO MICROSYSTEMS, LLC |
11022675 | Techniques for angle resolution in radar | TEXAS INSTRUMENTS INCORPORATED |
11022677 | Device for calibrating an imaging system and associated calibrating method | THALES |
11022689 | Pulsed laser for lidar system | LUMINAR, LLC |
11022702 | Improving azimuth determination accuracy using multiple GNSS antennas | COMMSCOPE TECHNOLOGIES LLC |
11022708 | Docking station for wireless seismic acquisition nodes | SERCEL |
11022714 | Antenna for downhole communication | WEATHERFORD U.K. LIMITED |
11022764 | Optical connector, optical cable, and electronic device | SONY CORPORATION |
11022766 | Adapter | LEONI KABEL GMBH |
11022769 | Pre-terminated optical fibre cable assembly, methods of manufacture and installation thereof | EMTELLE UK LIMITED |
11022770 | Bladed chassis systems | COMMSCOPE TECHNOLOGIES LLC |
11022808 | Modular systems for head-worn computers | MENTOR ACQUISITION ONE, LLC |
11022811 | Optical amplifier and optical switch device | FUJITSU LIMITED |
11022866 | Projection device capable of improving heat dissipation effect | -- |
11022877 | Etch processing system having reflective endpoint detection | APPLIED MATERIALS, INC. |
11022960 | Substrate production line and substrate production machine | FUJI CORPORATION |
11022993 | Energy supply apparatus | PHOENIX CONTACT GMBH & CO. KG |
11023006 | Docking support bar | LENOVO (SINGAPORE) PTE. LTD. |
11023012 | Electronic device having a plurality of bodies | -- |
11023014 | Orientation specific control | MICROSOFT TECHNOLOGY LICENSING, LLC |
11023018 | Electronic device and screen | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11023019 | Mobile terminal | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11023020 | Carrier for one or more solid state drives (SSDs) | SMART EMBEDDED COMPUTING, INC. |
11023056 | Antenna structure and stylus using same | -- |
11023062 | Bezel-less touch screen apparatus and method for manufacturing same | TOVIS CO., LTD. |
11023077 | System and method of controlling an electropermanent magnet at a trackpad | DELL PRODUCTS L.P. |
11023081 | Multi-functional keyboard assemblies | APPLE INC. |
11023195 | Display apparatus including a plurality of display modules | SAMSUNG ELECTRONICS CO., LTD. |
11023394 | Socket interconnector with compressible ball contacts for high pad count memory cards | WESTERN DIGITAL TECHNOLOGIES, INC. |
11024108 | Coin detection antenna and coin processing device | FUJI ELECTRIC CO., LTD. |
11024206 | Display system and vehicle | BOE TECHNOLOGY GROUP CO., LTD. |
11024247 | Electronic device and driving method thereof | -- |
11024438 | Chalcogen-containing compound, its preparation method and thermoelectric element comprising the same | LG CHEM, LTD. |
11024439 | Particles, connecting material and connection structure | SEKISUI CHEMICAL CO., LTD. |
11024440 | Conductive thermoplastic elastomer composition | ENEOS CORPORATION |
11024441 | Insulated wire | DAIKIN INDUSTRIES, LTD. |
11024442 | Composition for electric wire coating material and insulated electric wire | AUTONETWORKS TECHNOLOGIES, LTD. |
11024443 | Fluoropolymer insulated communications cable | DAIKIN AMERICA, INC. |
11024444 | Using ionic liquids to make reconfigurable liquid wires | VERILY LIFE SCIENCES LLC |
11024445 | Power cable with an overmolded probe for power transfer to a non-thermal plasma generator and a method for constructing the overmolded probe | -- |
11024446 | Production method for insulated electric wire and insulated electric wire | AUTONETWORKS TECHNOLOGIES, LTD. |
11024447 | Two-dimensional materials integrated with multiferroic layers | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
11024448 | Alloy for R-T-B-based rare earth sintered magnet, process of producing alloy for R-T-B-based rare earth sintered magnet, alloy material for R-T-B-based rare earth sintered magnet, R-T-B-based rare earth sintered magnet, process of producing R-T-B-based rare earth sintered magnet, and motor | TDK CORPORATION |
11024449 | Multipole elastomeric magnet with magnetic-field shunt | APPLE INC. |
11024450 | Controllable magnetorheological fluid temperature control device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11024451 | Multilayer coil component | MURATA MANUFACTURING CO., LTD. |
11024452 | Apparatus, system and method of producing planar coils | JABIL INC. |
11024453 | Coil device | TDK CORPORATION |
11024454 | High performance inductors | QUALCOMM INCORPORATED |
11024455 | Coil component | TAIYO YUDEN CO., LTD. |
11024456 | Inductor component | MURATA MANUFACTURING CO., LTD. |
11024457 | Static electric induction apparatus comprising a winding and a sensor system for monitoring the temperature in the winding | ABB POWER GRIDS SWITZERLAND AG |
11024458 | Method for three-dimensional printing of magnetic materials | FORD GLOBAL TECHNOLOGIES, LLC |
11024459 | Method of manufacturing coil component | MURATA MANUFACTURING CO., LTD. |
11024460 | Multilayer ceramic capacitor and method of manufacturing the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11024461 | Multi-layer ceramic electronic component having external electrode with base film and electrically conductive thin film | TAIYO YUDEN CO., LTD. |
11024462 | Ceramic electronic component and method of manufacturing the same and electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11024463 | Electret sheet | SEKISUI CHEMICAL CO., LTD. |
11024464 | Hermetically sealed surface mount polymer capacitor | VISHAY ISRAEL LTD. |
11024465 | Mixed three-dimensional and two-dimensional perovskites and methods of making the same | ALLIANCE FOR SUSTAINABLE ENERGY, LLC |
11024466 | Self-charging supercapacitor | TSINGHUA UNIVERSITY |
11024467 | Self-charging supercapacitor | TSINGHUA UNIVERSITY |
11024468 | Method for charging self-charging supercapacitor | TSINGHUA UNIVERSITY |
11024469 | Electrode, method for producing said electrode, electricity storage device provided with said electrode, and conductive carbon mixture for electricity storage device electrode | NIPPON CHEMI-CON CORPORATION |
11024470 | Nonaqueous electrolyte energy storage device | GS YUASA INTERNATIONAL LTD. |
11024471 | Push switch | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11024472 | Switch device | HONDA MOTOR CO., LTD. |
11024473 | Extended protection surge arrester device | -- |
11024474 | Circuit breakers with integrated safety, control, monitoring, and protection features | GOOGLE LLC |
11024475 | Waterproof switch | HUAWEI TECHNOLOGIES CO., LTD. |
11024476 | Push-button switch | OMRON CORPORATION |
11024477 | Current interrupter for high voltage switches | HUBBELL INCORPORATED |
11024478 | Overheating destructive disconnecting method for switch | GREEN IDEA TECH INC. |
11024479 | Passive wireless switch circuit and related apparatus | QORVO US, INC. |
11024480 | Method and system for zone axis alignment | FEI COMPANY |
11024481 | Scanning electron microscope | FEI COMPANY |
11024482 | Holography reconstruction method and program | RIKEN |
11024483 | Transmission charged particle microscope with adjustable beam energy spread | FEI COMPANY |
11024484 | Method for high speed EELS spectrum acquisition | GATAN, INC. |
11024485 | Multi-charged-particle-beam writing apparatus and beam evaluating method for the same | NUFLARE TECHNOLOGY, INC. |
11024486 | Semiconductor processing systems having multiple plasma configurations | APPLIED MATERIALS, INC. |
11024487 | Current switch device including first and second electrodes and first and second grids | KABUSHIKI KAISHA TOSHIBA |
11024488 | Film-forming method, manufacturing method of electronic device, and plasma atomic layer deposition apparatus | THE JAPAN STEEL WORKS, LTD. |
11024489 | Method and apparatus for deposition cleaning in a pumping line | MKS INSTRUMENTS, INC. |
11024490 | Magnetron having enhanced target cooling configuration | APPLIED MATERIALS, INC. |
11024491 | Hybrid mass spectrometer | THERMO FISHER SCIENTIFIC (BREMEN) GMBH |
11024492 | Method to obtain unbiased identification of interaction of test compounds with the proteome | INSTITUTE FOR SYSTEMS BIOLOGY |
11024493 | Analyzing device, analytical device, analyzing method, and computer program product | SHIMADZU CORPORATION |
11024494 | Assessing MRM peak purity with isotope selective MSMS | DH TECHNOLOGIES DEVELOPMENT PTE. LTD. |
11024495 | Sentinel signal for adaptive retention time in targeted MS methods | -- |
11024496 | Inert non-adsorbing crimpable capillaries and devices for adjusting gas flow in isotope ratio analysis | THERMO FISHER SCIENTIFIC (BREMEN) GMBH |
11024497 | Chemically modified ion mobility separation apparatus and method | EXCELLIMS CORP. |
11024499 | Body, especially lamp body, and method for producing a hermetic seal | SCHOTT AG |
11024500 | Low-pressure discharge lamp | LED VANCE GMBH |
11024571 | Coil built-in multilayer substrate and power supply module | MURATA MANUFACTURING CO., LTD. |
11024589 | Distributing on chip inductors for monolithic voltage regulation | ORACLE INTERNATIONAL CORPORATION |
11024653 | Display device | SAMSUNG DISPLAY CO., LTD. |
11024669 | LIDAR system with fiber tip reimaging | AEVA, INC. |
11024701 | Integrated electronic component suitable for broadband biasing | MURATA INTEGRATED PASSIVE SOLUTIONS |
11024704 | Manufacturing method of capacitor structure | UNITED SEMICONDUCTOR (XIAMEN) CO., LTD. |
11024764 | Method for producing an output coupling element for an optoelectronic component and optoelectronic component | OSRAM OLED GMBH |
11024768 | Semiconductor device | -- |
11024777 | Light source device | PANASONIC SEMICONDUCTOR SOLUTIONS CO., LTD. |
11024798 | Protective passivation layer for magnetic tunnel junctions | -- |
11024814 | Multi-junction perovskite material devices | HUNT PEROVSKITE TECHNOLOGIES, L.L.C. |
11024842 | Patterned anodes for lithium-based energy storage devices | GRAPHENIX DEVELOPMENT, INC. |
11024848 | Thermally self-chargeable flexible energy storage device and method of forming and operating the same | THE TEXAS A&M UNIVERSITY SYSTEM |
11024908 | Electrochemical device and method for manufacturing same | NINGDE AMPEREX TECHNOLOGY LIMITED |
11024928 | Battery terminal | YAZAKI CORPORATION |
11024931 | In-line filter having mutually compensating inductive and capacitive coupling | COMMSCOPE ITALY, S.R.L. |
11024932 | Tunable frequency selective limiter | ROCKWELL COLLINS, INC. |
11024933 | Waveguide comprising an extruded dielectric waveguide core that is coextruded with an outer conductive layer | INTEL CORPORATION |
11024934 | Three-dimensional dielectric structure | TOYOTA MOTOR EUROPE |
11024935 | Apparatuses for power combining and power dividing | COMMSCOPE TECHNOLOGIES LLC |
11024936 | RFID tag assembly methods | IMPINJ, INC. |
11024937 | High power broadband terahertz emitter with enhanced stability and beam polarity | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
11024938 | Antenna module and electronic device comprising same | SAMSUNG ELECTRONICS CO., LTD. |
11024939 | Device and method for reducing interference with adjacent satellites using a mechanically gimbaled asymmetrical-aperture antenna | VIASAT, INC. |
11024940 | Vehicle antenna and window glass for vehicle | AGC INC. |
11024941 | Window glass for vehicle and antenna | AGC INC. |
11024942 | Antenna-in-package system and mobile terminal | AAC TECHNOLOGIES PTE. LTD. |
11024943 | Antenna module and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11024944 | Antenna structure and wireless communication device using same | -- |
11024945 | Antenna system and mobile terminal | AAC TECHNOLOGIES PTE. LTD. |
11024946 | Antenna device and wireless communication device | FUJITSU LIMITED |
11024947 | Mobile terminal | LG ELECTRONICS INC. |
11024948 | User device having half slot antenna | MOTOROLA MOBILITY LLC |
11024949 | Dipole arm assembly | COMMSCOPE TECHNOLOGIES LLC |
11024950 | Wideband laser-induced plasma filament antenna with modulated conductivity | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
11024951 | Antenna devices | KOJIMA INDUSTRIES CORPORATION |
11024952 | Broadband dual polarization active artificial magnetic conductor | HRL LABORATORIES, LLC |
11024953 | Antenna-integrated module and radar device | PANASONIC CORPORATION |
11024954 | Semiconductor package with antenna and fabrication method thereof | -- |
11024955 | Antenna module and communication apparatus | MURATA MANUFACTURING CO., LTD. |
11024956 | Antenna device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11024957 | Triggered generation of nulling signals to null an RF beam using a detachable nulling subassembly | LOON LLC |
11024958 | 1D phased array antenna for radar and communications | SRI INTERNATIONAL |
11024959 | Signal transmission apparatus and system | HUAWEI TECHNOLOGIES CO., LTD. |
11024960 | Scanned antenna and method of manufacturing scanned antenna | SHARP KABUSHIKI KAISHA |
11024961 | Electronically steerable antenna array | CISCO TECHNOLOGY, INC. |
11024962 | Beam forming using an antenna arrangement | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11024963 | Dual band antenna plate and method for manufacturing | PLUME DESIGN, INC. |
11024964 | Antenna system and mobile terminal | AAC TECHNOLOGIES PTE. LTD. |
11024965 | Dual band antenna device | MURATA MANUFACTURING CO., LTD. |
11024966 | Antenna and terminal device having same | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11024968 | Antenna assemblies with tapered loop antenna elements | ANTENNAS DIRECT, INC. |
11024969 | Multi-input multi-output antenna structure | -- |
11024970 | Circularly polarized antenna for radio frequency energy harvesting | AGENCY FOR SCIENCE, TECHNOLOGY AND RESEARCH |
11024971 | Wideband millimeter (mmWave) antenna | THE BOARD OF TRUSTEES OF THE UNIVERSITY OF ALABAMA |
11024972 | Antenna and antenna module including the antenna | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11024973 | Antenna structure | -- |
11024974 | Dual-polarized planar ultra-wideband antenna | SWISSCOM AG |
11024975 | Multi-band orthomode transducer device | ROHDE & SCHWARZ GMBH CO. KG |
11024976 | Mobile terminal | AAC TECHNOLOGIES PTE. LTD. |
11024977 | Tunable dielectric metamaterial lens device for radar sensing | IEE INTERNATIONAL ELECTRONICS & ENGINEERING S.A. |
11024978 | Antenna | AAC TECHNOLOGIES PTE. LTD. |
11024979 | 3D IC antenna array with laminated high-k dielectric | -- |
11024980 | Dual-polarized antenna | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11024981 | Multi-band endfire antennas and arrays | -- |
11024982 | Antenna apparatus | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11024983 | Terminal-equipped electric wire | YAZAKI CORPORATION |
11024984 | Contact carrier, electrical contact unit and a method of producing a cable assembly | TE CONNECTIVITY GERMANY GMBH |
11024985 | Insulated external parking bushing | -- |
11024986 | Cable connector for electric parking brake actuator | JOHNSON ELECTRIC INTERNATIONAL AG |
11024987 | Clamping spring and conductor connection terminal | WAGO VERWALTUNGSGESELLSCHAFT MBH |
11024988 | Conductor terminal with sheet metal part having arched root area from which clamping spring extends | WAGO VERWALTUNGSGESELLSCHAFT MBH |
11024989 | Coaxial cable connectors having an integrated biasing feature | PPC BROADBAND, INC. |
11024990 | Connector assembly for connecting multiple cables to electrical device | MOLEX, LLC |
11024991 | Receptacle terminal | TYCO ELECTRONICS (SHANGHAI) CO. LTD. |
11024992 | Tap clamp | HUBBELL INCORPORATED |
11024993 | Connecting method, connecting structure and connection terminal assembly | JAPAN AVIATION ELECTRONICS INDUSTRY, LIMITED |
11024994 | Connector device having multi-receiving part | SAMSUNG ELECTRONICS CO., LTD. |
11024995 | Electrical connector assembly having metal cover | -- |
11024996 | Metallic material and connection terminal | AUTONETWORKS TECHNOLOGIES, LTD. |
11024997 | Electrical connector system with vibration prevention | ROBERT BOSCH GMBH |
11024998 | Kit of first and second parts adapted for connection to each other | OXFORD NANOPORE TECHNOLOGIES LTD. |
11024999 | Electrical connector and sleeve for electrical contact | HUBBELL INCORPORATED |
11025000 | Terminal retainer, connector housing, connector and connector assembly | TYCO ELECTRONICS (SHANGHAI) CO. LTD. |
11025001 | Plug-in connector part having modular contact inserts inserted into a holding frame | PHOENIX CONTACT GMBH & CO. KG |
11025002 | Magnetic cable adapters and connectors and methods of installing cables implementing same | GOOGLE LLC |
11025003 | Connector | SUMITOMO WIRING SYSTEMS, LTD. |
11025004 | Circuit card assemblies for a communication system | TE CONNECTIVITY SERVICES GMBH |
11025005 | Lever-type connector | AUTONETWORKS TECHNOLOGIES, LTD. |
11025006 | Communication system having connector assembly | TE CONNECTIVITY CORPORATION |
11025007 | Electronic component | IRISO ELECTRONICS CO., LTD. |
11025008 | Board to board connector | MPD CORP. |
11025009 | Circuit substrate mounted cable connector | MOLEX, LLC |
11025010 | High frequency electrical connector | FU DING PRECISION INDUSTRIAL (ZHENGZHOU) CO., LTD. |
11025011 | RF connector with V-grooved EMI sealing interface | GENESIS TECHNOLOGY USA, INC. |
11025012 | High frequency electrical connector | FU DING PRECISION INDUSTRIAL (ZHENGZHOU) CO., LTD. |
11025013 | Dual-sided receptacle connector | FOXCONN (KUNSHAN) COMPUTER CONNECTOR CO., LTD. |
11025014 | Shield component for use with modular electrical connector to reduce crosstalk | TE CONNECTNITY SERVICES GMBH |
11025015 | Electrical socket apparatus, electrical plug apparatus and method of operation | VESTEL ELEKTRONIK SANAYI VE TICARET A.S. |
11025016 | Electrical assembly to prevent improper installation | LEAR CORPORATION |
11025017 | LED operational profiles for USB-C cable plugs | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11025018 | Charging inlet | SUMITOMO WIRING SYSTEMS, LTD. |
11025019 | Data cable | VEETONE TECHNOLOGIES LIMITED |
11025020 | Peripheral device | DEXIN ELECTRONIC LTD. |
11025021 | Electrical device coupling adapter | -- |
11025022 | Adapter for changing connector pitch and method for manufacturing same | LG CHEM, LTD. |
11025023 | Smart quick connect device for electrical fixtures | -- |
11025024 | Crimping hand tool | -- |
11025025 | Integrated optics for high energy laser applications | LAWRENCE LIVERMORE NATIONAL SECURITY, LLC |
11025026 | Laser system | GIGAPHOTON INC. |
11025027 | Precision frequency combs | IMRA AMERICA, INC. |
11025028 | Light generating device, and carbon isotope analyzing device and carbon isotope analyzing method employing same | NATIONAL UNIVERSITY CORPORATION NAGOYA UNIVERSITY |
11025029 | Monolithic III-V nanolaser on silicon with blanket growth | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11025030 | Optical module | HISENSE BROADBAND MULTIMEDIA TECHNOLOGIES CO., LTD. |
11025031 | Dual junction fiber-coupled laser diode and related methods | LEONARDO ELECTRONICS US INC. |
11025032 | Double sided cooling of laser diode | TRUMPF PHOTONICS, INC. |
11025033 | Bump bonding structure to mitigate space contamination for III-V dies and CMOS dies | -- |
11025034 | Laser cooling system | NLIGHT, INC. |
11025035 | Generating laser pulses | TRUMPF LASER GMBH |
11025036 | Hot stick quick connect surge arrester assembly | -- |
11025037 | Arrester for protection against overvoltages | TDK ELECTRONICS AG |
11025038 | Methods and apparatus for a current circuit | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11025044 | Electrical floor box with light source assembly | THOMAS & BETTS INTERNATIONAL LLC |
11025045 | Inverter with internal/external ferromagnetic cores | VALEO SIEMENS EAUTOMOTIVE GERMANY GMBH |
11025048 | System for detecting and limiting the effects of loss of insulation of an electrical transformer | THALES |
11025049 | Self-test auto monitor ground fault circuit interrupter (GFCI) with power denial | HUBBELL INCORPORATED |
11025051 | Electronic control unit | BROSE FAHRZEUGTEILE GMBH & CO. KOMMANDITGESELLSCHAFT |
11025070 | Device having a multimode antenna with at least one conductive wire with a plurality of turns | NUCURRENT, INC. |
11025075 | Portable power case with heat-resistant material | LAT ENTERPRISES, INC. |
11025076 | Portable power case with lithium iron phosphate battery | LAT ENTERPRISES, INC. |
11025093 | Personal care product system with flux guiding members | THE GILLETTE COMPANY LLC |
11025095 | Techniques for facilitating beacon sampling efficiencies in wireless power delivery environments | OSSIA INC. |
11025096 | Microwave wireless charger with focusing of microwave field | SAMSUNG ELECTRONICS CO., LTD. |
11025098 | Wireless charging system with machine-learning-based foreign object detection | APPLE INC. |
11025100 | Wireless power transmission/reception device | GE HYBRID TECHNOLOGIES, LLC |
11025102 | Directional wireless power and wireless data communication | OSSIA INC. |
11025103 | Iron core and motor | PANASONIC CORPORATION |
11025113 | Hybrid rotor assembly | ATIEVA, INC. |
11025118 | Polymeric materials | SCHLUMBERGER TECHNOLOGY CORPORATION |
11025126 | Brushed DC motor and manufacturing method thereof | NETMOTOR (U.S.A.) INC. |
11025130 | Onboard device | SUBARU CORPORATION |
11025171 | Power conversion apparatus having Scott-T transformer | HYUNDAI ELECTRIC & ENERGY SYSTEMS CO., LTD. |
11025200 | Magnetic coupling layers, structures comprising magnetic coupling layers and methods for fabricating and/or using same | -- |
11025208 | Switchless multi input stacked transistor amplifier tree structure | PSEMI CORPORATION |
11025219 | Common-mode filter | STMICROELECTRONICS (TOURS) SAS |
11025224 | RF circuitry having simplified acoustic RF resonator parallel capacitance compensation | QORVO US, INC. |
11025246 | Switch control circuit and switch control system | WENZHOU MTLC ELECTRIC APPLIANCES CO., LTD. |
11025285 | Electronic device with millimeter wave antennas | APPLE INC. |
11025288 | Transceiver arrangement | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11025298 | Near-end crosstalk cancellation | GENESIS TECHNICAL SYSTEMS CORP. |
11025299 | Methods and apparatus for launching and receiving electromagnetic waves | AT&T INTELLECTUAL PROPERTY I, L.P. |
11025318 | Electronic device, communication method and medium | SONY CORPORATION |
11025321 | Reconfigurable, bi-directional, multi-band front end for a hybrid beamforming transceiver | CARNEGIE MELLON UNIVERSITY |
11025346 | Systems and methods for underwater illumination, survey, and wireless optical communications | KING ABDULLAH UNIVERSITY OF SCIENCE AND TECHNOLOGY |
11025377 | Fixed wireless access using orthogonal time frequency space modulation | COHERE TECHNOLOGIES, INC. |
11025460 | Methods and apparatus for accessing interstitial areas of a cable | AT&T INTELLECTUAL PROPERTY I, L.P. |
11025472 | Multi-beam MIMO antenna systems and methods | MATSING, INC. |
11025758 | Electric signal transmission line in a communication device | HUAWEI TECHNOLOGIES CO., LTD. |
11025761 | Mobile terminal | LG ELECTRONICS INC. |
11025898 | Detecting loss of alignment of optical imaging modules | APPLE INC. |
11026010 | Portable listening device with sensors | APPLE INC. |
11026011 | Wireless earbud | APPLE INC. |
11026027 | Ear-worn electronic device incorporating an antenna actively loaded using a non-foster circuit | STARKEY LABORATORIES, INC. |
11026029 | Hearing aid with a flexible carrier antenna and related method | GN HEARING A/S |
11026321 | Information handling system comprising a processor coupled to a board and including a differential trace pair having a first straight differential trace and a second serpentine shape differential trace | DELL PRODUCTS L.P. |
11026322 | PCB strain relief | TRW AUTOMOTIVE U.S. LLC |
11026326 | Peripheral end face attachment of exposed copper layers of a first printed circuit board to the surface of a second printed circuit board by surface mount assembly | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11026328 | Display apparatus and manufacturing method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11026330 | Display device | SAMSUNG DISPLAY CO., LTD. |
11026331 | SpaceCube V3.0 single-board computer | UNITED STATES OF AMERICA AS REPRESENTED BY THE ADMINISTRATOR OF NASA |
11026332 | Reel-to-reel flexible printed circuit fabrication methods and devices | MANAFLEX, LLC |
11026333 | Reel-to-reel laser sintering methods and devices in FPC fabrication | MANAFLEX, LLC |
11026336 | Display device | SHANGHAI TIANMA AM-OLED CO., LTD. |
11026337 | Electronic control unit | VEONEER SWEDEN AB |
11026338 | Waterproof casing of outdoor wireless electronic device | -- |
11026339 | Method for producing electronics housings | ROBERT BOSCH GMBH |
11026340 | Passenger protection control device | VEONEER SWEDEN AB |
11026341 | Method of changing a switching module using pressure-applying device | LSIS CO., LTD. |
11026342 | Cage assembly for expansion module and electronic device | -- |
11026343 | Thermodynamic heat exchanger | FLEXTRONICS AP, LLC |
11026344 | Fluid conditioner for cooling fluid in a tank holding computers and/or other electronics equipment, a cooling system incorporating such a fluid conditioner, and a method of cooling fluid in a tank holding computers and/or other electronics equipment | DOWNUNDER GEOSOLUTIONS PTY LTD. |
11026346 | Water-replenishing and gas-removing structure for water cooling device | -- |
11026347 | Configurable cooling for rugged environments | SMART EMBEDDED COMPUTING, INC. |
11026348 | Electronic device | SHARP KABUSHIKI KAISHA |
11026349 | Telecommunications enclosure with separate heat sink assembly | COMMSCOPE TECHNOLOGIES LLC |
11026350 | Modular data center without active cooling | AMAZON TECHNOLOGIES, INC. |
11026351 | Computing apparatus with closed cooling loop | INTEL CORPORATION |
11026352 | Closed loop cooling system for a junction box in a vehicle, and related components, systems, and methods | VOLVO TRUCK CORPORATION |
11026353 | Arrangement having a housing and a power electronics circuit arranged on a housing base in the housing | VITESCO TECHNOLOGIES GMBH |
11026354 | Cooling methods for medium voltage drive systems | TECO-WESTINGHOUSE MOTOR COMPANY |
11026355 | Housing for receiving electronic devices and electronic system having the same | SAMSUNG ELECTRONICS CO., LTD. |
11026356 | Electrical device and shielding method | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11026357 | Containing electro-magnetic interference (EMI) using a number of low-profile spring devices | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11026358 | Biopolymer-based electromagnetic interference shielding materials | SOFTWARE DEFINED TECHNOLOGIES, INC |
11026359 | Electromagnetic pulse shield assembly and enclosure for protecting electrical equipment | -- |
11026360 | Method for manufacturing a mounting board | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11026361 | Linear/angular correction of pick-and-place held component and related optical subsystem | -- |
11026485 | Wrist-worn device clasp | ARM IP LIMITED |
11026562 | Endoscope | KARL STORZ SE & CO. KG |
11026615 | Dry electrode for detecting biosignal and method for manufacturing same | Y-BRAIN INC |
11026645 | Radiography backscatter shields and X-ray imaging systems including backscatter shields | ILLINOIS TOOL WORKS INC. |
11026646 | Systems and methods for digital X-ray imaging | ILLINOIS TOOL WORKS INC. |
11026751 | Display of alignment of staple cartridge to prior linear staple line | CILAG GMBH INTERNATIONAL |
11026775 | Textured surfaces for breast implants | ESTABLISHMENT LABS S.A. |
11027015 | Antibodies specifically binding to MASP-3 for the treatment of various diseases and disorders | OMEROS CORPORATION |
11027126 | Systems and methods for direct current nerve conduction block | PRESIDIO MEDICAL, INC. |
11027191 | Auxiliary control electronic device of mobile terminal | SAMSUNG ELECTRONICS CO., LTD. |
11027211 | Sequentially operated modules | MAY PATENTS LTD. |
11027353 | Systems and methods for detecting welding and cutting parameters | ILLINOIS TOOL WORKS INC. |
11027357 | Methods and apparatus to provide welding power | ILLINOIS TOOL WORKS INC. |
11027365 | Laser beam diverting aperture and reflection capture device | PRECO, INC. |
11027366 | Laser power distribution module | NLIGHT, INC. |
11027371 | Laser applying mechanism | DISCO CORPORATION |
11027374 | Particles, connecting material and connection structure | SEKISUI CHEMICAL CO., LTD. |
11027400 | Electrically isolated coupling | APEX BRANDS, INC. |
11027462 | Polydimethylsiloxane films and method of manufacture | THE BOARD OF TRUSTEES OF WESTERN MICHIGAN UNIVERSITY |
11027520 | Method of wrapping mica paper on an electrical conductor and mica paper tape suitable for same | DUPONT SAFETY & CONSTRUCTION, INC. |
11027619 | Charging station, motor vehicle and method for inductive charging of a battery of a motor vehicle | AUDI AG |
11027621 | Vehicle and charging system | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11027664 | Rearview monitor apparatus | HONDA MOTOR CO., LTD. |
11027675 | Wire harness | YAZAKI CORPORATION |
11027678 | On-board system and detector hub | YAZAKI CORPORATION |
11027679 | Electrical device | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11027857 | Lightning protection device for an aircraft, aircraft comprising such a lightning protection device | AIRBUS SAS |
11027889 | Flexible magnetic and interlocking sealing apparatus | FIDLOCK GMBH |
11027970 | Method of manufacturing semiconductor device | KOKUSAI ELECTRIC CORPORATION |
11027985 | BMW-based high frequency dielectric ceramic material and method for manufacturing same | -- |
11027990 | Plasma-based methods and systems for treating waters with high electrical conductivity and/or low surface tension | CLARKSON UNIVERSITY |
11028014 | Coated glass-based articles with engineered stress profiles | CORNING INCORPORATED |
11028198 | Tetrafluoroethylene and perfluorinated allyl ether copolymers | 3M INNOVATIVE PROPERTIES COMPANY |
11028207 | Flame retardant polyolefin-type resin and preparation method as well as optic fiber cable using the same | CORNING INCORPORATED |
11028211 | Biocompatible and conductive hydrogels with tunable physical and electrical properties | NORTHEASTERN UNIVERSITY |
11028240 | Composite materials with desired characteristics | NANOCORE APS |
11028262 | Resin composition, anisotropic conductive film including the same, and electronic device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11028265 | Electrically conducting poly(pyrazoles) | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
11028277 | Charge transport varnish | NISSAN CHEMICAL CORPORATION |
11028304 | Thermally conductive polymer composition for a heat sink | TICONA LLC |
11028468 | Soft magnetic alloy optimized for metal injection molding | APPLE INC. |
11028481 | Substrate treating apparatus and method | JUSUNG ENGINEERING CO., LTD. |
11028484 | Electroless nickel plating of silicone rubber | AGENCY FOR SCIENCE, TECHNOLOGY AND RESEARCH |
11028526 | Clothes care apparatus | SAMSUNG ELECTRONICS CO., LTD. |
11028759 | System and method for axial zoning of heating power | WATLOW ELECTRIC MANUFACTURING COMPANY |
11028814 | Semiconductor device for internal combustion engine ignition | FUJI ELECTRIC CO., LTD. |
11028835 | Artificial muscle actuators | LINTEC OF AMERICA, INC. |
11028882 | Methods and apparatus for a rolling bearing assembly with electrical discharge damage protection | ILLINOIS TOOL WORKS INC. |
11028935 | Valve and electric actuator housing | ROBERT BOSCH GMBH |
11028988 | Light source device and lighting device | PANASONIC SEMICONDUCTOR SOLUTIONS CO., LTD. |
11029009 | Downlight apparatus | XIAMEN ECO LIGHTING CO. LTD. |
11029011 | Lamp socket connector, lighting device and lighting system | OPPLE LIGHTING CO., LTD. |
11029012 | Connection device for a luminaire | SCHNEIDER ELECTRIC INDUSTRIES SAS |
11029059 | Passive cooling system with ambient fluid collection | AMAZON TECHNOLOGIES, INC. |
11029092 | Magnetic energy harvesting device and method for electric metallurgical furnaces and similar environments | HATCH LTD. |
11029098 | Device temperature regulator | DENSO CORPORATION |
11029184 | System and methods for field monitoring of stationary assets | -- |
11029187 | Fill level reflectometer having a variable measurement sequence | VEGA GRIESHABER KG |
11029206 | Methods and apparatus for waveguide metrology | APPLIED MATERIALS, INC. |
11029282 | Liquid phase ion mobility spectrometer | ANALYTICAL DETECTION LLC |
11029291 | Systems and methods for ionization | THERMO FINNIGAN LLC |
11029335 | Selectively geometric shaped contact pin for electronic component testing and method of fabrication | JOHNSTECH INTERNATIONAL CORPORATION |
11029347 | Electronics equipment testing apparatus and method utilizing unintended RF emission features | NOKOMIS, INC |
11029365 | Semiconductor device | ROHM CO., LTD. |
11029368 | Test point adaptor for coaxial cable connections | PPC BROADBAND, INC. |
11029373 | Magnetic field sensors having a magnetic anti-aliasing filter | ALLEGRO MICROSYSTEMS, LLC |
11029387 | Radar system with frequency conversion and corresponding method | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
11029402 | Wideband ground penetrating radar system and method | THE UNIVERSITY OF VERMONT AND STATE AGRICULTURAL COLLEGE |
11029424 | X-ray detectors of high spatial resolution | SHENZHEN XPECTVISION TECHNOLOGY CO., LTD. |
11029450 | Substrate with antireflection coating and method for producing same | SCHOTT AG |
11029476 | Injection locked multi-wavelength optical source | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11029588 | Projector | SEIKO EPSON CORPORATION |
11029671 | Integrated wire harness batch production using augmented reality | AUTOMATED WIRING SYSTEMS, LLC |
11029722 | Notebook computer and keyboard decorative panel thereof | -- |
11029723 | Keyboard device | LENOVO (SINGAPORE) PTE. LTD. |
11029734 | Cycling computer | WAHOO FITNESS LLC |
11029738 | Heat exchange module and serial pump thereof | -- |
11029763 | Input device and electronic device | LENOVO (BEIJING) CO., LTD. |
11029794 | Touch panel, display panel, and display unit | JAPAN DISPLAY INC. |
11029870 | Technologies for dividing work across accelerator devices | INTEL CORPORATION |
11030017 | Technologies for efficiently booting sleds in a disaggregated architecture | INTEL CORPORATION |
11030145 | Server | JABIL INC. |
11030285 | Selective-access data-center racks | VAPOR IO INC. |
11030507 | Antenna for RF tag, and RF tag | PHOENIX SOLUTION CO., LTD. |
11030512 | RFID system and method | DEKA PRODUCTS LIMITED PARTNERSHIP |
11030736 | Method for applying automatic optical inspection to copper coils thinned by laser etching and apparatus therefor | -- |
11030948 | Display panel | -- |
11031032 | Cryogenic magnetic alloys with less grain refinement dopants | SEAGATE TECHNOLOGY LLC |
11031058 | Spin-transfer torque magnetoresistive memory device with a free layer stack including multiple spacers and methods of making the same | WESTERN DIGITAL TECHNOLOGIES, INC. |
11031062 | Magnetic memory device and method for controlling a write pulse | NATIONAL INSTITUTE OF ADVANCED INDUSTRIAL SCIENCE AND TECHNOLOGY |
11031151 | Amphiphilic hybrid nanomaterials | THE UNIVERSITY OF NORTH CAROLINA AT GREENSBORO |
11031152 | Flame- retardant electrical cable | PRYSMIAN S.P.A. |
11031153 | Water tree resistant cables | GENERAL CABLE TECHNOLOGIES CORPORATION |
11031154 | Composite cable and composite harness | HITACHI METALS, LTD. |
11031155 | Reinforced superconducting wire, superconducting cable, superconducting coil and superconducting magnet | BRUKER SWITZERLAND AG |
11031156 | 3-d printed electrical cable | RAYTHEON COMPANY |
11031157 | System and method of printing indicia onto armored cable | SOUTHWIRE COMPANY, LLC |
11031158 | Continuously variable precision and linear floating resistor using metal-oxide-semiconductor field-effect transistors | INDIAN INSTITUTE OF TECHNOLOGY BOMBAY |
11031159 | Ceramic material, varistor and methods of preparing the ceramic material and the varistor | TDK ELECTRONICS AG |
11031160 | Method of actuating a shape changeable member, shape changeable member and actuating system | MAX-PLANCK-GESELLSCHAFT ZUR FORDERUNG DER WISSENSCHAFTEN E.V. |
11031161 | Method of manufacturing a bulk nitride, carbide, or boride-containing material | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
11031162 | Grain-oriented electrical steel sheet and manufacturing method therefor | POSCO |
11031163 | Grain-oriented electrical steel sheet and method for manufacturing the same | JFE STEEL CORPORATION |
11031164 | Attachment devices for inductive interconnection systems | APPLE INC. |
11031165 | Method and arrangement for determining the armature position of an electromagnet | VOITH PATENT GMBH |
11031166 | Electromagnet-switchable permanent magnet device | MAGSWITCH TECHNOLOGY WORLDWIDE PTY LTD |
11031167 | Giant perpendicular magnetic anisotropy in Fe/GaN thin films for data storage and memory devices | UNIVERSITY OF NEW HAMPSHIRE |
11031168 | Laminated coil component | TAIYO YUDEN CO., LTD. |
11031170 | Coil device | TDK CORPORATION |
11031171 | Reactor | TAMURA CORPORATION |
11031172 | Tracking sensor | BIOSENSE WEBSTER (ISRAEL) LTD. |
11031173 | Coil component, method of making the same, and power supply circuit unit | TDK CORPORATION |
11031174 | Thin film type inductor | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11031175 | Transformer with integrated cooling | DEERE & COMPANY |
11031176 | Reactor | AUTONETWORKS TECHNOLOGIES, LTD. |
11031177 | Power converter | MITSUBISHI ELECTRIC CORPORATION |
11031178 | Primary-sided and a secondary-sided arrangement of winding structures, a system for inductive power transfer and a method for inductively supplying power to a vehicle | BOMBARDIER PRIMOVE GMBH |
11031179 | Passive components for electronic circuits using conformal deposition on a scaffold | THE BOARD OF TRUSTEES OF THE LELAND STANFORD JUNIOR UNIVERSITY |
11031180 | Multi-layer ceramic electronic component and method of producing the same | TAIYO YUDEN CO., LTD. |
11031181 | Dielectric composition and multilayer capacitor comprising the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11031182 | Dielectric ceramic composition, electronic device, and multilayer ceramic capacitor | TDK CORPORATION |
11031183 | Multilayer ceramic capacitor having ultra-broadband performance | AVX CORPORATION |
11031184 | Capacitor component including external electrode having extended pattern and connection pattern extending from extended pattern | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11031185 | Electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11031186 | Capacitor component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11031187 | Method of manufacturing solid electrolytic capacitor, and method of manufacturing dispersion containing conjugated electrically conductive polymer | SHOWA DENKO K.K. |
11031188 | Solid electrolytic capacitor | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11031189 | Aqueous hybrid super capacitor | -- |
11031190 | Medium or high voltage switch having spherical-bearing-type mechanical connection | ABB POWER GRIDS SWITZERLAND AG |
11031191 | Circuit breaker linking system for movable contact | SCHNEIDER ELECTRIC INDUSTRIES SAS |
11031192 | Switchgear | MITSUBISHI ELECTRIC CORPORATION |
11031193 | Method for manufacturing a touch panel | FUJITSU COMPONENT LIMITED |
11031194 | Switch and imaging apparatus | CANON KABUSHIKI KAISHA |
11031195 | Laser processing of fabric for electronic devices | APPLE INC. |
11031196 | Electric switch of the normally open type | C&K COMPONENTS S.A.S. |
11031197 | Remote controlled light switch cover | ECOLINK INTELLIGENT TECHNOLOGY, INC. |
11031198 | Arrangement and method for connecting contact points to a reference potential | SIEMENS AKTIENGESELLSCHAFT |
11031199 | Switching contact drive device and switching device | SIEMENS AKTIENGESELLSCHAFT |
11031200 | Relay cycle life extender | ADEMCO INC. |
11031201 | High power and high insulation performance relay for solar photovoltaic inverter | XIAMEN HONGFA ELECTROACOUSTIC CO., LTD. |
11031202 | Magnetic latching relay capable of resisting short-circuit current | XIAMEN HONGFA ELECTRIC POWER CONTROLS CO., LTD. |
11031203 | Contact point device and electromagnetic relay | DENSO ELECTRONICS CORPORATION |
11031204 | Movable contact arm set for switching contactor | JOHNSON ELECTRIC INTERNATIONAL AG |
11031205 | Device for generating negative ions by impinging positive ions on a target | GEORG-AUGUST-UNIVERSITÄT GÖTTINGEN STIFTUNG ÖFFENTLICHEN RECHTS, UNIVERSITÄTSMEDIZIN |
11031206 | Electron photoinjector | ARIZONA BOARD OF REGENTS ON BEHALF OF ARIZONA STATE UNIVERSITY |
11031208 | Cold cathode field-emission electron gun, adjustment method for cold cathode field-emission electron gun, sharpening method for emitter, and electron microscope | JEOL LTD. |
11031209 | Scanning efficiency by individual beam steering of multi-beam apparatus | ASML NETHERLANDS B.V. |
11031210 | Charged particle detection system | EL-MUL TECHNOLOGIES LTD. |
11031211 | Charged particle beam device, and observation method and elemental analysis method using the same | HITACHI HIGH-TECH CORPORATION |
11031212 | Semiconductor manufacturing apparatus | TOSHIBA ELECTRONIC DEVICES & STORAGE CORPORATION |
11031213 | Microwave output device and plasma processing device | TOKYO ELECTRON LIMITED |
11031214 | Batch type substrate processing apparatus | EUGENE TECHNOLOGY CO., LTD. |
11031215 | Vacuum pump protection against deposition byproduct buildup | LAM RESEARCH CORPORATION |
11031216 | Mass spectrometry data acquisition method | SHIMADZU CORPORATION |
11031217 | Mass correction | MICROMASS UK LIMITED |
11031218 | Data acquisition method in a mass spectrometer | SHIMADZU CORPORATION |
11031219 | Swath® to extend dynamic range | DH TECHNOLOGIES DEVELOPMENT PTE. LTD. |
11031220 | Ion detection system | MICROMASS UK LIMITED |
11031222 | Chemically guided ambient ionisation mass spectrometry | MICROMASS UK LIMITED |
11031223 | Capacitively coupled REIMS technique and optically transparent counter electrode | MICROMASS UK LIMITED |
11031224 | Ion guiding device and guiding method | SHIMADZU CORPORATION |
11031225 | Methods and systems for controlling ion contamination | DH TECHNOLOGIES DEVELOPMENT PTE. LTD. |
11031226 | Mass spectrometer and mass spectrometry | SHIMADZU CORPORATION |
11031227 | Discharge chambers and ionization devices, methods and systems using them | PERKINELMER HEALTH SCIENCES CANADA, INC. |
11031228 | Mass spectrometry device and mass spectrometry method | HAMAMATSU PHOTONICS K.K. |
11031229 | Sample desorption ionization device and analysis method for a mass spectrometer | SHIMADZU RESEARCH LABORATORY (SHANGHAI) CO., LTD. |
11031230 | Data directed DESI-MS imaging | MICROMASS UK LIMITED |
11031231 | Mass spectrometry imaging with automatic parameter varying in ion source to control charged droplet spraying | MICROMASS UK LIMITED |
11031232 | Injection of ions into an ion storage device | THERMO FISHER SCIENTIFIC (BREMEN) GMBH |
11031233 | High lateral to vertical ratio etch process for device manufacturing | APPLIED MATERIALS, INC. |
11031245 | Tin oxide thin film spacers in semiconductor device manufacturing | LAN RESEARCH CORPORATION |
11031247 | Method and apparatus for depositing a monolayer on a three dimensional structure | VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC. |
11031252 | Heat shield for chamber door and devices manufactured using same | -- |
11031262 | Loadlock integrated bevel etcher system | APPLIED MATERIALS, INC. |
11031312 | Multi-fractal heatsink system and method | FRACTAL HEATSINK TECHNOLOGIES, LLC |
11031317 | Direct bonded metal substrates with encapsulated phase change materials and electronic assemblies incorporating the same | TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC. |
11031318 | Encapsulated phase change porous layer | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11031323 | Interconnecting member for power module | ABB POWER GRIDS SWITZERLAND AG |
11031359 | Capacitor loop structure | INTEL CORPORATION |
11031360 | Techniques for an inductor at a second level interface | INTEL CORPORATION |
11031366 | Shielded electronic component package | AMKOR TECHNOLOGY SINGAPORE PTE. LTD. |
11031435 | Memory device containing ovonic threshold switch material thermal isolation and method of making the same | WESTERN DIGITAL TECHNOLOGIES, INC. |
11031458 | Metal-insulator-metal (MIM) capacitor structure and method for forming the same | -- |
11031504 | Gate all around vacuum channel transistor | STMICROELECTRONICS, INC. |
11031524 | Optoelectronic component having a layer with lateral offset inclined side surfaces | OSRAM OLED GMBH |
11031541 | Spin-orbit torque type magnetization rotating element, spin-orbit torque type magnetoresistance effect element, and magnetic memory | TDK CORPORATION |
11031547 | Reduction of capping layer resistance area product for magnetic device applications | -- |
11031549 | Magnetoresistive random access memory (MRAM) device | SAMSUNG ELECTRONICS CO., LTD. |
11031564 | Display device | JAPAN DISPLAY INC. |
11031585 | Method for producing coated nickel-based lithium-nickel composite oxide particles | SUMITOMO METAL MINING CO., LTD. |
11031588 | Silicon-based composite anode active material for secondary battery, anode comprising same | HYUNDAI MOTOR COMPANY |
11031593 | Method of producing cobalt-coated precursor, cobalt-coated precursor produced thereby, and positive electrode active material prepared using same | ECOPRO BM CO., LTD. |
11031608 | Connecting element for electrically contact-connecting separator plates of a fuel cell stack | BAYERISCHE MOTOREN WERKE AKTIENGESELLSCHAFT |
11031618 | Polymer, polymer electrolyte membrane and membrane/electrode assembly | AGC INC. |
11031657 | Separators comprising elongated nanostructures and associated devices and methods, including devices and methods for energy storage and/or use | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11031662 | Low temperature co-fired ceramic band-pass filter | AAC TECHNOLOGIES PTE. LTD. |
11031663 | Stacked ceramic resonator filter connected by tabs to a printed circuit board and usable for forming an RF module and a wireless mobile device | SKYWORKS SOLUTIONS, INC. |
11031664 | Waveguide band-pass filter | COM DEV LTD. |
11031665 | Magnetic-free non-reciprocal circuits based on sub-harmonic spatio-temporal conductance modulation | THE TRUSTEES OF COLUMBIA UNIVERSITY IN THE CITY OF NEW YORK |
11031666 | Waveguide comprising a dielectric waveguide core surrounded by a conductive layer, where the core includes multiple spaces void of dielectric | INTEL CORPORATION |
11031667 | Method and apparatus having an adjustable structure positioned along a transmission medium for launching or receiving electromagnetic waves having a desired wavemode | AT&T INTELLECTUAL PROPERTY I, L.P. |
11031668 | Transmission medium comprising a non-circular dielectric core adaptable for mating with a second dielectric core splicing device | AT&T INTELLECTUAL PROPERTY I, L.P. |
11031669 | Method of additive manufacture of a waveguide as well as waveguide devices manufactured according to this method | SWISSTO12 SA |
11031670 | Antenna arrangement | DR. ING. H.C. F. PORSCHE AKTIENGESELLSCHAFT |
11031671 | AOG antenna system and mobile terminal | AAC TECHNOLOGIES PTE. LTD. |
11031672 | Antenna and system for RF communications | STMICROELECTRONICS DESIGN AND APPLICATION S.R.O. |
11031673 | RF tag antenna, RF tag, and RF tag having conductive body formed therein | PHOENIX SOLUTION CO., LTD. |
11031674 | System and method for antenna pattern positioning of integrated antenna vent | DELL PRODUCTS L.P. |
11031675 | Antenna module | SAMSUNG ELECTRO-MECHANICS CO. LTD. |
11031676 | Millimeter wave array antenna architecture | AAC TECHNOLOGIES PTE. LTD. |
11031677 | Multiple-body-configuration multimedia and smartphone multifunction wireless devices | FRACTUS, S.A. |
11031678 | Base station antennas having arrays with frequency selective shared radiating elements | COMMSCOPE TECHNOLOGIES LLC |
11031680 | Near-field electromagnetic induction (NFEMI) antenna | NXP B.V. |
11031681 | Package integrated waveguide | NXP USA, INC. |
11031682 | Adaptive polarimetric radar architecture for autonomous driving | WAYMO LLC |
11031683 | Rearview mirror assembly with antenna | GENTEX CORPORATION |
11031684 | Earth-moving machine | KOMATSU LTD. |
11031685 | Aircraft radomes with broadband transparency | VU SYSTEMS, LLC |
11031686 | Positive battery terminal antenna ground plane | DURACELL U.S. OPERATIONS, INC. |
11031687 | Antenna, wireless communication module, and wireless communication device | KYOCERA CORPORATION |
11031688 | System and method for operating an antenna adaptation controller module | DELL PRODUCTS L.P. |
11031689 | Method for rapid testing of functionality of phase-change material (PCM) radio frequency (RF) switches | NEWPORT FAB, LLC |
11031690 | Modular antenna systems and related methods of manufacture | PHASE SENSITIVE INNOVATIONS, INC. |
11031691 | Ultra-wideband wall-mounted antenna | KUNSHAN HAMILTON COMMUNICATION TECHNOLOGY CO., LTD |
11031692 | System including antenna and ultra-wideband ortho-mode transducer with ridge | IRVINE |
11031693 | RFID system with an eddy current trap | DEKA PRODUCTS LIMITED PARTNERSHIP |
11031694 | Antenna | YAZAKI CORPORATION |
11031695 | Loop antenna | MERRY ELECTRONICS (SHENZHEN) CO., LTD. |
11031696 | Antenna-in-package system and mobile terminal | AAC TECHNOLOGIES PTE. LTD. |
11031697 | Electromagnetic device | ROGERS CORPORATION |
11031698 | Broad-band slot antenna covered on the rear side, and antenna groups comprising same | KATHREIN BROADCAST GMBH |
11031699 | Antenna with graded dielectirc and method of making the same | INTEL IP CORPORATION |
11031700 | Antenna module and communication device | MURATA MANUFACTURING CO., LTD. |
11031701 | Electronic device | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11031702 | Phased array antenna structure | -- |
11031703 | Antenna unit and antenna system | AAC TECHNOLOGIES PTE. LTD. |
11031704 | Cable with nanoparticle paste | LOCKHEED MARTIN CORPORATION |
11031705 | Electronic device housing incorporating a cable connecting device | PHOENIX CONTACT GMBH & CO. KG |
11031706 | High voltage superconductors for datacenter campus | GOOGLE LLC |
11031707 | Retaining ring terminal | FEW AUTOMOTIVE GLASS APPLICATIONS, INC. |
11031708 | Board edge connector | MOLEX, LLC |
11031709 | Electrical connector for circuit boards and mounting arrangement for electrical connector for circuit boards | HIROSE ELECTRIC CO., LTD. |
11031710 | Electrical connector and wire harness assembly with compression contacts | TE CONNECTIVITY CORPORATION |
11031711 | Electrical connector of charging device | -- |
11031712 | Connector for a printed circuit board equipped with an electrical signal transmission line conducting enclosure | RAYDIALL |
11031713 | Spring probe connector for interfacing a printed circuit board with a backplane | SMITHS INTERCONNECT AMERICAS, INC. |
11031714 | Arrangement and valve | ROBERT BOSCH GMBH |
11031715 | Connection terminal having a reduced size | PHOENIX CONTACT GMBH & CO. KG |
11031716 | Terminal structure for use in power feeding | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11031717 | Dual contact member and electronic device therewith | SAMSUNG ELECTRONICS CO., LTD. |
11031718 | Connector with a housing having a locking lance with a restricting portion to restrict outward deformation of the locking lance | AUTONETWORKS TECHNOLOGIES, LTD. |
11031719 | Power connector | MOLEX, LLC |
11031720 | Connector with terminal fitting | AUTONETWORKS TECHNOLOGIES, LTD. |
11031721 | Interface unit for a plug system having type coding | BAYERISCHE MOTOREN WERKE AKTIENGESELLSCHAFT |
11031722 | Sealing cover, upper cover assembly, and compressor | PANASONIC WAN BAO APPLIANCES COMPRESSOR (GUANGZHOU) CO., LTD. |
11031723 | Cable header | CISCO TECHNOLOGY, INC. |
11031724 | Stacked connector and wire harness | SUMITOMO WIRING SYSTEMS, LTD. |
11031725 | Electrical receptacle connector | -- |
11031726 | Connector assembly having inflow resistant interface | TYCO ELECTRONICS AMP KOREA CO., LTD. |
11031728 | Electrical connector | BLACK & DECKER INC. |
11031729 | Blood pump connectors | TC1 LLC |
11031730 | Floating connector | ODU GMBH & CO. KG |
11031731 | Breakaway connectors for coaxial cables | PPC BROADBAND, INC. |
11031732 | Lever-type connector | YAZAKI CORPORATION |
11031733 | Terminal fitting | AUTONETWORKS TECHNOLOGIES, LTD. |
11031734 | Modular electrical connector with reduced crosstalk | TE CONNECTIVITY SERVICES GMBH |
11031735 | Electrical connector assembly | HIROSE ELECTRIC CO., LTD. |
11031736 | Outer conductor terminal and shield connector | SUMITOMO WIRING SYSTEMS, LTD. |
11031737 | Shielded board-to-board connector | HUAWEI TECHNOLOGIES CO., LTD. |
11031738 | Multiple socket panel device with anti-crosstalk shielding structure | -- |
11031739 | Protective plug for connector | MOLEX, LLC |
11031740 | Coaxial cable electrical connector | MOLEX, LLC |
11031741 | Smart connector assembly | CUMMINS INC. |
11031742 | Contact and busbar assembly forming a bus system on electronics housings | WEIDMüLLER INTERFACE GMBH & CO. KG |
11031743 | Electric connection member | AUTONETWORKS TECHNOLOGIES, LTD. |
11031744 | Belt structures for rotary electrical contact device | NATIONAL TECHNOLOGY & ENGINEERING SOLUTIONS OF SANDIA, LLC |
11031745 | Stimulated X-ray emission source with crystalline resonance cavity | -- |
11031746 | Semiconductor laser module | FURUKAWA ELECTRIC CO., LTD. |
11031747 | Light-emitting device | HAMAMATSU PHOTONICS K.K. |
11031748 | Illumination device, control device, and control method | SONY CORPORATION |
11031749 | Laser control | MICROSOFT TECHNOLOGY LICENSING, LLC |
11031750 | Light source device | NICHIA CORPORATION |
11031751 | Light-emitting device | HAMAMATSU PHOTONICS K.K. |
11031752 | Surface-emitting laser and electronic apparatus | SONY CORPORATION |
11031753 | Extracting the fundamental mode in broad area quantum cascade lasers | THE GOVERNMENT OF THE UNITED STATES OF AMERICA AS REPRESENTED BY THE SECRETARY OF THE AIR FORCE |
11031754 | Motor control center units with retractable stabs and interlocks | EATON INTELLIGENT POWER LIMITED |
11031760 | Optically linked plug-in IoT and Ai for intelligent automation | ELBEX VIDEO LTD. |
11031762 | Circuit assembly | AUTONETWORKS TECHNOLOGIES, LTD. |
11031763 | Nanowire based electrical contact system | BUDDERFLY, INC. |
11031765 | Gas-insulated electric apparatus and manufacturing method of gas-insulated electric apparatus | MITSUBISHI ELECTRIC CORPORATION |
11031766 | Cable accessory with improved thermal conductivity | NEXANS |
11031772 | Protection earth connection detector | HOVE A/S |
11031774 | Superconducting fault current limiter having improved energy handling | VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC. |
11031782 | Photovoltaic transfer switch with non-essential load cutoff | -- |
11031817 | Coil selection in multi-coil wireless charging system | -- |
11031819 | System for wireless power transfer between low and high electrical potential, and a high voltage circuit breaker | ABB POWER GRIDS SWITZERLAND AG |
11031820 | Power transmitting device | PANASONIC CORPORATION |
11031821 | Wireless power transmission device | GE HYBRID TECHNOLOGIES, LLC |
11031824 | Apparatus and method for RF energy harvesting | DRAYSON TECHNOLOGIES (EUROPE) LIMITED |
11031826 | Magnetic flux coupling structures with controlled flux cancellation | AUCKLAND UNISERVICES LIMITED |
11031840 | Drive unit for an electric bicycle | WERNER WIRTH GMBH |
11031843 | Brushless DC motor power tool with combined PCB design | MILWAUKEE ELECTRIC TOOL CORPORATION |
11031857 | Electromechanical generator for converting mechanical vibrational energy into electrical energy | PERPETUUM LTD |
11031881 | Output current synthesizer and power supply apparatus | NETUREN CO., LTD. |
11031904 | Grounding clamps | A.K. STAMPING COMPANY, INC. |
11031905 | Intelligent safety disconnect switching | SOLANTRO SEMICONDUCTOR CORP. |
11031918 | Millimeter wave transmitter design | INTEL CORPORATION |
11031965 | Small antenna apparatus and method for controlling the same | SAMSUNG ELECTRONICS CO., LTD. |
11031966 | Ultrasonic proximity sensing for SAR mitigation | MICROSOFT TECHNOLOGY LICENSING, LLC |
11031987 | Quasi-linear antenna placement in millimeter wave systems | QUALCOMM INCORPORATED |
11031996 | Method of enhancing wireless communication coverage in a communications system, an unmanned aerial vehicle, computer program and computer program products | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11032250 | Protective apparatus and network cabling apparatus for the protected transmission of data | SIEMENS AKTIENGESELLSCHAFT |
11032406 | Smartphone case with separate computer hardware for recording private health-related data | MYMEE INC. |
11032407 | Back cover for mobile devices with adjustable appearance | FURCIFER INC. |
11032819 | Method and apparatus for use with a radio distributed antenna system having a control channel reference signal | AT&T INTELLECTUAL PROPERTY I, L.P. |
11032893 | Hinged remote driver box for light fixture | ABL IP HOLDING LLC |
11032899 | Plasma processing apparatus and plasma processing method | TOKYO ELECTRON LIMITED |
11032901 | Printed circuit board and electronic device having the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11032903 | Support for shaping a flexible portion of a flexible printed circuit board | SAGEMCOM BROADBAND SAS |
11032904 | Interposer substrate and circuit module | MURATA MANUFACTURING CO., LTD. |
11032906 | Control device for unmanned aerial vehicle and unmanned aerial vehicle | SHANGHAI TOPXGUN ROBOTICS CO., LTD. |
11032907 | Manufacturing method for electronic apparatus with case in which printed boards joined to each other are stored | SHINDENGEN ELECTRIC MANUFACTURING CO., LTD. |
11032911 | Embedded component package structure and manufacturing method thereof | -- |
11032917 | Circuit carrier board and manufacturing method thereof | -- |
11032918 | Display device, and method and apparatus for manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11032919 | Control boxes and system-on-module circuit boards for unmanned vehicles | GE AVIATION SYSTEMS LLC |
11032920 | Flexible display device | LG ELECTRONICS INC. |
11032921 | Flexible display device and mobile terminal | EVERDISPLAY OPTRONICS (SHANGHAI) CO., LTD |
11032922 | Cumulative sensor in a foldable device | MOTOROLA MOBILITY LLC |
11032923 | Field serviceable display assembly | MANUFACTURING RESOURCES INTERNATIONAL, INC. |
11032924 | Display device, and method and apparatus for manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11032925 | Flexible display panel and flexible display device | KUNSHAN GO-VISIONOX OPTO-ELECTRONICS CO., LTD |
11032926 | Transmission device used for monitor expansion | JIANGYIN |
11032927 | Latch mechanism and tenon structure thereof | -- |
11032928 | System for releaseably connecting a first device housing with a second device housing and connection arrangement | ROHDE & SCHWARZ GMBH & CO. KG |
11032929 | Electronic device including flexible display | SAMSUNG ELECTRONICS CO., LTD. |
11032930 | Titanium surfaces with improved color consistency and resistance to color change | APPLE INC. |
11032931 | Electrical housing and process for testing the sealed nature of the electrical housing | TYCO ELECTRONICS FRANCE SAS |
11032932 | Mount assembly for expansion card and circuit assembly | INVENTEC (PUDONG) TECHNOLOGY CORPORATION |
11032933 | Storage device | -- |
11032934 | Apparatus, system, and method for enabling multiple storage-system configurations | FACEBOOK, INC. |
11032935 | Support structure for a flexible interconnect of a superconductor | NORTHROP GRUMMAN SYSTEMS CORPORATION |
11032936 | Information processing device and board device | FUJITSU LIMITED |
11032937 | Air ventilation device inside an enclosure intended to house modular electrical units, and enclosure comprising such a device | SCHNEIDER ELECTRIC INDUSTRIES SAS |
11032938 | Temperature control device and control method thereof | INVENTEC (PUDONG) TECHNOLOGY CORPORATION |
11032939 | Liquid submersion cooled electronic systems | LIQUIDCOOL SOLUTIONS, INC. |
11032940 | Cooling plate, cooling device, and electronic apparatus | FUJITSU LIMITED |
11032941 | Modular thermal energy management designs for data center computing | INTEL CORPORATION |
11032942 | Structure for a heat transfer interface and method of manufacturing the same | ALCATEL LUCENT |
11032943 | Heat dissipation apparatus and heat dissipation system using the apparatus | HONGFUJIN PRECISION ELECTRONICS (TIANJIN) CO., LTD. |
11032944 | Crushable heat sink for electronic devices | INTEL CORPORATION |
11032945 | Heat shield assembly for an epitaxy chamber | APPLIED MATERIALS, INC. |
11032946 | Electrical connector cage assembly, electrical connector, and electronic apparatus | -- |
11032947 | Tailored coldplate geometries for forming multiple coefficient of thermal expansion (CTE) zones | RAYTHEON COMPANY |
11032948 | Pre-fabricated mechanical and electrical distribution infrastructure system | AMAZON TECHNOLOGIES, INC. |
11032949 | Method for deploying liquid cooling solution in an air-cooled data center room | BAIDU USA LLC |
11032950 | Equipment cooling system and method | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11032951 | Electronic system comprising an electronic module | INSTITUT VEDECOM |
11032952 | Electronic device including shield can | SAMSUNG ELECTRONICS CO., LTD. |
11032953 | Mutually shielded printed circuit board assembly | MICROSOFT TECHNOLOGY LICENSING, LLC |
11032954 | Shield can | MICROSOFT TECHNOLOGY LICENSING, LLC |
11032955 | Ferrite powder, resin composition, electromagnetic shielding material, electronic circuit substrate, electronic circuit component, and electronic device housing | POWDERTECH CO., LTD. |
11032956 | Component feeder mechanism with floating frame | AUTOMATION TECHNICAL SERVICE INC |
11032957 | Component supply device | FUJI CORPORATION |
11032958 | Mounting work machine | FUJI CORPORATION |
11032959 | Component mounting machine | FUJI CORPORATION |
11032960 | Feeder | FUJI CORPORATION |
11032961 | Component supply device, component supply management system, and component supply working support method | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11033000 | Electric fence connection system | ES ROBBINS CORPORATION |
11033018 | Sensor for a wireless animal trap detection system | BAYER CROPSCIENCE LP |
11033083 | Wristbands with magnetic coupling | APPLE INC. |
11033735 | Pacer wire management devices and methods | -- |
11033811 | Controller and cover member | HORI CO., LTD. |
11033895 | Focused acoustic radiation for the ejection of sub wavelength droplets | LABCYTE INC. |
11033923 | Linear substrate processing compartment | RADCO INFUSION TECHNOLOGIES, LLC |
11033958 | Magnetic material and manufacturing method therefor | NATIONAL INSTITUTE OF ADVANCED INDUSTRIAL SCIENCE AND TECHNOLOGY |
11033976 | Soldering fixture | VIASAT, INC. |
11034125 | Microlayer coextrusion of electrical end products | GUILL TOOL & ENGINEERING CO., INC. |
11034133 | Metal composite wire | JIANGSU GREENSHINE SUPCON TECH CO., LTD. |
11034249 | Interoperability of magnetic structures for inductive power transfer systems | AUCKLAND UNISERVICES LIMITED |
11034319 | Air bag module | KEY SAFETY SYSTEMS, INC. |
11034590 | BaSnO<sub>3 </sub>thin flim and low-temperature preparation method therefor | KOREA RESEARCH INSTITUTE OF CHEMICAL TECHNOLOGY |
11034796 | Poly(arylamine)s and uses thereof | CORNELL UNIVERSITY |
11034811 | Resin composition and molded article produced therefrom | LOTTE ADVANCED MATERIALS CO., LTD. |
11035040 | Showerhead and substrate processing apparatus | SAMSUNG ELECTRONICS CO., LTD. |
11035288 | Prechamber device for combustion engine | INNIO JENBACHER GMBH & CO OG |
11035335 | Laser ignition system | CATERPILLAR INC. |
11035378 | Fan structure and electronic assembly | -- |
11035400 | Induction-softened thermoplastic shims | THE BOEING COMPANY |
11035491 | Fuel pump solenoid having hydraulic damping | CONTINENTAL AUTOMOTIVE SYSTEMS, INC. |
11035498 | Capsule, in-line magnetic valve system and method | ROCHESTER INSTITUTE OF TECHNOLOGY |
11035517 | Compact electronic device with thermal management | GOOGLE LLC |
11035556 | Portable lighting device | MILWAUKEE ELECTRIC TOOL CORPORATION |
11035562 | Custom universal light switch and dimmer | BRAINOFT INC. |
11035563 | Light source device | LITE-ON OPTO TECHNOLOGY (CHANGZHOU) CO., LTD. |
11035575 | Power module and cooking appliance | ELECTROLUX APPLIANCES AKTIEBOLAG |
11035598 | Method and apparatus for cryogenic cooling of HTS devices immersed in liquid cryogen | FABRUM SOLUTIONS LIMITED |
11035621 | Electronics cooling with multi-phase heat exchange and heat spreader | GE AVIATION SYSTEMS LLC |
11035622 | Thermal conditioning assembly | MINCO PRODUCTS, INC. |
11035625 | Adjustable heat sink fin spacing | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11035672 | Sensing of a magnetic target | THE BOEING COMPANY |
11035720 | Warning device for preventing underground cables against accidental excavation | STATE GRID SHANGHAI MUNICIPAL ELECTRIC POWER COMPANY |
11035750 | Leak detection in a fluid compression system | TRANE INTERNATIONAL INC. |
11035766 | Cryogenic transmission electron microscopy sample preparation | NANOSOFT, LLC. |
11035832 | Methods of electrospray ionization of glycans modified with amphipathic, strongly basic moieties | WATERS TECHNOLOGIES CORPORATION |
11035888 | Current sensor | HITACHI METALS, LTD. |
11035895 | Signal acquisition apparatus and a method for controlling the signal acquisition apparatus | VITESCO TECHNOLOGIES GMBH |
11035899 | System for detection of passive voltage contrast | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11035908 | Display device including flexible printed circuit board and for detecting separation of the flexible printed circuit board | SAMSUNG DISPLAY CO., LTD. |
11035925 | Device, system, and method for controlling the focus of a laser to induce plasmas that emit signals with high directivity | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
11035950 | Millimeter-wave detect or reflect array | KEYSIGHT TECHNOLOGIES, INC. |
11035965 | Advanced fissile neutron detection system and method | SILVERSIDE DETECTORS INC. |
11036017 | QSFP-DD (quad small form factor pluggable—double density) modules and methods therefor | CISCO TECHNOLOGY, INC. |
11036022 | Hollow waveguide termination device | AIRBUS DEFENCE AND SPACE GMBH |
11036041 | Photoelectric composite module, camera head, and endoscopic device | SONY OLYMPUS MEDICAL SOLUTIONS INC. |
11036118 | Heat dissipation module and projection apparatus | -- |
11036120 | Projector including phosphor | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11036188 | Wearable device with RF transmitter | TIMEX GROUP USA, INC. |
11036239 | Object identification for autonomous road vehicles | -- |
11036264 | Adjustable clearance for computing devices | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11036291 | Polarization-stabilized beam-shaping illuminator | FACEBOOK TECHNOLOGIES, LLC |
11036665 | Electronic system capable of detecting number of hot plug insertion and extraction cycles | -- |
11036994 | Multi-modal object detection system with 5G array | ACCENTURE GLOBAL SOLUTIONS LIMITED |
11037043 | Tag assembly | MYLAPS B.V. |
11037044 | Smartcard constructions and methods | AMATECH GROUP LIMITED |
11037287 | Method for measuring critical dimension and image-processing apparatus for measuring critical dimension | -- |
11037386 | Passive entry/passive start systems detecting range extender type relay station attacks | DENSO INTERNATIONAL AMERICA, INC. |
11037419 | Surveillance monitoring systems and methods for remotely viewing data and controlling cameras | SENSORMATIC ELECTRONICS, LLC |
11037471 | Display device having stress-relieving members | SAMSUNG DISPLAY CO., LTD. |
11037474 | Magnetic interconnected display panels | VOMELA SPECIALTY CO., INC. |
11037497 | Display device including shielding layers | SAMSUNG DISPLAY CO., LTD. |
11037691 | Electrically conductive material, printing ink and method for manufacturing electrically conductive structure | BOE TECHNOLOGY GROUP CO., LTD. |
11037692 | Articles having silver ion α-oxy carboxylate oxime complexes | EASTMAN KODAK COMPANY |
11037693 | Graphene oxide-metal nanowire transparent conductive film | GLOBAL GRAPHENE GROUP, INC. |
11037694 | Thin and uniform silver nanowires, method of synthesis and transparent conductive films formed from the nanowires | C3 NANO, INC. |
11037695 | Aluminum alloy wire, aluminum alloy strand wire, covered electrical wire, and terminal-equipped electrical wire | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11037696 | Transparent electrodes and electronic devices including the same | SAMSUNG ELECTRONICS CO., LTD. |
11037697 | Silicone rubber with ATH filler | ABB POWER GRIDS SWITZERLAND AG |
11037699 | Power cable | LS CABLE & SYSTEM LTD. |
11037700 | LCDI power cord system and method | TOWER MANUFACTURING CORPORATION |
11037701 | Transmission line substrate and electronic device | MURATA MANUFACTURING CO., LTD. |
11037702 | High frequency cable comprising a center conductor having a first wire stranded by plural second wires that provide corners free of gaps | HITACHI METALS, LTD. |
11037703 | Shielding tape with multiple foil layers | PCT INTERNATIONAL, INC. |
11037704 | Communication cable | TOSHIBA TEC KABUSHIKI KAISHA |
11037705 | Clocking angle setting tool for a wire harness | ROLLS-ROYCE PLC |
11037706 | Apparatus and method for manufacturing assembly having multiple separated conductors embedded within a substrate | APTIV TECHNOLOGIES LIMITED |
11037707 | LCDI power cord system and method | TOWER MANUFACTURING CORPORATION |
11037708 | PPTC device having resistive component | LITTELFUSE, INC. |
11037709 | Varistor and manufacturing method thereof | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11037710 | Varistor passivation layer and method of making the same | AVX CORPORATION |
11037711 | Soft magnetic alloy powder, method for producing same, and dust core using soft magnetic alloy powder | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11037713 | Helical superconducting undulator for 3rd and 4th generation of synchrotron light source and FELs | UCHICAGO ARGONNE, LLC |
11037714 | Movement apparatus with decoupled position controllers | ROBERT BOSCH GMBH |
11037715 | Magnetic sensor including a plurality of magnetic detection elements and a plurality of magnetic field generators | TDK CORPORATION |
11037716 | Inductor and method of manufacturing the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11037717 | Integrated magnetic device with variable inductance and method for making such a device | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11037718 | Coil component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11037719 | Coil component | MURATA MANUFACTURING CO., LTD. |
11037720 | Coil component | MURATA MANUFACTURING CO., LTD. |
11037721 | Power inductor and method of manufacturing the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11037722 | Coil component and method of manufacturing the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11037723 | Transformer | -- |
11037724 | Method for producing R-T-B sintered magnet | HITACHI METALS, LTD. |
11037725 | Manufacturing method for inductor with ferromagnetic cores | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11037726 | Method for manufacturing common-mode choke coil | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11037727 | Multilayer electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11037728 | Dielectric and capacitor and electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11037729 | Ceramic electronic component and method for manufacturing ceramic electronic component | MURATA MANUFACTURING CO., LTD. |
11037730 | Electronic component having metal frames with insulating layers thereon | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11037731 | Multi-layer ceramic electronic component and mounting board | TAIYO YUDEN CO., LTD. |
11037732 | Multilayered capacitor | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11037733 | Multilayer ceramic capacitor having dummy pattern | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11037734 | Mounting structure for capacitor and resistor, input unit, and measuring apparatus | HIOKI E.E. CORPORATION |
11037735 | Voltage-controllable capacitive device, a method for manufacturing such a device and a method for operating such a device, and a device of a system for inductive power transfer | BOMBARDIER PRIMOVE GMBH |
11037736 | Lithium ion capacitor | NATIONAL INSTITUTE FOR MATERIALS SCIENCE |
11037737 | Energy storage technology with extreme high energy density capability | UCHICAGO ARGONNE, LLC |
11037738 | Hybrid supercapacitor containing a niobium composite metal oxide as an anode active material | NANOTEK INSTRUMENTS GROUP, LLC |
11037739 | Electrical switch | ABB SCHWEIZ AG |
11037740 | Switch lockout device | MASTER LOCK COMPANY LLC |
11037741 | Switch and method of manufacturing switch | ALPS ALPINE CO., LTD. |
11037742 | Keyboard device | -- |
11037743 | Electronic device | DYNABOOK INC. |
11037744 | Switch device | ALPS ALPINE CO., LTD. |
11037745 | Contactor device for high current switching applications | MICROELETTRICA SCIENTIFICA S.P.A. |
11037746 | Single bottle interrupter | HUBBELL INCORPORATED |
11037747 | Device for the fail-safe disconnection of a consumer | PILZ GMBH & CO. KG |
11037748 | Integrated connector having sense and switching conductors for a relay used in a battery module | CPS TECHNOLOGY HOLDINGS LLC |
11037749 | Selective coordination of solid-state circuit breakers and mechanical circuit breakers in electrical distribution systems | ATOM POWER, INC. |
11037750 | High current fuse block | YAZAKI NORTH AMERICA, INC. |
11037751 | X-ray tube | CANON ELECTRON TUBES & DEVICES CO., LTD. |
11037752 | Spiral groove bearing assembly with minimized deflection | GENERAL ELECTRIC COMPANY |
11037753 | Magnetically microfocused electron emission source | KLA CORPORATION |
11037754 | Scan and corrector magnet designs for high throughput scanned beam ion implanter | AXCELIS TECHNOLOGIES, INC. |
11037755 | Observation method, specimen support, and transmission electron microscope | JEOL LTD. |
11037756 | Precision substrate material multi-processing using miniature-column charged particle beam arrays | -- |
11037757 | Charged particle beam writing apparatus and charged particle beam writing method | NUFLARE TECHNOLOGY, INC. |
11037758 | In-situ plasma cleaning of process chamber components | VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC. |
11037759 | Multi charged particle beam writing apparatus and multi charged particle beam writing method | NUFLARE TECHNOLOGY, INC. |
11037760 | Temperature controller, temperature measurer, and plasma processing apparatus including the same | SAMSUNG ELECTRONICS CO., LTD. |
11037761 | Control method and plasma processing apparatus | TOKYO ELECTRON LIMITED |
11037762 | Plasma processing apparatus | TOKYO ELECTRON LIMITED |
11037763 | Member and plasma processing apparatus | TOKYO ELECTRON LIMITED |
11037764 | Modular microwave source with local Lorentz force | APPLIED MATERIALS, INC. |
11037765 | Resonant structure for electron cyclotron resonant (ECR) plasma ionization | TOKYO ELECTRON LIMITED |
11037766 | Substrate support apparatus and plasma processing apparatus having the same | SAMSUNG ELECTRONICS CO., LTD. |
11037767 | Substrate support, substrate processing apparatus, substrate processing system, and method of detecting erosion of adhesive in substrate support | TOKYO ELECTRON LIMITED |
11037768 | Methods and apparatus for controlling ion fraction in physical vapor deposition processes | APPLIED MATERIALS, INC. |
11037769 | Physical vapor deposition processing systems target cooling | APPLIED MATERIALS, INC. |
11037770 | Differential coating of high aspect ratio objects through methods of reduced flow and dosing variations | PHOTONIS SCIENTIFIC, INC. |
11037771 | Systems and methods using a gas mixture to select ions | PERKINELMER HEALTH SCIENCES CANADA, INC. |
11037772 | Methods for analyzing a tissue sample | PURDUE RESEARCH FOUNDATION |
11037773 | Turbo molecular pump for mass spectrometer | -- |
11037774 | Physically guided rapid evaporative ionisation mass spectrometry (“REIMS”) | MICROMASS UK LIMITED |
11037775 | Ion guide | MICROMASS UK LIMITED |
11037776 | Apparatuses, systems, and methods for ion traps | HONEYWELL INTERNATIONAL INC. |
11037777 | Systems and methods for separating ions at about or above atmospheric pressure | PURDUE RESEARCH FOUNDATION |
11037778 | UV lamp | MOCON, INC. |
11037806 | Plasma processing method, plasma processing apparatus and method of manufacturing semiconductor device using the apparatus | SAMSUNG ELECTRONICS CO., LTD. |
11037811 | Electrostatic chuck and semiconductor/liquid crystal manufacturing equipment | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
11037815 | Dechuck control method and plasma processing apparatus | TOKYO ELECTRON LIMITED |
11037839 | Integrated structure and manufacturing method thereof | ROCKLEY PHOTONICS LIMITED |
11037846 | Semiconductor package structure and method of manufacturing the same | -- |
11037859 | Power conversion apparatus | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11037860 | Multi layer thermal interface material | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11037880 | Semiconductor package and antenna module including the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11037891 | Device package | -- |
11037892 | Substrate dielectric waveguides in semiconductor packages | INTEL CORPORATION |
11037893 | Selectively shielded radio frequency module with linearized low noise amplifier | SKYWORKS SOLUTIONS, INC. |
11037896 | Method and apparatus for forming backside die planar devices and saw filter | INTEL CORPORATION |
11037973 | Optical surface-scattering elements and metasurfaces | ELWHA LLC |
11038097 | Magnetic structures with tapered edges | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11038132 | Optoelectronic devices with organometal perovskites with mixed anions | OXFORD UNIVERSITY INNOVATION LIMITED |
11038145 | Laminated film and process for manufacturing the same, as well as method for analyzing laminated film | SUMITOMO CHEMICAL COMPANY, LIMITED |
11038173 | Lithium ion secondary battery | ASAHI KASEI KABUSHIKI KAISHA |
11038179 | Flexible energy storage devices | -- |
11038187 | Proton conductor and fuel cell | DENSO CORPORATION |
11038198 | Solid electrolyte and method for producing solid electrolyte | IDEMITSU KOSAN CO., LTD. |
11038201 | Additive for nonaqueous electrolyte solutions, nonaqueous electrolyte solution, and electricity storage device | SUMITOMO SEIKA CHEMICALS CO., LTD. |
11038207 | Power storage device and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11038210 | Dipole antenna via flexible circuitry | FORD GLOBAL TECHNOLOGIES, LLC |
11038211 | Battery pack | CONTEMPORARY AMPEREX TECHNOLOGY CO., LIMITED |
11038245 | Battery wiring module | SUMITOMO WIRING SYSTEMS, LTD. |
11038248 | Apparatus forming a strip line and dielectric part | NOKIA SHANGHAI BELL CO., LTD. |
11038249 | Harmonic hybrid electronic load pull tuner | -- |
11038250 | Directional coupler assembly | MINIRF, INC. |
11038251 | Resonator | SOSHIN ELECTRIC CO., LTD. |
11038252 | Deployable loop antenna | THE GOVERNMENT OT THE UNITED STATES OF AMERICA AS REPRESENTED BY THE SECRETARY OF THE AIR FORCE |
11038253 | Satellite antenna azimuth adjustment assembly | -- |
11038254 | Mobile device | -- |
11038255 | Mobile terminal | LG ELECTRONICS INC. |
11038256 | Antenna structure and wireless communication device using same | -- |
11038257 | Antenna structure and communications terminal | HUAWEI TECHNOLOGIES CO., LTD. |
11038258 | Mobile device and antenna structure | -- |
11038259 | Mobile terminal, antenna control method and storage medium | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11038260 | Tunable capacitors to control antenna radiation pattern | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11038261 | End plate assemblies for base station antennas, methods for manufacturing the same and related base station antennas | COMMSCOPE TECHNOLOGIES LLC |
11038262 | Multi-band energy harvesting system | WILIOT, LTD. |
11038263 | Printed cavities for computational microwave imaging and methods of use | DUKE UNIVERSITY |
11038264 | Display assembly including antenna and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11038265 | Semiconductor-based beamforming antenna | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
11038266 | Shielded radio frequency component with integrated antenna | SKYWORKS SOLUTIONS, INC. |
11038267 | Apparatus and methods for electromagnetic shielding using an outer cobalt layer | SKYWORKS SOLUTIONS, INC. |
11038268 | Signal generator for a phased array antenna | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11038269 | Electronically steerable holographic antenna with reconfigurable radiators for wideband frequency tuning | HRL LABORATORIES, LLC |
11038270 | Active antenna steering for network security | ETHERTRONICS, INC. |
11038271 | Communication device | -- |
11038272 | Configurable antenna array with diverse polarizations | HUAWEI TECHNOLOGIES CO., LTD. |
11038273 | Electronically scanning antenna assembly | THE BOEING COMPANY |
11038274 | Antenna apparatus and antenna module | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11038275 | Bicone antenna with logarithmically extending conical surfaces | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
11038276 | Substrate-integrated waveguide slot antenna with metasurface | AJOU UNIVERSITY INDUSTRY-ACADEMIC COOPERATION FOUNDATION |
11038277 | High impedance surface (HIS) enhanced by discrete passives | THE BOEING COMPANY |
11038278 | Lens apparatus and methods for an antenna | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
11038279 | Antenna module | SAMSUNG ELECTRONICS CO., LTD. |
11038280 | Radio frequency system | HUAWEI TECHNOLOGIES CO., LTD. |
11038281 | Low profile antenna apparatus | VIASAT, INC. |
11038282 | Energy absorbing circuit | COMMSCOPE TECHNOLOGIES LLC |
11038283 | Reconfigurable aperture-coupled patch antenna | THE BOEING COMPANY |
11038285 | Multi-beam active phased array architecture with independent polarization control | VIASAT, INC. |
11038286 | Antenna array | GALTRONICS USA, INC. |
11038287 | Connector and cable harness | JAPAN AVIATION ELECTRONICS INDUSTRY, LIMITED |
11038288 | Electrical splice connector | APTIV TECHNOLOGIES LIMITED |
11038289 | Electrical connector | APTIV TECHNOLOGIES LIMITED |
11038290 | Connecting terminal and coaxial connector | TYCO ELECTRONICS (SHANGHAI) CO. LTD. |
11038291 | Insulating cover | TOSHIBA MITSUBISHI-ELECTRIC INDUSTRIAL SYSTEMS CORPORATION |
11038292 | Press-in pin for an electrical contacting assembly | ROBERT BOSCH GMBH |
11038293 | Power bar package mount arrangement | INTEL CORPORATION |
11038294 | Display device | LG ELECTRONICS INC. |
11038295 | Display device | LG ELECTRONICS INC. |
11038296 | Electric connector with rotatably mounted cover member | -- |
11038297 | Combination of power outlet and plug-in member for plugging electrical fixtures in an electrical box | -- |
11038298 | Electrical pop out device | THE WIREMOLD COMPANY |
11038299 | Optimized shipping of IP telephony devices | VCE IP HOLDING COMPANY LLC |
11038300 | Extendable electrical outlet enclosure | -- |
11038301 | Connector assembly with a plurality of circuit boards | TYCO ELECTRONICS JAPAN G.K. |
11038302 | Pluggable cable channel | -- |
11038303 | Cable cover | YAZAKI CORPORATION |
11038304 | Holding frame for a plug connector and methods of populating same | HARTING ELECTRIC GMBH & CO. KG |
11038305 | Electrical cord connector for securing two electrical cords connected to one another | -- |
11038306 | Power plug retention device | MICROSOFT TECHNOLOGY LICENSING, LLC |
11038307 | Cable power rating identification for power distribution over communications cabling | CISCO TECHNOLOGY, INC. |
11038308 | Shielding sheet and connector housing with the shielding sheet | TYCO ELECTRONICS (SHANGHAI) CO. LTD. |
11038309 | Modular electrical receptacle assembly | GROUP DEKKO, INC. |
11038310 | Reversible dual-position electric connector | -- |
11038311 | Multi-functional charger | SHENZHEN YONGMINSHANGDE TECHNOLOGY CO., LTD. |
11038312 | Method for connecting an aluminum electrical wire with an aluminum tube | STRUNK CONNECT AUTOMATED SOLUTIONS GMBH & CO. KG |
11038313 | Orthogonal cross-connecting of printed circuit boards without a midplane board | JUNIPER NETWORKS, INC. |
11038314 | Electronic device assembling apparatus | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11038315 | Electrical connector tool | THE BOEING COMPANY |
11038316 | Optical pulse source apparatus with nonlinear fibre and operable to reduce the optical pulse frequency of optical output pulses | NKT PHOTONICS A/S |
11038317 | Semiconductor device and method of manufacturing the semiconductor device | NICHIA CORPORATION |
11038318 | Quantum impedance matching for carrier injection in tunable transistor-injected quantum cascade lasers | THE BOARD OF TRUSTEES OF THE UNIVERSITY OF ILLINOIS |
11038319 | Semiconductor laser source | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11038320 | Semiconductor layer structure with a thick buffer layer | LUMENTUM OPERATIONS LLC |
11038321 | Single mode VCSELs with low threshold and high speed operation | THE BOARD OF TRUSTEES OF THE UNIVERSITY OF ILLINOIS |
11038327 | Inverter box structure | -- |
11038331 | Pop-up power system | TITAN3 TECHNOLOGY LLC |
11038333 | Cable feedthrough | HARTING ELECTRIC GMBH & CO. KG |
11038334 | Aircraft wing composite ribs having electrical grounding paths | THE BOEING COMPANY |
11038338 | Micro-loss combined mechanical DC circuit breaker and its control method | TIANJIN UNIVERSITY |
11038339 | Anomaly diagnosis device and anomaly diagnosis method for oil-hydraulic operating mechanism | MITSUBISHI ELECTRIC CORPORATION |
11038344 | Shunt power rail with short line effect | QUALCOMM INCORPORATED |
11038347 | Overvoltage protection for power systems | TECHHOLD, LLC |
11038362 | Self-contained power signal generation system for electricity meter testing | TECHNOLOGY FOR ENERGY CORPORATION |
11038365 | Composite magnetic sheet and wireless charging module comprising same | SCRAMOGE TECHNOLOGY LIMITED |
11038376 | Wireless power transmitter, wireless power transmission system and method for driving a wireless power transmission system | TDK ELECTRONICS AG |
11038380 | Split-ring resonator with integrated magnetic tunnel junction for highly sensitive and efficient energy harvesting | NATIONAL UNIVERSITY OF SINGAPORE |
11038436 | Inverter system | LSIS CO., LTD. |
11038473 | Phase shifters for gallium nitride amplifiers and related methods | MACOM TECHNOLOGY SOLUTIONS HOLDINGS, INC. |
11038474 | Phased array amplifier linearization | ANALOG DEVICES GLOBAL UNLIMITED COMPANY |
11038477 | High gain resonant amplifier for resistive output impedance | AIRILY TECHNOLOGIES, LLC |
11038483 | Multiple-output radiofrequency matching module and associated methods | LAM RESEARCH CORPORATION |
11038488 | Multiplexer | MURATA MANUFACTURING CO., LTD. |
11038507 | Switch assembly and control method thereof | -- |
11038548 | Wireless communication apparatus, wireless communication system, and communication method | CANON KABUSHIKI KAISHA |
11038555 | Systems and methods for enabling NFC communications with a wearable biosensor | VERILY LIFE SCIENCES LLC |
11038556 | Near-field communications device | NXP B.V. |
11038580 | Method for determining polarization information and related device thereof | HUAWEI TECHNOLOGIES CO., LTD. |
11038594 | Self-insulating high bandwidth connector | NORTHROP GRUMMAN SYSTEMS CORPORATION |
11038601 | Apparatus and method for calibrating analog phased antenna array | SAMSUNG ELECTRONICS CO., LTD. |
11038603 | Antenna detection through noise measurement | NEPTUNE TECHNOLOGY GROUP INC. |
11039224 | Telecommunication appliance having high density embedded pluggable optics | INFINERA CORPORATION |
11039258 | Cochlear hearing device with cable antenna | OTICON A/S |
11039401 | Electronic device and method for adjusting electrical length of radiating portion | SAMSUNG ELECTRONICS CO., LTD. |
11039517 | Fraction PWM with multiple phase display clock | SCT LTD. |
11039521 | Proximity sensor with a sliced integration time sensing mechanism and sensing method thereof | -- |
11039525 | Cartridge-type X-ray source apparatus and X-ray emission apparatus using same | VATECH CO., LTD. |
11039527 | Air leak detection in plasma processing apparatus with separation grid | MATTSON TECHNOLOGY, INC. |
11039529 | Cover plates that attenuate electrostatic discharge at printheads | RICOH COMPANY, LTD. |
11039530 | Communication device | TARO06 LLC |
11039531 | System and method for in-molded electronic unit using stretchable substrates to create deep drawn cavities and features | FLEX LTD. |
11039538 | Communication system including antennas on flexible circuit board | WHOBORN, INC. |
11039539 | Manufacturing method for flexible printed circuit board | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11039542 | Display device | -- |
11039543 | Vertical mounting rail with cable management features | CHATSWORTH PRODUCTS, INC. |
11039544 | Systems and methods for coupling sections of an electronic device | APPLE INC. |
11039545 | Pull-out aiding device and chassis-wall module with pull-out aiding function | -- |
11039546 | Pull-out aiding device and chassis-wall module with pull-out aiding function | -- |
11039547 | Server | INVENTEC (PUDONG) TECHNOLOGY CORPORATION |
11039548 | Flexible air baffle supporting different configurations with optimized air flow | DELL PRODUCTS L.P. |
11039549 | Heat transferring module | -- |
11039550 | Heat sink with turbulent structures | GOOGLE LLC |
11039551 | Data center that regulates supply air to multiple compartments | DELL PRODUCTS L.P. |
11039552 | Multifunction coolant manifold structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11039553 | Controlled bypass temperature based pressure and airflow control | AMAZON TECHNOLOGIES, INC. |
11039554 | Electronic apparatus with a temperature sensor | -- |
11039555 | Modulating AHU vs RAM air cooling, based on vehicular velocity | DELL PRODUCTS L.P. |
11039556 | Data center cooling system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11039557 | Mounting apparatus and mounting method | FUJI CORPORATION |
11039558 | Component mounting line production management system and production management method | FUJI CORPORATION |
11039559 | Information processing apparatus, mounting apparatus, information processing method, and component gripper | FUJI CORPORATION |
11039560 | Working machine, storing-target-body supply device, and method for manufacturing workpiece having storing-target body installed thereon | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11039561 | Component mounting system and adhesive inspection device | CKD CORPORATION |
11039680 | Pull-out carrying handle | -- |
11039809 | System and method for calibration of an X-ray tube | GE PRECISION HEALTHCARE LLC |
11040121 | UV sterilization of container, room, space or defined environment | BLUEMORPH, LLC |
11040190 | Electrodes, electrode systems, and methods of manufacture | DJO, LLC |
11040191 | Method of forming a medical device comprising graphene | CENTRE NATIONAL DE LA RECHERCHE SCIENTIFIQUE |
11040193 | Implantable nerve electrode and method for producing an implantable nerve electrode | CORTEC GMBH |
11040210 | All metal enclosed implantable medical device with external BLE antenna for RF telemetry | PACESETTER, INC. |
11040354 | Personal rechargeable portable ionic air purifier | HEADWATERS INC |
11040437 | Apparatus and methods for connector torque sleeve | CABLE TELEVISION LABORATORIES, INC. |
11040518 | Laminated body and method of producing shaped article | JX NIPPON MINING & METALS CORPORATION |
11040623 | Manual service disconnect for battery system | SAMSUNG SDI CO., LTD. |
11040624 | Cooling strategy for battery systems | CPS TECHNOLOGY HOLDINGS LLC |
11040631 | Electronic device and method for transmitting and receiving wireless power | SAMSUNG ELECTRONICS CO., LTD. |
11040633 | Battery pack for vehicle | SAMSUNG SDI CO., LTD. |
11040676 | Power distribution apparatus of vehicle and method for controlling the same | HYUNDAI MOTOR COMPANY |
11040677 | Electrical connector | SZ DJI TECHNOLOGY CO., LTD. |
11040763 | Frame assembly for unmanned aerial vehicle (UAV), and UAV having the same | SZ DJI TECHNOLOGY CO., LTD. |
11040873 | Highly stretchable three-dimensional percolated conductive nano-network structure, method of manufacturing the same, strain sensor including the same and wearable device including the same | KOREA ADVANCED INSTITUTE OF SCIENCE AND TECHNOLOGY |
11040997 | Process for preparing nucleoside prodrugs | NUCANA PLC |
11041078 | Photosensitive compositions containing silver ion a-oxy carboxylate-oxime complexes | EASTMAN KODAK COMPANY |
11041087 | Coatings | P2I LTD |
11041088 | Ink blocking layer for display device and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11041091 | Cable and medical hollow tube | HITACHI METALS, LTD. |
11041098 | Anisotropic conductive film and fabricating method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11041229 | Silver-coated alloy powder, electrically conductive paste, electronic part, and electric device | DOWA ELECTRONICS MATERIALS CO., LTD. |
11041241 | Plasma processing apparatus and temperature control method | TOKYO ELECTRON LIMITED |
11041244 | Nano-coating protection method for electrical connectors | JIANGSU FAVORED NANOTECHNOLOGY CO., LTD. |
11041379 | Methods and means for casing, perforation and sand-screen evaluation using backscattered x-ray radiation in a wellbore environment | VISURAY INTECH LTD (BVI) |
11041602 | Optical system and light source device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11041682 | Thermal switch | ENVERTIC THERMAL SYSTEMS, LLC |
11041710 | Wavelength tunable laser device using frequency shifter | GLOUCESTER |
11041713 | Nanostructured optical element, depth sensor, and electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11041788 | Cryotransfer system | HENNYZ B.V. |
11041821 | Electronic device and method for sensing moisture in an electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11041885 | Electrical measurement or inspection apparatus, plug connection for a measurement or inspection apparatus and method for setting an electrical measurement or inspection apparatus | -- |
11041889 | Method for estimating load current of power supply, and USB-type converter | SHENZHEN LEGENDARY TECHNOLOGY CO., LTD |
11041891 | Liquid crystal device, method for measuring residual DC voltage in liquid crystal device, method for driving liquid crystal device, and method for manufacturing liquid crystal device | SHARP KABUSHIKI KAISHA |
11041897 | Capacitive structure and method for determining an amount of charge using the capacitive structure | ROBERT BOSCH GMBH |
11041919 | System for determining shape of flexible display device | SHARP KABUSHIKI KAISHA |
11041920 | MR coil arrangement with flexible coil separation layer | SIEMENS HEALTHCARE GMBH |
11041936 | Autonomously reconfigurable surface for adaptive antenna nulling | HRL LABORATORIES, LLC |
11041949 | System, device and methods for localization and orientation of a radio frequency antenna array | VAYYAR IMAGING LTD |
11041958 | Sensing assembly for autonomous driving | SZ DJI TECHNOLOGY CO., LTD. |
11041996 | Wave conductor, waveguide connector, and communications link | TE CONNECTIVITY GERMANY GMBH |
11042017 | Point-spread-function measurement device and measurement method, image acquisition apparatus, and image acquisition method | OLYMPUS CORPORATION |
11042027 | Non thermal plasma surface cleaner and method of use | KING ABDULLAH UNIVERSITY OF SCIENCE AND TECHNOLOGY |
11042140 | Adaptive control for a power generator | MKS INSTRUMENTS, INC. |
11042183 | Electronic display with mount-accessible components | MANUFACTURING RESOURCES INTERNATIONAL, INC. |
11042189 | Docking cradle for a handheld computing device having multiple mounting orientations | PANASONIC AVIONICS CORPORATION |
11042200 | Liquid soluble gas sealed cooling system | GOOGLE LLC |
11042201 | Head-mounted display device | -- |
11042202 | Server rack with dynamic power management by using baseboard management controllers | -- |
11042211 | Serially connected computing nodes in a distributed computing system | -- |
11042224 | Latency and preemptive detection for an input device | LOGITECH EUROPE S.A. |
11042243 | Meta projector and electronic apparatus including the same | SAMSUNG ELECTRONICS CO., LTD. |
11042456 | Kinetically activated diagnostics and correction | AT&T INTELLECTUAL PROPERTY I, L.P. |
11043092 | Surveillance monitoring systems and methods for remotely viewing data and controlling cameras | SENSORMATIC ELECTRONICS, LLC |
11043247 | Memory card | SAMSUNG ELECTRONICS CO., LTD. |
11043314 | Conductive sheet, method for manufacturing the same, carbon composite paste, carbon composite filler, conductive resin material and conductive rubber material | UNIVERSITY PUBLIC CORPORATION OSAKA |
11043315 | Fire resistant signalling cable for railway applications | PRYSMIAN S.P.A. |
11043316 | Method of making a mineral-insulated, compacted, bendable cable | ARI INDUSTRIES, INC. |
11043317 | Conbined tubular metal oxide varistor and gas discharge tube | DONGGUAN LITTELFUSE ELECTRONICS COMPANY LIMITED |
11043318 | Multi-layer magnetic nanoparticles for magnetic recording | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11043319 | Separation of manganese bismuth powders | FORD GLOBAL TECHNOLOGIES, LLC |
11043320 | Dust core | TDK CORPORATION |
11043322 | Magnetic valve and method for manufacturing a magnetic valve | RAPA AUTOMOTIVE GMBH & CO. KG |
11043323 | Variable inductor | MURATA MANUFACTURING CO., LTD. |
11043324 | Method for manufacturing a magnetic core of an electric vehicle motor | -- |
11043325 | Common-mode choke coil and method of manufacturing common-mode choke coil | MURATA MANUFACTURING CO., LTD. |
11043326 | Power transformer and circuit board module | LITE-ON ELECTRONICS (GUANGZHOU) LIMITED |
11043327 | Inductor component | MURATA MANUFACTURING CO., LTD. |
11043328 | Coil component | SUMIDA CORPORATION |
11043329 | Coil component | TDK CORPORATION |
11043330 | Electrical component | SIEMENS AKTIENGESELLSCHAFT |
11043331 | Power receiving device and power feeding system | SONY CORPORATION |
11043332 | Multilayer ceramic capacitor | TAIYO YUDEN CO., LTD. |
11043333 | Composite electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11043334 | Micropatterned anode and cathode surface for adhesion and reliability | KEMET ELECTRONICS CORPORATION |
11043335 | Multilayer carbon nanotube film-containing devices | ALLIANCE FOR SUSTAINABLE ENERGY, LLC |
11043336 | Actinic and electron beam radiation curable water based electrode binders and electrodes incorporating same | MILTEC CORPORATION |
11043337 | Meter including a supercapacitor | AVX CORPORATION |
11043338 | Manufacturing method of porous composite electrode and organic removal method of porous composite electrode | KOREA RESEARCH INSTITUTE OF CHEMICAL TECHNOLOGY |
11043339 | Electrical switch | ABB SCHWEIZ AG |
11043340 | Inspection station | KONE CORPORATION |
11043341 | Keyboard baseplates including a concave structure for housing a logic substrate | LENOVO (SINGAPORE) PTE. LTD. |
11043342 | Change-over switch | ABB SCHWEIZ AG |
11043343 | Assembly and method for damping contact bounce in high-voltage circuit breakers | SIEMENS AKTIENGESELLSCHAFT |
11043344 | Arc flash reduction maintenance system with pyrotechnic circuit protection modules | EATON INTELLIGENT POWER LIMITED |
11043345 | Load driving device and load driving method | FANUC CORPORATION |
11043346 | Electromagnetic relay | DENSO ELECTRONICS CORPORATION |
11043347 | Electromagnetic relay | FUJITSU COMPONENT LIMITED |
11043348 | Temperature actuated switch | UCHIYA THERMOSTAT CO., LTD. |
11043349 | Electrochemical solid-state field-emission ion source | HRL LABORATORIES, LLC |
11043350 | Photocathode with nanowires and method of manufacturing such a photocathode | PHOTONIS FRANCE |
11043351 | X-ray source and method for manufacturing an X-ray source | KONINKLIJKE PHILIPS N.V. |
11043352 | Aligned grain structure targets, systems, and methods of forming | VAREX IMAGING CORPORATION |
11043353 | Energy filter and charged particle beam apparatus | JEOL LTD. |
11043354 | Apparatus of plural charged-particle beams | ASML NETHERLANDS B.V. |
11043355 | Vacuum cooling apparatus and ion milling apparatus | JEOL LTD. |
11043356 | Local alignment point calibration method in die inspection | ASML NETHERLANDS B.V. |
11043357 | Supply unit and a method for driving an electrode of a charged particle beam column | APPLIED MATERIALS ISRAEL LTD. |
11043358 | Measuring apparatus and method of setting observation condition | HITACHI HIGH-TECH CORPORATION |
11043359 | Charged particle beam apparatus and charged particle beam inspection system | HITACHI HIGH-TECH CORPORATION |
11043360 | Gas distribution plate assembly for high power plasma etch processes | APPLIED MATERIALS, INC. |
11043361 | Symmetric VHF source for a plasma reactor | APPLIED MATERIALS, INC. |
11043362 | Plasma processing apparatuses including multiple electron sources | TOKYO ELECTRON LIMITED |
11043363 | Plasma processing method and plasma processing apparatus | TOKYO ELECTRON LIMITED |
11043364 | Process kit for multi-cathode processing chamber | APPLIED MATERIALS, INC. |
11043365 | Interchangeable magnet pack | SEAGATE TECHNOLOGY LLC |
11043366 | Method and apparatus for the analysis of molecules using mass spectrometry and optical spectroscopy | THERMO FISHER SCIENTIFIC (BREMEN) GMBH |
11043367 | Valve | SHIMADZU CORPORATION |
11043368 | Method for ionizing gaseous samples by means of a dielectric barrier discharge and for subsequently analyzing the produced sample ions in an analysis appliance | LEIBNIZ-INSTITUT FüR ANALYTISCHE WISSENSCHAFTEN-ISAS-E.V. |
11043369 | Sample analyzer and sample analysis method | TOSHIBA MEMORY CORPORATION |
11043370 | Device and system for selective ionization and analyte detection and method of using the same | BATTELLE MEMORIAL INSTITUTE |
11043371 | Mass spectrometer | SHIMADZU CORPORATION |
11043372 | High-density low temperature carbon films for hardmask and other patterning applications | APPLIED MATERIALS, INC. |
11043375 | Plasma deposition of carbon hardmask | APPLIED MATERIALS, INC. |
11043387 | Methods and apparatus for processing a substrate | APPLIED MATERIALS, INC. |
11043388 | Integrated circuit fabrication system with adjustable gas injector and method utilizing the same | -- |
11043391 | Etching method and etching processing apparatus | TOKYO ELECTRON LIMITED |
11043393 | Ozone treatment for selective silicon nitride etch over silicon | MATTSON TECHNOLOGY, INC. |
11043400 | Movable and removable process kit | APPLIED MATERIALS, INC. |
11043401 | Ceramic member | NGK SPARK PLUG CO., LTD. |
11043432 | Radio-frequency switching devices having improved voltage handling capability | SKYWORKS SOLUTIONS, INC. |
11043440 | Semiconductor package | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11043442 | Heat sink, heat dissipation apparatus, heat dissipation system, and communications device | HUAWEI TECHNOLOGIES CO., LTD. |
11043443 | Electric device and heat radiator | TDK CORPORATION |
11043444 | Two-dimensional addessable array of piezoelectric MEMS-based active cooling devices | FRORE SYSTEMS INC. |
11043451 | Electrical fuse and/or resistor structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11043461 | Semiconductor device having an electromagnetic wave absorbing thermal conductive sheet between a semiconductor element and a cooling member | DEXERIALS CORPORATION |
11043525 | Transmission circuit and electronic device | CANON KABUSHIKI KAISHA |
11043530 | Light-emitting component having light-absorbing layer, light-emitting device, and image forming apparatus | FUJIFILM BUSINESS INNOVATION CORP. |
11043531 | Semiconductor structure and manufacturing method of the same | -- |
11043533 | Switch and method for fabricating the same, and resistive memory cell and electronic device, including the same | SK HYNIX INC. |
11043552 | Dielectric film layer structure and fabricating method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11043592 | Antiferromagnet field-effect based logic circuits including spin orbital coupling channels with opposing preferred current paths and related structures | GEORGIA TECH RESEARCH CORPORATION |
11043605 | Thick-film pastes containing lead- and tellurium-oxides, and their use in the manufacture of semiconductor devices | E I DU PONT DE NEMOURS AND COMPANY |
11043626 | Multilayer substrate | MURATA MANUFACTURING CO., LTD. |
11043631 | Perpendicular magnetoresistive elements | -- |
11043671 | Bio-mineralized cathode and anode materials for electrochemical cell | C4V LLC |
11043720 | Mesh busbar and electrical coupling method using same | FORD GLOBAL TECHNOLOGIES, LLC |
11043724 | Filtering device | HUAWEI TECHNOLOGIES CO., LTD. |
11043725 | Reactive power combiners and dividers including nested coaxial conductors | -- |
11043726 | Radio frequency interconnection device | INTERDIGITAL MADISON PATENT HOLDINGS, SAS |
11043727 | Substrate integrated waveguide monopulse and antenna system | RAYTHEON COMPANY |
11043728 | Flexible fabric antenna system comprising conductive polymers and method of making same | UNIVERSITY OF CONNECTICUT |
11043729 | Flexible antenna for a wireless radiation dosimeter | BEST MEDICAL CANADA LTD. |
11043730 | Fan-out package structure with integrated antenna | -- |
11043731 | Package structure | -- |
11043732 | Antenna structure | -- |
11043733 | Terminal housing and terminal | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11043734 | Mobile terminal | LG ELECTRONICS INC. |
11043736 | Dynamic interference reduction for antenna beam tracking systems | ISOTROPIC SYSTEMS, LTD. |
11043737 | Antenna system for vehicles | ADVANCED AUTOMOTIVE ANTENNAS, S.L.U. |
11043738 | Dual-polarized radiating element, antenna, base station, and communications system | HUAWEI TECHNOLOGIES CO., LTD. |
11043739 | Collinear antenna structure with independent accesses | TDF |
11043740 | Enhanced antenna module with shield layer | QUALCOMM INCORPORATED |
11043741 | Antenna array system for producing dual polarization signals | THE BOEING COMPANY |
11043742 | Phased array mobile channel sounding system | AT&T INTELLECTUAL PROPERTY I, L.P. |
11043743 | High performance lens antenna systems | INTEL CORPORATION |
11043744 | Antenna oscillator and planar antenna | SHENZHEN ANTOP TECHNOLOGY CO., LTD. |
11043745 | Resistively loaded dielectric biconical antennas for non-invasive treatment | OLD DOMINION UNIVERSITY RESEARCH FOUNDATION |
11043746 | Subterranean antenna including antenna element and coaxial line therein and related methods | HARRIS CORPORATION |
11043747 | Antenna with integrated balun | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
11043748 | Slot mode antennas | SUUNTO OY |
11043749 | Antenna structure | -- |
11043750 | Antenna | JAPAN AEROSPACE EXPLORATION AGENCY |
11043751 | NFC antenna device in a metallic environment | STMICROELECTRONICS AUSTRIA GMBH |
11043752 | Low profile telecommunications antenna | JOHN MEZZALINGUA ASSOCIATES, LLC |
11043753 | Method for separately biasing power amplifier for additional power control | INTEGRATED DEVICE TECHNOLOGY, INC. |
11043754 | Method and apparatus for multi-feed multi-band MIMO antenna system | AIRTIES KABLOSUZ ILETISIM SANAYI VE DIS TICARET A.S. |
11043755 | Antenna array | GALTRONICS USA, INC. |
11043756 | Structure for radio frequency applications | SOITEC |
11043757 | Electrical connector with filler surrounding a wall that surrounds a contact | KYOCERA CORPORATION |
11043758 | Bushing adapter and bushing with superior mechanical characteristics | TYCO ELECTRONICS KAYCNEM GMBH |
11043759 | Spring terminal | WAGO VERWALTUNGSGESELLSCHAFT MBH |
11043760 | Push-on coaxial connector | PERFECTVISION MANUFACTURING, INC. |
11043761 | Connector | SUMITOMO WIRING SYSTEMS, LTD. |
11043762 | LED light strip with terminal block connector | GJAK, LLC |
11043763 | Electrical connector and electrical connector assembly for connection to a circuit board with zero insertion force | -- |
11043764 | Flat-conductor connector | IRISO ELECTRONICS CO., LTD. |
11043765 | Multipolar connector | MURATA MANUFACTURING CO., LTD. |
11043766 | Electrical male terminal, and methods for connecting thereof | J.S.T. CORPORATION |
11043767 | Method of forming an electrical terminal and an electrical terminal assembly | APTIV TECHNOLOGIES LIMITED |
11043768 | Power adapter configured to provide power to a load and method of implementing a power adapter | SMART POWER PARTNERS LLC |
11043769 | Combined inner housing connector for vehicle | YAZAKI CORPORATION |
11043770 | Cable release device | JDR CABLE SYSTEMS LTD. |
11043771 | Electrical connector | SUMITOMO WIRING SYSTEMS, LTD. |
11043772 | Connector with cable cover | YAZAKI CORPORATION |
11043773 | Electrical connector | DELTA PLUS CO., LTD. |
11043774 | Connector having surge prevention function and circuit board including same | LG CHEM, LTD. |
11043775 | Cable shield contacting device and electric plug connector | PHOENIX CONTACT GMBH & CO. KG |
11043776 | Safety mechanism for electrical outlets | -- |
11043777 | Hard-to-deform card tray and electronic device | SHARP KABUSHIKI KAISHA |
11043778 | Vape cartridge cell phone power adapter | -- |
11043780 | Double density small form-factor plugable connector | MOLEX, LLC |
11043781 | Coaxial connector having a breakaway compression ring and torque member | PPC BROADBAND, INC. |
11043782 | Adjustable coaxial cable compression tool | -- |
11043783 | Optical arrangement | FRAUNHOER-GESELLSCHAFT ZUR FöRDERUNG DER ANGEWANDTEN FORSCHUNG E.V. |
11043784 | Laser apparatus and EUV light generation system | GIGAPHOTON INC. |
11043785 | Nanoparticle doping for lasers and amplifiers operating at eye-safer wavelengths, and/or exhibiting reduced Stimulated Brillouin Scattering | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
11043786 | Multicore fiber amplifier with high power efficiency | NEC CORPORATION |
11043787 | Widely tunable infrared source system and method | TERADIODE, INC. |
11043788 | Dark cavity laser | WENZHOU COLLABORATIVE INNOVATION CENTER OF LASER AND OPTOELECTRONICS |
11043789 | Light emitting device | NICHIA CORPORATION |
11043790 | Light emitting device and method of manufacturing light emitting device | SHARP KABUSHIKI KAISHA |
11043791 | Edge emitting semiconductor laser and method of operating such a semiconductor laser | OSRAM OLED GMBH |
11043792 | Method for GaN vertical microcavity surface emitting laser (VCSEL) | YALE UNIVERSITY |
11043793 | Bridge joint cover assembly | EATON INTELLIGENT POWER LIMITED |
11043794 | Housing and wire harness | YAZAKI CORPORATION |
11043795 | Irrigation control wiring system | TURFCIRCUITS, LLC |
11043797 | Cable fitting for HVDC cables | MERCK PATENT GMBH |
11043799 | Dual mode phase-to-phase surge protective devices | HUBBELL INCORPORATED |
11043801 | Hybrid vehicle with electrical power outlet | FORD GLOBAL TECHNOLOGIES, LLC |
11043804 | Over-current protection device | -- |
11043832 | Inductively coupled wireless charger | FLEX LTD. |
11043841 | Coil arrangement | APPLE INC. |
11043855 | Power transmission communication unit | YAZAKI CORPORATION |
11043858 | High efficiency power generation system and a method of operating same | A&I POWER GROUP INC. |
11043873 | Connection unit for a wiper motor and wiper motor | VALEO SYSTèMES D'ESSUYAGE |
11043877 | Slip ring unit with cooling fan insulating segment | FIENDER GMBH |
11043881 | Component-mounting device and electronic apparatus | KYB CORPORATION |
11043883 | Method for the production of a stack of laminations | KIENLE + SPIESS GMBH |
11043887 | Vibration generating device and electronic device | MINEBEA MITSUMI INC. |
11043900 | DC-DC converter, power supply system comprising DC-DC converter and method for controlling a DC-DC converter | ELTEK AS |
11043917 | Optoelectronic oscillator | INSTITUTE OF SEMICONDUCTORS, CHINESE ACADEMY OF SCIENCES |
11043944 | System and method employing low distortion and low loss switch with anti-series diodes | LOCKHEED MARTIN CORPORATION |
11043977 | Electronic device and method for determining reception path of communication signal by electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11043978 | Bidirectional coupler | MURATA MANUFACTURING CO., LTD. |
11043988 | Systems for providing wireless power to deep implanted devices | VERILY LIFE SCIENCES LLP |
11043992 | Antenna apparatus and method of driving the same | HYUNDAI MOTOR COMPANY |
11044022 | Back-to-back isolation circuit | ANALOG DEVICES GLOBAL UNLIMITED COMPANY |
11044023 | Parametric array system | MITSUBISHI ELECTRIC CORPORATION |
11044024 | Communication system with partial power source | PROTEUS DIGITAL HEALTH, INC. |
11044025 | Characterizing antenna patterns | FACEBOOK, INC. |
11044027 | Wireless transmission performance test system and method thereof | -- |
11044109 | Utilizing a data cable infrastructure to provide power | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11044113 | MoCA connectivity splitter and hub | COMMSCOPE, INC. OF NORTH CAROLINA |
11044141 | High density, high availability compute system | -- |
11044165 | Compact uninterruptable power supply | VAPOR IO INC. |
11044353 | Device for supporting a smartphone having a video camera or for supporting a video camera | -- |
11044355 | Protective bag and dispenser to make mobile devices more hygienic | MOBILE GUARD RX, LLC |
11044804 | Connector assembly and display device having the same | SAMSUNG DISPLAY CO., LTD. |
11044809 | Flexible circuit board, display panel, and display module | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11044812 | Component carrier with adhesion promoting shape of wiring structure | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
11044814 | Method of assembly | UNIVERSAL INSTRUMENTS CORPORATION |
11044820 | Display device | LG DISPLAY CO., LTD. |
11044821 | Closure latch assembly having an enclosure assembly for electronic controller to protect electronic components | MAGNA CLOSURES, INC. |
11044822 | Electronic device with flexible display structures | APPLE INC. |
11044823 | Positioning pins for foldable printed circuit board | CONTINENTAL AUTOMOTIVE SYSTEMS, INC. |
11044824 | Connection structure and display apparatus | HKC CORPORATION LIMITED |
11044825 | Foldable display device | -- |
11044826 | Cover-securing means for a transmitter housing | ENDRESS+HAUSER SE+CO. KG |
11044827 | Adapters for rack-mounted computing equipment | VAPOR IO INC. |
11044828 | Projector | -- |
11044829 | Heat dissipation architecture | -- |
11044830 | Loop heat pipe and electronic device | FUJITSU LIMITED |
11044831 | Electrical enclosure arrangement comprising an electrical enclosure line and a cooling device connected into the line | RITTAL GMBH & CO. KG |
11044832 | Water-cooled distributive heat dissipation system for rack | -- |
11044833 | Water-cooled pressurized distributive heat dissipation system for rack | -- |
11044834 | Inverted liquid cooling system | GOOGLE LLC |
11044835 | Cooling electronic devices in a data center | GOOGLE LLC |
11044836 | Refrigerant distribution device, cooling system, and refrigerant distribution method in refrigerant distribution | NEC CORPORATION |
11044837 | Skylight operating system of modular data center | VERTIV TECH CO., LTD. |
11044838 | Railway equipment inspecting and measuring apparatus, and railway equipment inspecting and measuring method | HITACHI HIGH-TECH FINE SYSTEMS CORPORATION |
11044839 | Electric power inverter | MAHLE INTERNATIONAL GMBH |
11044840 | Display device | LG ELECTRONICS INC. |
11044841 | Feeder system, pick and place machine, and method | UNIVERSAL INSTRUMENTS CORPORATION |
11044941 | Heater assembly for cigarette-shaped electronic cigarette and cigarette-shaped electronic cigarette including same | AMOSENSE CO., LTD. |
11044943 | Electronic vaporizer | JUPITER RESEARCH, LLC |
11045069 | Waveguide, image transmission apparatus including waveguide, endoscope including waveguide, and endoscope system | OLYMPUS CORPORATION |
11045080 | Ingestible device with propulsion capabilities | ENDIATX |
11045162 | Hybrid imaging apparatus and methods for interactive procedures | CARESTREAM HEALTH, INC. |
11045427 | Hollow nanoparticles with hybrid double layers | THE ADMINISTRATORS OF THE TULANE EDUCATIONAL FUND |
11045573 | System for treating a fluid with non-mercury-based UV light | LIGHTLAB SWEDEN AB |
11045658 | Receive coil configurations for implantable medical device | MEDTRONIC, INC. |
11045783 | Systems and methods for increasing reaction yield | PURDUE RESEARCH FOUNDATION |
11045897 | Method and apparatus to form a workpiece employing vibration welding | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
11045899 | Phased array steering for laser beam positioning systems | ELECTRO SCIENTIFIC INDUSTRIES, INC. |
11045902 | Linear groove formation method and linear groove formation device | JFE STEEL CORPORATION |
11045913 | Modular system for automated portable electronic device disassembly | APPLE INC. |
11045998 | Producing power bushing condenser core by additive manufacturing | ABB POWER GRIDS SWITZERLAND AG |
11046190 | Pressing member | DENSO CORPORATION |
11046195 | Apparatus for transmitting energy and information by means of a charging cable for an electric vehicle | DR. ING. H.C. F. PORSCHE AKTIENGESELLSCHAFT |
11046196 | Charge port covering assembly and method | FORD GLOBAL TECHNOLOGIES, LLC |
11046198 | Method and system for magnetically latching a charging port to an electric vehicle | -- |
11046260 | Power-supplying device and assembly method of power-supplying device | YAZAKI CORPORATION |
11046338 | Electrical train coupling | VOITH PATENT GMBH |
11046356 | Electric power steering device | MITSUBISHI ELECTRIC CORPORATION |
11046797 | Binder composition for electrochemical device electrode, slurry composition for electrochemical device electrode, electrochemical device electrode, and electrochemical device | ZEON CORPORATION |
11046800 | Resin component disposed in route of beam emitted by radar device, radome, and radar device | TECHNO-UMG CO., LTD. |
11046851 | Electrical cable having crosslinked insulation with internal pulling lubricant | SOUTHWIRE COMPANY, LLC |
11046950 | Magnetic particles with a closed ultrathin silica layer, method for the production thereof and their use | SIEMENS HEALTHCARE DIAGNOSTICS INC. |
11046991 | Rapid preconcentration of viable bacteria using magnetic ionic liquid for PCR amplification and culture-based diagnostics | IOWA STATE UNIVERSITY RESEARCH FOUNDATION, INC. |
11047018 | Steel strip for producing a non-grain-oriented electrical steel, and method for producing such a steel strip | SALZGITTER FLACHSTAHL GMBH |
11047038 | Metallization for a thin-film component, process for the production thereof and sputtering target | PLANSEE SE |
11047292 | Ignition device and internal combustion engine | RICOH COMPANY, LTD. |
11047407 | Quick release connecting device | -- |
11047558 | In-line adapters for light fixtures | SIGNIFY HOLDING B.V. |
11047592 | Wire fastener for indoor unit of air-conditioning apparatus | MITSUBISHI ELECTRIC CORPORATION |
11047627 | Cooling device | NEC CORPORATION |
11047628 | Electronic device having heat collection/diffusion structure | SAMSUNG ELECTRONICS CO., LTD. |
11047725 | Radar level gauge system with dielectric antenna | ROSEMOUNT TANK RADAR AB |
11047800 | Method of evaluating carbon concentration of silicon sample, method of evaluating silicon wafer manufacturing process, method of manufacturing silicon wafer, method of manufacturing silicon single crystal ingot, silicon single crystal ingot and silicon wafer | SUMCO CORPORATION |
11047822 | Sensor device | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
11047827 | Sample support body | HAMAMATSU PHOTONICS K.K. |
11047828 | Method for controlling the mass filter in a hybrid IMS/MS system | -- |
11047863 | Methods for de novo protein sequencing | REGENERON PHARMACEUTICALS, INC. |
11047869 | Mass spectral tissue analysis | PURDUE RESEARCH FOUNDATION |
11047878 | Electrical connector | GITECH INC. |
11047893 | Antenna for electromagnetic interference detection and portable electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11047921 | Protective wiring device | PASS & SEYMOUR, INC. |
11047925 | Split ground connector | SONY INTERACTIVE ENTERTAINMENT INC. |
11047951 | Surface mount assembled waveguide transition | WAYMO LLC |
11047959 | Apparatus and method for generating multiple-wavelength distributed continuous wave and pulse optical transmission signal | OPTILAB, LLC |
11048057 | Cassette adapter and method of installation | PANDUIT CORP. |
11048096 | Light source device | NICHIA CORPORATION |
11048119 | Keyboard device and operation method thereof | LITE-ON ELECTRONICS (GUANGZHOU) LIMITED |
11048144 | Laser source apparatus and method for generating temporal dissipative cavity solitons | CSEM CENTRE SUISSE D'ELECTRONIQUE ET DE MICROTECHNIQUE SA—RECHERCHE ET DÉVELOPPEMENT |
11048145 | Lifetime extending and performance improvements of optical fibers via loading | NKT PHOTONICS A/S |
11048162 | Method and apparatus for neutral beam processing based on gas cluster ion beam technology | EXOGENESIS CORPORATION |
11048185 | Image forming apparatus which detects abnormality in connection of wiring that transmits image data | CANON KABUSHIKI KAISHA |
11048304 | Electronic device comprising accessory | SAMSUNG ELECTRONICS CO., LTD. |
11048309 | Heat dissipation module | -- |
11048336 | Luminous keyboard | -- |
11048337 | Low-profile keyboard | MICROSOFT TECHNOLOGY LICENSING, LLC |
11048344 | Combining electropermanent magnets and magnetorheological fluid to control an operation of an input device | LOGITECH EUROPE S.A. |
11048889 | Key entry device | VERIFONE, INC. |
11048992 | Management of large number of RFID tags in cryogenic container | VIKING GENETICS FMBA |
11049629 | Non-halogen flame-retardant insulated electric wire and non-halogen flame-retardant cable | HITACHI METALS, LTD. |
11049630 | Multicore cable | HITACHI METALS, LTD. |
11049631 | Power cable | LS CABLE & SYSTEM LTD. |
11049632 | LCDI power cord system and method | TOWER MANUFACTURING CORPORATION |
11049633 | Central column of toroidal field coil | TOKAMAK ENERGY LTD |
11049634 | Electromagnetic actuator and connection/disconnection apparatus | JTEKT CORPORATION |
11049635 | Solenoid | NACHI-FUJIKOSHI CORP. |
11049636 | Torque motor with double fix screws | HAMILTON SUNDSTRAND CORPORATION |
11049637 | Pole piece for a torque motor | HAMILTON SUNDSTRAND CORPORATION |
11049638 | Inductor having high current coil with low direct current resistance | VISHAY DALE ELECTRONICS, LLC |
11049639 | Coupled coils with lower far field radiation and higher noise immunity | ANALOG DEVICES, INC. |
11049640 | Circuit device and power converter | MITSUBISHI ELECTRIC CORPORATION |
11049641 | Coil device | TDK CORPORATION |
11049642 | Dual magnetic component with three core portions | UNIVERSAL LIGHTING TECHNOLOGIES, INC. |
11049643 | Combined U-core magnetic structure | UNIVERSAL LIGHTING TECHNOLOGIES, INC. |
11049644 | Method for producing a coil assembly, coil assembly, stator, and multi-dimensional drive | ROBERT BOSCH GMBH |
11049645 | Transformer with air guiding plates | ABB POWER GRIDS SWITZERLAND AG |
11049646 | Noise filter and noise reduction unit | YAZAKI CORPORATION |
11049647 | Molded tap changer assemblies and methods for dry-type transformers | SIEMENS ENERGY GLOBAL GMBH & CO. KG |
11049648 | Coil structure of wireless power transmitter | LG ELECTRONICS INC. |
11049649 | Magnetic transformer having increased bandwidth for high speed data communications | BEL FUSE (MACAO COMMERCIAL OFFSHORE) LIMITED |
11049650 | Capacitor component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11049651 | Electronic component and method for manufacturing same | MURATA MANUFACTURING CO., LTD. |
11049652 | Multi-layer ceramic capacitor and method of producing the same | TAIYO YUDEN CO., LTD. |
11049653 | Multi-layer ceramic electronic component | TAIYO YUDEN CO., LTD. |
11049654 | Multilayer ceramic capacitor and method of manufacturing the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11049655 | Resin-molded capacitor and power conversion device | MITSUBISHI ELECTRIC CORPORATION |
11049656 | Multilayer ceramic capacitor and method of manufacturing the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11049657 | Multilayer ceramic electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11049658 | Storage capacitor for use in an antenna aperture | KYMETA CORPORATION |
11049659 | Multilayer ceramic electronic component and method for manufacturing the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11049660 | Multi-layer ceramic electronic component and method of producing the same | TAIYO YUDEN CO., LTD. |
11049661 | Multilayer electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11049662 | Electrolytic capacitor | TDK ELECTRONICS AG |
11049663 | Electrolytic capacitor | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11049664 | Solid electrolytic capacitor containing a vapor-deposited barrier film | AVX CORPORATION |
11049665 | Capacitor explosion-proof device, circuit board and electronic device | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11049666 | Fabrication of platinum counter electrodes for bifacial dye-sensitized solar cells | KING FAHD UNIVERSITY OF PETROLEUM AND MINERALS |
11049667 | Heat energy-powered electrochemical cells | HYDRO-QUEBEC |
11049668 | Electrolyte for electrochemical capacitor | SOUTH 8 TECHNOLOGIES, INC. |
11049669 | Systems and methods for a disconnect switch assembly having a reversible fuse support block | ROCKWELL AUTOMATION TECHNOLOGIES, INC. |
11049670 | Mechatronic circuit-breaker device | GENERAL ELECTRIC TECHNOLOGY GMBH |
11049671 | Power distribution switch for a power distribution system | GE AVIATION SYSTEMS LIMITED |
11049672 | Touch control device | LITE-ON ELECTRONICS (GUANGZHOU) LIMITED |
11049673 | Key switch | -- |
11049674 | Push button with haptic feedback | MICROSOFT TECHNOLOGY LICENSING, LLC |
11049675 | Adjustable force tactile switch | MICROSOFT TECHNOLOGY LICENSING, LLC |
11049676 | Center turn and twist mechanism of a switchgear | ABB POWER GRIDS SWITZERLAND AG |
11049677 | Inverse current injection-type direct current blocking device and method using vacuum gap switch | KOREA ELECTRO TECHNOLOGY RESEARCH INSTITUTE |
11049678 | Magnetic float switch | METROPOLITAN INDUSTRIES, INC. |
11049679 | Contactor | ZHEJIANG CHINT ELECTRICS CO., LTD. |
11049680 | Low profile integrated fuse module | LITTELFUSE, INC. |
11049681 | Protection device with u-shaped fuse element | LITTELFUSE, INC. |
11049682 | Electric-power conversion apparatus | MITSUBISHI ELECTRIC CORPORATION |
11049683 | High-voltage direct-current thermal fuse | XIAMEN SET ELECTRONICS CO., LTD |
11049684 | Fuse pad, printed circuit board having the fuse pad, and method of the printed circuit board | MANDO CORPORATION |
11049685 | Circuit protector arc flash reduction system with parallel connected semiconducor switch | EATON INTELLIGENT POWER LIMITED |
11049686 | Particle beam system and method for the particle-optical examination of an object | CARL ZEISS MULTISEM GMBH |
11049687 | Stage apparatus and charged particle beam apparatus | HITACHI HIGH-TECH CORPORATION |
11049688 | Charged particle beam irradiation apparatus | NUFLARE TECHNOLOGY, INC. |
11049689 | Systems and methods for charged particle beam modulation | ASML NETHERLANDS B.V. |
11049690 | Depth-controllable ion milling | SELA—SOLUTIONS ENABLING NANO ANALYSIS LTD. |
11049691 | Ion beam quality control using a movable mass resolving device | VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC. |
11049692 | Methods for tuning plasma potential using variable mode plasma chamber | MATTSON TECHNOLOGY, INC. |
11049693 | Systems and methods for achieving peak ion energy enhancement with a low angular spread | LAM RESEARCH CORPORATION |
11049694 | Modular microwave source with embedded ground surface | APPLIED MATERIALS, INC. |
11049695 | Metal contact landing structure | MICROMATERIALS LLC |
11049696 | Dogbone inlet cone profile for remote plasma oxidation chamber | APPLIED MATERIALS, INC. |
11049697 | Single beam plasma source | BOARD OF TRUSTEES OF MICHIGAN STATE UNIVERSITY |
11049698 | Dual-channel showerhead with improved profile | APPLIED MATERIALS, INC. |
11049699 | Gas box for CVD chamber | APPLIED MATERIALS, INC. |
11049700 | Atmospheric plasma processing systems and methods for manufacture of microelectronic workpieces | TOKYO ELECTRON LIMITED |
11049701 | Biased cover ring for a substrate processing system | APPLIED MATERIALS, INC. |
11049702 | Rate enhanced pulsed DC sputtering system | ADVANCED ENERGY INDUSTRIES, INC. |
11049703 | Methods of evaluating performance of an atmospheric pressure ionization system | PHARMACADENCE ANALYTICAL SERVICES, LLC |
11049704 | Cleanliness monitor and a method for monitoring a cleanliness of a vacuum chamber | APPLIED MATERIALS ISRAEL LTD. |
11049705 | Method of operating a secondary-electron multiplier in the ion detector of a mass spectrometer | -- |
11049706 | Mass spectrometer and nozzle member | HITACHI HIGH-TECH CORPORATION |
11049707 | Apparatus and method for thermal assisted desorption ionization systems | IONSENSE, INC. |
11049709 | Ion trap mass spectrometers with space charge control | MICROMASS UK LIMITED |
11049710 | Device and method for generating, storing and transmitting positive and negative ions | -- |
11049711 | Ion source for mass spectrometer | SHIMADZU CORPORATION |
11049712 | Fields for multi-reflecting TOF MS | MICROMASS UK LIMITED |
11049713 | Deterministic reshaping and/or reordering of groups of atomic objects within an atomic object confinement apparatus | HONEYWELL INTERNATIONAL INC. |
11049719 | Epitaxy system integrated with high selectivity oxide removal and high temperature contaminant removal | APPLIED MATERIALS, INC. |
11049726 | Methods and systems for advanced ion control for etching processes | LAM RESEARCH CORPORATION |
11049730 | Workpiece processing method | TOKYO ELECTRON LIMITED |
11049737 | Apparatus and method for treating substrate | SEMES CO. LTD. |
11049741 | Systems for integrated decomposition and scanning of a semiconducting wafer | ELEMENTAL SCIENTIFIC, INC. |
11049743 | Substrate processing apparatus, flow rate control method, and storage medium storing flow rate control program | TOKYO ELECTRON LMITED |
11049754 | Method for controlling semiconductor process | SAMSUNG ELECTRONICS CO., LTD. |
11049755 | Semiconductor substrate supports with embedded RF shield | APPLIED MATERIALS, INC. |
11049760 | Universal process kit | APPLIED MATERIALS, INC. |
11049761 | Shutter disk for physical vapor deposition chamber | APPLIED MATERIALS, INC. |
11049823 | Integrated circuit package apparatus deployed with antenna and method for manufacturing integrated circuit package apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
11049824 | Antenna apparatus with integrated antenna array and low loss multi-layer interposer | VIASAT, INC. |
11049856 | Semiconductor device | NUVOTON TECHNOLOGY CORPORATION JAPAN |
11049887 | Layer stack for display applications | APPLIED MATERIALS, INC. |
11049900 | Monolithically integrated nanoemitter light source assembly | ANALOG DEVICES, INC. |
11049924 | Display device and manufacturing method of display device | SAMSUNG DISPLAY CO., LTD. |
11049976 | Thin-film transistor, oxide semiconductor film, and sputtering target | ULVAC, INC. |
11049983 | Conductive paste and solar cell | NAMICS CORPORATION |
11050005 | Phosphor and light emitting device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11050009 | Methods for annealing qubits with an antenna chip | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11050015 | Storage device and method for manufacturing storage device | TOSHIBA MEMORY CORPORATION |
11050085 | Electrolyte for electrochemical energy storage devices | LYNNTECH, INC. |
11050102 | Power storage device and insulating holder | SANYO ELECTRIC CO., LTD. |
11050119 | Nanoporous separators for batteries and related manufacturing methods | OPTODOT CORPORATION |
11050120 | Energy storage device | GS YUASA INTERNATIONAL LTD. |
11050125 | Battery module and apparatus including battery module | CONTEMPORARY AMPEREX TECHNOLOGY CO., LIMITED |
11050126 | Battery including electrode tab having flat surface | SAMSUNG ELECTRONICS CO., LTD. |
11050130 | Dielectric waveguide | FUJIKURA LTD. |
11050131 | Antenna mounting base and antenna | HARXON CORPORATION |
11050132 | Chip-type antenna improved structure | -- |
11050133 | Polarization techniques for suppression of harmonic coupling and associated systems, devices, and methods | HUMATICS CORPORATION |
11050134 | Radio-frequency localization techniques and associated systems, devices, and methods | HUMATICS CORPORATION |
11050135 | Antenna-in-package with better antenna performance | -- |
11050136 | Electronic device comprising antenna | SAMSUNG ELECTRONICS CO., LTD. |
11050137 | Electronic device | JVCKENWOOD CORPORATION |
11050138 | Combo sub 6GHz and mmWave antenna system | FUTUREWEI TECHNOLOGIES, INC. |
11050140 | Wireless communication system including polarization-agile phased-array antenna | SAMSUNG ELECTRONICS CO., LTD. |
11050141 | Vertically polarized MIMO antenna and terminal having same | XI'AN ZHONGXING NEW SOFTWARE CO. LTD. |
11050142 | Coupled antenna structure | SUUNTO OY |
11050143 | Integrated vehicle antenna | SAMSUNG ELECTRONICS CO., LTD. |
11050144 | Assembly with at least one antenna and a thermal insulation component | W. L. GORE & ASSOCIATES, INC. |
11050145 | Method for producing a radome and corresponding radome | HELLA GMBH & CO. KGAA |
11050146 | Wideband antenna balun | NORBIT ITS |
11050147 | Ceramic SMT chip antennas for UWB operation, methods of operation and kits therefor | TAOGLAS GROUP HOLDINGS LIMITED |
11050148 | Antenna structure | -- |
11050149 | Dual-band antenna | -- |
11050150 | Antenna apparatus and antenna module | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11050151 | Multi-band antenna | CITY UNIVERSITY OF HONG KONG |
11050152 | AESA compound curred dome phased array antenna | AVX CORPORATION |
11050153 | Encapsulating low-k dielectric blocks along with dies in an encapsulant to form antennas | -- |
11050154 | Chip antenna | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11050155 | Microelectronic devices designed with mold patterning to create package-level components for high frequency communication systems | INTEL CORPORATION |
11050156 | Method for improving the efficiency of an electrically small antenna | UNIVERSITÉ GUSTAVE EIFFEL |
11050157 | Antenna lens array for tracking multiple devices | MATSING, INC. |
11050158 | Dielectric lens | MURATA MANUFACTURING CO., LTD. |
11050160 | Planar-shaped antenna devices, antenna arrays, and fabrication | UNIVERSITY OF MASSACHUSETTS |
11050161 | Antenna feeding network comprising coaxial lines with inner conductors connected by snap-on fingers and a multi-radiator antenna formed therefrom | CELLMAX TECHNOLOGIES AB |
11050162 | Method and apparatus for object detection with integrated environmental information | -- |
11050163 | Array antenna | HUBER+SUHNER AG |
11050165 | Module comprising antenna and RF element, and base station including same | SAMSUNG ELECTRONICS CO., LTD. |
11050166 | AESA radial geometry phased array antenna | AVX CORPORATION |
11050167 | Antenna array and operation method of antenna array | SAMSUNG ELECTRONICS CO., LTD. |
11050168 | Crimping terminal with wire hook to loop wire | LEAR CORPORATION |
11050169 | Wiring terminal and corresponding electrical component | SCHNEIDER ELECTRIC (AUSTRALIA) PTY LTD |
11050170 | Pipe conductor and conductive path | SUMITOMO WIRING SYSTEMS, LTD. |
11050171 | Terminal block structure | -- |
11050172 | Insertable stubless interconnect | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11050173 | Arrangement for lowering resistance on power delievery region of electrical connector | FOXCONN (KUNSHAN) COMPUTER CONNECTOR CO., LTD. |
11050174 | Electric connector assembly | HIROSE ELECTRIC CO., LTD. |
11050175 | Conductive connector | ACACIA COMMUNICATIONS, INC. |
11050176 | Electrical connector with therein embedded grounding bar secured by conductive adhesive and method of making the same | FUDING PRECISION COMPONENTS (SHENZHEN) CO., LTD. |
11050177 | Contact assembly | TE CONNECTIVITY GERMANY GMBH |
11050178 | Contact pin and electric component socket | ENPLAS CORPORATION |
11050179 | Electrical connector having a contact formed of first and second contact parts coupled together | FOXCONN (KUNSHAN) COMPUTER CONNECTOR CO., LTD. |
11050180 | Connector terminal capable of suppressing reduction in connectivity and connector including the same | OMRON CORPORATION |
11050181 | Electric terminal connector assembly with a terminal lock | LEAR CORPORATION |
11050182 | Connector with a locking retainer | YAZAKI CORPORATION |
11050183 | Electrical device | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11050184 | Connector interface and mobile terminal | HUAWEI TECHNOLOGIES CO., LTD. |
11050185 | Connector | YAZAKI CORPORATION |
11050186 | Connection structure, forming method of connection structure and cable of connection structure | JAPAN AVIATION ELECTRONICS INDUSTRY, LIMITED |
11050187 | Electrical connection system | GULPLUG |
11050188 | Plug connector assembly | FOXCONN (KUNSHAN) COMPUTER CONNECTOR CO., LTD. |
11050189 | Accessory connector for a radio | MOTOROLA SOLUTIONS, INC. |
11050190 | Electrical connectors with linear springs and related methods | BAL SEAL ENGINEERING, LLC |
11050191 | Electrical connector with a movable detector | SUMITOMO WIRING SYSTEMS, LTD. |
11050192 | Electric connector with connector position assurance | LEAR CORPORATION |
11050193 | Angled plug connector with shielding | EPT HOLDING GMBH & CO. KG |
11050194 | Connector | JAPAN AVIATION ELECTRONICS INDUSTRY, LIMITED |
11050195 | Control device with shielding | ROBERT BOSCH GMBH |
11050196 | Power cable connector, electrical system and method for assembling power cable connector | ABB SCHWEIZ AG |
11050197 | Reversible connector orientation detection in an electromagnetic tracking system | ALKEN INC. |
11050198 | Socket connector | -- |
11050199 | AC adapter with tethered removable plug | BBY SOLUTIONS, INC. |
11050200 | Electrical connector with hermaphroditic terminal and housing | FCI USA LLC |
11050201 | Replaceable socket device | -- |
11050202 | Foldable 2-pin AC power plug/extension cord | BBY SOLUTIONS, INC. |
11050203 | Adapter system for connecting electrical sources to loads | RELIANCE CONTROLS CORPORATION |
11050204 | Camera control unit (CCU) communications breakout box | THE UNIVERSITY OF NORTH CAROLINA AT CHAPEL HILL |
11050205 | Brush wear and vibration monitoring | CUTSFORTH, INC. |
11050206 | Preformed solder-in-pin system | ONANON, INC. |
11050207 | Crimping apparatus and system for crimping a flexible printed circuit | -- |
11050208 | Pre-screening, compliant pin guiding and quality monitoring press-fit apparatus | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11050209 | Apparatus and method for optical isolation | SPI LASERS UK LIMITED |
11050210 | Capacitor cooling structure and laser apparatus | GIGAPHOTON INC. |
11050211 | Pulsed laser device, processing device, and method of controlling pulsed laser device | FURUKAWA ELECTRIC CO., LTD. |
11050212 | Laser machining device and laser oscillator | KEYENCE CORPORATION |
11050213 | Online calibration for repetition rate dependent performance variables | CYMER, LLC |
11050214 | Narrow-linewidth microcavity brillouin laser with suppressed temperature fluctuations | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11050215 | Variable wavelength laser device and variable wavelength laser device production method | MITSUBISHI ELECTRIC CORPORATION |
11050216 | Pointing devices, apparatus, systems and methods for high shock environments | -- |
11050217 | Light-emitting device, optical apparatus, optical measurement apparatus, and image forming apparatus | FUJIFILM BUSINESS INNOVATION CORP. |
11050218 | Method to tune emission wavelength of laser apparatus | SUMITOMO ELECTRIC DEVICE INNOVATIONS, INC. |
11050219 | Laser device and method for its operation | THE UNIVERSITY COURT OF THE UNIVERSITY OF GLASGOW |
11050220 | Dual quantum cascade laser micropackage | PRANALYTICA, INC. |
11050221 | Spark plug with anti-loosening feature | NGK SPARK PLUG CO., LTD. |
11050222 | Concurrent method for resonant frequency detection in corona ignition systems | TENNECO INC. |
11050223 | Bipolar ionizer for air purification and a diffuser using the bipolar ionizer | SHENZHEN YUAN QI ENVIRONMENTAL ENERGY TECHNOLOGY CO., LTD. |
11050224 | Wire harness unit, power storage device unit, and wire harness | YAZAKI CORPORATION |
11050228 | Poke-through electrical outlet assembly with leveling bezel | -- |
11050231 | Access ports for electrical enclosures | PANDUIT CORP. |
11050235 | Switch control circuit and battery pack including the same | SAMSUNG SDI CO., LTD. |
11050241 | Active current injection through a fuse for an electric mobile application | EATON INTELLIGENT POWER LIMITED |
11050242 | Driver for power device | SHENZHENSHI PENGYUAN ELECTRONICS CO., LTD. |
11050253 | Control system for power transmission within a structure | -- |
11050254 | Power adapters adapted to receive a module and methods of implementing power adapters with modules | SMART POWER PARTNERS LLC |
11050260 | Smart main electrical panel for energy generation systems | TESLA, INC. |
11050264 | Wireless power transmission apparatus | LG INNOTEK CO., LTD. |
11050297 | Laundry treating apparatus | ELECTROLUX APPLIANCES AKTIEBOLAG |
11050298 | Wireless device | -- |
11050324 | Motor | NIDEC CORPORATION |
11050327 | Motor assembly | STEERING SOLUTIONS IP HOLDING CORPORATION |
11050329 | Method for manufacturing a winding core | MURATA MANUFACTURING CO., LTD. |
11050340 | Plug-in power adapters and methods of implementing a plug-in power adapter | SMART POWER PARTNERS LLC |
11050355 | Stacked power supply topologies and inductor devices | INFINEON TECHNOLOGIES AUSTRIA AG |
11050394 | Modules, multi-stage systems, and related methods for radio frequency power amplifiers | TOKYO ELECTRON LIMITED |
11050400 | Impedance matching device and impedance matching method | DAIHEN CORPORATION |
11050402 | Electronically adjustable inductor circuit | NATIONAL INSTRUMENTS CORPORATION |
11050403 | Circuit module, network module, and in-vehicle electronic device | MURATA MANUFACTURING CO., LTD. |
11050421 | Electrical assembly | GENERAL ELECTRIC TECHNOLOGY GMBH |
11050452 | Electronic devices having circuitry in housing attachment structures | APPLE INC. |
11050463 | Systems and methods for establishing reliable wireless links | APPLE INC. |
11050470 | Radio using spatial streams expansion with directional antennas | EVEREST NETWORKS, INC. |
11050490 | Methods and systems for launching tranverse magnetic waves using data-carrying arrestor | ARRIS ENTERPRISES LLC |
11050496 | Over-the-air testing of millimeter wave integrated circuits with integrated antennas | NATIONAL INSTRUMENTS CORPORATION |
11050863 | Antenna and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11050865 | Ejectable component assemblies in electronic devices | APPLE INC. |
11050867 | Electronic devices with adjustable decoration | APPLE INC. |
11050870 | Bone conduction microphone, bone conduction headset, and communication device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11050912 | Illumination device for image capturing | FUJI CORPORATION |
11050935 | Methods and systems for managing one or more inertial motion units in a hinged electronic device | MOTOROLA MOBILITY LLC |
11051106 | Movable embedded microstructure | FORTEMEDIA, INC. |
11051179 | Device including antenna and control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11051387 | Ultraviolet (UV) light emitting device (LED) driven photocathode | TRIAD NATIONAL SECURITY, LLC |
11051388 | X-ray tube diagnostic system including a circuit to generate a phase signal and/or an indication of a status of a motor | VAREX IMAGING CORPORATION |
11051389 | Atmospheric plasma device | COBI PLATEC CO., LTD. |
11051390 | Functional membrane for ion beam transmission, beam line device and filter device each having the same, and method of adjusting filter device | JAPAN ATOMIC ENERGY AGENCY |
11051391 | Thermally highly conductive coating on base structure accommodating a component | AT&S (CHINA) CO. LTD. |
11051392 | Heat dissipating device | -- |
11051393 | Mobile terminal and heat dissipation and shielding structure | HUAWEI TECHNOLOGIES CO., LTD. |
11051394 | Optical module and method of manufacturing optical module comprising an optical active device disposed in the recess of a component mounting block | SHUNSIN TECHNOLOGY (ZHONG SHAN) LIMITED |
11051396 | Integrated circuit capable of controlling impedance and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11051397 | Fixture for shielding a printed circuit board from electromagnetic interference and noise during testing | SKYWORKS SOLUTIONS, INC. |
11051398 | Ceramic electronic component | MURATA MANUFACTURING CO., LTD. |
11051401 | Method of integrating an electronic module with conductive fabric | FLEXTRONICS AP, LLC |
11051406 | Component carrier with integrated inductor and manufacturing method | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
11051411 | Mobile electronic device and display thereof | TCL COMMUNICATIONS (NINGBO) CO., LTD. |
11051412 | Electronic device | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
11051413 | Terminal and retractable mechanical member | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11051414 | Device for automatically folding flexible display screen | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
11051415 | Method for assembling an electronic device | -- |
11051416 | Electronic device including thin housing, and manufacturing method therefor | SAMSUNG ELECTRONICS CO., LTD. |
11051417 | Sealing system for electronics enclosure | MTD PRODUCTS INC |
11051418 | Vehicle control device | MITSUBISHI ELECTRIC CORPORATION |
11051419 | Chassis for a circuit assembly | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11051420 | Electronic device | SHINDENGEN ELECTRIC MANUFACTURING CO., LTD. |
11051421 | Memory cooling system in a server | -- |
11051422 | Modular server design | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11051423 | Multi-layer expansion card buffer bracket | ZHENGZHOU YUNHAI INFORMATION TECHNOLOGY CO., LTD. |
11051424 | Fan and power supply device | DELTA ELECTRONICS INC. |
11051425 | Thermal management for communication system | TE CONNECTIVITY CORPORATION |
11051426 | Immersion cooling enclosures with insulating liners | MICROSOFT TECHNOLOGY LICENSING, LLC |
11051427 | High-performance electronics cooling system | GOOGLE LLC |
11051428 | Oscillating heat pipe integrated thermal management system for power electronics | HAMILTON SUNDSTRAND CORPORATION |
11051429 | Thermally configured connector system | MOLEX, LLC |
11051430 | Electronic control unit | DENSO CORPORATION |
11051431 | Thermal management with variable conductance heat pipe | JUNIPER NETWORKS, INC. |
11051432 | Electronic module, in particular an electronic power module for hybrid vehicles or electric vehicles | ROBERT BOSCH GMBH |
11051433 | Rectifier of rotating electric machine | DENSO CORPORATION |
11051434 | Power-module assembly | FORD GLOBAL TECHNOLOGIES, LLC |
11051435 | Electronic device comprising heat-dissipating structure | SAMSUNG ELECTRONICS CO., LTD. |
11051436 | Modular printed circuit board separation tool | INTEL CORPORATION |
11051437 | Loose component supply device and component mounter | FUJI CORPORATION |
11051438 | Method of determining disposition of component reel and apparatus for determining disposition of component reel | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11051439 | Power supply control device and power supply control method for component supply device | FUJI CORPORATION |
11051440 | Component supply device | YAMAHA HATSUDOKI KABUSHIKI KAISHA |
11051441 | Modular system for automated portable electronic device disassembly | APPLE INC. |
11051615 | Storage rack with embedded display for barrels or casks | HERITAGE DISTILLING COMPANY, INC. |
11051679 | Substrate connection structure and endoscope | OLYMPUS CORPORATION |
11051713 | Immunity from magnetic disturbance for a magnetic location tracker | BIOSENSE WEBSTER (ISRAEL) LTD. |
11051771 | Stationary intraoral tomosynthesis imaging systems, methods, and computer readable media for three dimensional dental imaging | -- |
11052256 | Housing for implantable medical device | CARDIAC PACEMAKERS, INC. |
11052259 | Connector assembly for an electrical stimulation system and methods of making and using | BOSTON SCIENTIFIC NEUROMODULATION CORPORATION |
11052422 | Electronic component manufacturing method and apparatus | CREATIVE COATINGS CO., LTD. |
11052442 | Copper-coated magnesium wire and method for manufacturing the same | TOTOKU ELECTRIC CO., LTD |
11052482 | Laser alignment apparatus and system for alignment of output fiber of a fiber laser | IPG PHOTONICS CORPORATION |
11052526 | Hand-held power tool device | ROBERT BOSCH GMBH |
11052557 | Heating blades of razor using RF energy | HEATED BLADES HOLDING COMPANY, LLC |
11052584 | Injection molded plastic object with an embedded electronic circuit printed on a paper base and method of its production | AW BRANDING LIMITED |
11052634 | Laminated substrate for electrochromic dimmer element and manufacturing method for electrochromic dimmer element | AGC GLASS EUROPE SA |
11052636 | Fused sheet for electromagnetic wave absorption-extinction and shielding, and for electronic equipment high heat dissipation, and method of manufacturing the same | -- |
11052640 | Laminated glass pane having a sensor assembly, receiver antenna and transmission system, and method for producing a laminated glass pane having a sensor assembly | SAINT-GOBAIN GLASS FRANCE |
11052644 | Electrical conductors, production methods thereof, and electronic devices including the same | SAMSUNG ELECTRONICS CO., LTD. |
11052740 | Power supply device | FORD GLOBAL TECHNOLOGIES, LLC |
11052784 | Power distribution unit and fuse management for an electric mobile application | EATON INTELLIGENT POWER LIMITED |
11052833 | Camera mounting structure, camera apparatus, and jacket | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11052837 | Wire harness | YAZAKI CORPORATION |
11052839 | Binding structure of wire routing material, and engaging member | DAIWA KASEI INDUSTRY CO., LTD. |
11052887 | Electric component assembly, and brake fluid pressure control device for vehicle | NISSIN KOGYO CO., LTD. |
11053020 | Electrically conductive patch for indicating a compromised electrical discharge point in an aircraft | THE BOEING COMPANY |
11053124 | Conductive grease with enhanced thermal or electrical conductivity and reduced amount of carbon particle loading | SOUTH DAKOTA BOARD OF REGENTS |
11053348 | Epoxy stabilization using metal nanoparticles and nitrogen-containing catalysts, and methods | 3M INNOVATIVE PROPERTIES COMPANY |
11053569 | Alloying-element additive and method of manufacturing copper alloy | HITACHI METALS, LTD. |
11053574 | Non-oriented electrical steel sheet | NIPPON STEEL CORPORATION |
11053581 | Plasma erosion resistant rare-earth oxide based thin film coatings | APPLIED MATERIALS, INC. |
11053584 | System and method for supplying a precursor for an atomic layer deposition (ALD) process | -- |
11053590 | Nozzle for uniform plasma processing | APPLIED MATERIALS, INC. |
11053591 | Multi-port gas injection system and reactor system including same | ASM IP HOLDING B.V. |
11053652 | Two-wire controlling and monitoring system for in particular irrigation of localized areas of soil | S-RAIN CONTROL A/S |
11053702 | Swing canceling system with gyrostabilizer for strand mounted small cell base station | AT&T MOBILITY II LLC |
11053752 | Coiled tubing power cable with varying inner diameter | BAKER HUGHES, A GE COMPANY, LLC |
11053793 | Single layer antenna path profile | HALLIBURTON ENERGY SERVICES, INC. |
11053863 | Integrated fuel pump and control preservation system | RAYTHEON TECHNOLOGIES CORPORATION |
11053899 | Positioning feature of a stator assembly of a fuel injector | DELPHI TECHNOLOGIES IP LIMITED |
11053923 | Portable monopole tower with adjustable foundation | ARE TELECOM INCORPORATED |
11053926 | Wind turbine having a reduced radar cross section | QINETIQ LIMITED |
11053993 | Integrated device for resistive torque generation | LORD CORPORATION |
11054095 | Lighting drywall | RESILIENCE MAGNUM IP, LLC |
11054125 | LED module lighting signage electrical power and data distribution and connection system | -- |
11054126 | Display device | LG ELECTRONICS INC. |
11054176 | Magneto-caloric thermal diode assembly with a modular magnet system | HAIER US APPLIANCE SOLUTIONS, INC. |
11054193 | Vehicle with vibration isolated electronics | AMAZON TECHNOLOGIES, INC. |
11054285 | Pinching sensor and detection device | HITACHI METALS, LTD. |
11054344 | System for collecting liquid samples from a distance | ELEMENTAL SCIENTIFIC, INC. |
11054391 | Ion mobility spectrometer | SHIMADZU CORPORATION |
11054452 | EMC antenna system with automated tuning feature | STEPPIR COMMUNICATION SYSTEMS INC. |
11054478 | Magnetic battery tester housing | INTERSTATE BATTERY SYSTEMS INTERNATIONAL, INC. |
11054500 | Noise measurement in a radar system | TEXAS INSTRUMENTS INCORPORATED |
11054514 | Radar beam forming shield for motor vehicle | MAGNA CLOSURES INC. |
11054549 | Phase gradient nanocomposite window fabrication and method of fabricating durable optical windows | RAYTHEON COMPANY |
11054572 | Filter device and filter | FUJIKURA LTD. |
11054592 | Heatsink with graphene coating and an optical transmitter or transceiver implementing same | APPLIED OPTOELECTRONICS, INC. |
11054596 | Medical laser device and related methods | BOSTON SCIENTIFIC SCIMED, INC. |
11054603 | Method for manufacturing hybrid cable | ZHONGTIAN POWER OPTICAL CABLE CO., LTD. |
11054638 | Tracking pointing direction of device | REAVIRE, INC. |
11054665 | Reducing speckle in an excimer light source | CYMER, LLC |
11054673 | Photonic devices | RAYTHEON BBN TECHNOLOGIES CORP. |
11054693 | Illuminating device and display apparatus | SAKAI DISPLAY PRODUCTS CORPORATION |
11054711 | Electromagnetic-shielding electrochromic windows | VIEW, INC. |
11054716 | Scanning device | AMI RESEARCH & DEVELOPMENT, LLC |
11054729 | Projector and laser module thereof | -- |
11054804 | Portable safety control device for industrial machines in particular robots | COMAU S.P.A. |
11054858 | Electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11054863 | Attachment of power cables to computing devices | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11054865 | Hinge module and electronic device | -- |
11054871 | Display device including radiant heat blocking layer | SAMSUNG ELECTRONICS CO., LTD. |
11055149 | Technologies for providing workload-based sled position adjustment | INTEL CORPORATION |
11055238 | Electronic device and method for recognizing accessories | SAMSUNG ELECTRONICS CO., LTD. |
11055446 | Server | INVENTEC (PUDONG) TECHNOLOGY CORPORATION |
11055503 | Method for reading from RFID-tagged article and RFID system | MURATA MANUFACTURING CO., LTD. |
11055588 | Flexible water-resistant sensor tag | SENSORMATIC ELECTRONICS, LLC |
11055657 | Methods and apparatuses for determining real-time location information of RFID devices | MICRON TECHNOLOGY, INC. |
11055842 | Method for implementing a CD-SEM characterisation technique | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11055975 | Wireless environmental data capture system and method for mesh networking | SENSORMATIC ELECTRONICS, LLC |
11056031 | Control device, optical scanner, display device, and control method | MITSUMI ELECTRIC CO., LTD. |
11056153 | Memory module including battery | SK HYNIX INC. |
11056250 | Conductive surface coating based on modified and unmodified particles and method of preparation thereof | UNIVERSITY OF NEW BRUNSWICK |
11056251 | Patterning formation method, manufacturing method of electrical devices using the same and vehicular electrical device | JIN YOUNG GLOBAL CO., LTD. |
11056252 | Electrical signal transmission cable system and method of using same | -- |
11056253 | Thin-film resistors with flexible terminal placement for area saving | QUALCOMM INCORPORATED |
11056254 | Method of manufacturing magnetic material | NATIONAL INSTITUTE OF ADVANCED INDUSTRIAL SCIENCE AND TECHNOLOGY |
11056255 | Composite component comprising ring-shaped bonded magnet and method of manufacturing the same | NICHIA CORPORATION |
11056256 | Non-oriented electrical steel sheet and method of producing same | JFE STEEL CORPORATION |
11056257 | Magnetic compound and antenna | DOWA ELECTRONICS MATERIALS CO., LTD. |
11056258 | Magnetic material and production method therefor | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11056259 | Magnetic component | DELTA ELECTRONICS (SHANGHAI) CO., LTD. |
11056260 | Reactor including iron cores and rectifier, LC filter, and motor drive apparatus including the same | FANUC CORPORATION |
11056261 | Inductor | MURATA MANUFACTURING CO., LTD. |
11056262 | Inductive element and LC filter | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11056263 | Inductor | MURATA MANUFACTURING CO., LTD. |
11056264 | Rupture resistant system | GENERAL ELECTRIC COMPANY |
11056265 | Magnetic field generation with thermovoltaic cooling | CALAGEN, INC. |
11056266 | Filter device and power converter | MITSUBISHI ELECTRIC CORPORATION |
11056267 | Receive coil configurations for implantable medical device | MEDTRONIC, INC. |
11056268 | Coil component | TDK CORPORATION |
11056269 | Coil component and method for manufacturing coil component | TAIYO YUDEN CO., LTD. |
11056270 | Magnetic film and coil module | NITTO DENKO CORPORATION |
11056271 | Coil pattern and formation method therefor, and chip element having same | MODA-INNOCHIPS CO., LTD. |
11056272 | Inductor | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11056273 | Coil component | MURATA MANUFACTURING CO., LTD. |
11056274 | Thin film type inductor | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11056275 | Coil electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11056276 | Inductive power transfer coil and method for making the same for use in wireless power transfer systems | DRAYSON TECHNOLOGIES (EUROPE) LIMITED |
11056277 | Magnetized substrate carrier apparatus with shadow mask for deposition | APPLIED MATERIALS, INC. |
11056278 | Capacitor module for use in an inverter | VALEO SIEMENS EAUTOMOTIVE SHENZHEN CO., LTD. |
11056279 | Laminate of ceramic layer and sintered body of copper powder paste | JX NIPPON MINING & METALS CORPORATION |
11056280 | Multilayer ceramic electronic component | TDK CORPORATION |
11056281 | Electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11056282 | Method of manufacturing a capacitor including dielectric structure formed of sintered body | HEADWAY TECHNOLOGIES, INC. |
11056283 | Multilayer ceramic capacitor and manufacturing method of the same | TAIYO YUDEN CO., LTD. |
11056284 | Multi-layered ceramic electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11056285 | Solid electrolytic capacitor containing an adhesive film | AVX CORPORATION |
11056286 | Solid electrolytic capacitor with improved leakage current | AVX CORPORATION |
11056287 | Isodiketopyrrolopyrrole dye and use thereof | SOUTH CHINA UNIVERSITY OF TECHNOLOGY |
11056288 | Nanodendrite with ruthenium oxide capacitor and method | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
11056289 | Retrofit switch | LEEDARSON AMERICA INC. |
11056290 | Method and apparatus for authenticating and detecting circuit breaker integrity | EATON INTELLIGENT POWER LIMITED |
11056292 | Keyswitch and keyboard | SILITEK ELECTRONICS (DONGGUAN) CO., LTD. |
11056293 | Key structure | -- |
11056294 | Dial wheel mechanism and control device | SZ DJI TECHNOLOGY CO., LTD. |
11056295 | Limit switch | OMRON CORPORATION |
11056296 | Circuit breaker using multiple connectors | EATON INTELLIGENT POWER LIMITED |
11056297 | Trigger element of a pressure trigger, pressure trigger with a trigger element of this kind and electric switch | SIEMENS AKTIENGESELLSCHAFT |
11056298 | Earthing switch having dynamic braking resistors | GE ENERGY POWER CONVERSION TECHNOLOGY LIMITED |
11056299 | Vacuum circuit breaker | TAVRIDA ELECTRIC HOLDING AG |
11056300 | Circuit breaker comprising a ceria-based catalyst for CO conversion into CO2 | GENERAL ELECTRIC TECHNOLOGY GMBH |
11056301 | Pressure switch | SAGINOMIYA SEISAKUSHO, INC. |
11056302 | Coil control device of electronic magnetic contactor | LSIS CO., LTD. |
11056303 | Relay device | NISSAN MOTOR CO., LTD. |
11056304 | Controlling a controllably conductive device based on zero-crossing detection | LUTRON TECHNOLOGY COMPANY LLC |
11056305 | Relay | BYD COMPANY LIMITED |
11056306 | Pyrotechnic switch with a fuse element | AUTOLIV ASP, INC. |
11056307 | Fuse holder, carrier and associated method | REGAL BELOIT AMERICA, INC. |
11056308 | System and method for depth-selectable x-ray analysis | SIGRAY, INC. |
11056309 | Method and device for implanting ions in wafers | MI2-FACTORY GMBH |
11056310 | Charged-particle beam device | HITACHI HIGH-TECH CORPORATION |
11056311 | Time-dependent defect inspection apparatus | ASML NETHERLANDS B.V. |
11056312 | Micro stigmator array for multi electron beam system | KLA CORPORATION |
11056313 | Wien filter with integrated vacuum pump | JEFFERSON SCIENCE ASSOCIATES, LLC |
11056314 | Method for acquiring intentionally limited data and the machine learning approach to reconstruct it | NORTHWESTERN UNIVERSITY |
11056315 | Ionization chamber chip for a nano-aperture ion source, method of fabrication thereof, and a proton beam writing system | NATIONAL UNIVERSITY OF SINGAPORE |
11056316 | Radio frequency pulse matching method and device thereof and pulsing plasma generation system | BEIJING NAURA MICROELECTRONICS EQUIPMENT CO., LTD. |
11056317 | Microwave plasma source, microwave plasma processing apparatus and plasma processing method | TOKYO ELECTRON LIMITED |
11056318 | Plasma processing apparatus | TOKYO ELECTRON LIMITED |
11056319 | Apparatus and system having extraction assembly for wide angle ion beam | APPLIED MATERIALS, INC. |
11056320 | Substrate treating apparatus, substrate support unit, and substrate treating method | SEMES CO., LTD. |
11056321 | Metal contamination reduction in substrate processing systems with transformer coupled plasma | LAM RESEARCH CORPORATION |
11056322 | Method and apparatus for determining process rate | LAM RESEARCH CORPORATION |
11056323 | Sputtering apparatus and method of forming film | ULVAC, INC. |
11056324 | System and method for particle control in MRAM processing | -- |
11056325 | Methods and apparatus for substrate edge uniformity | APPLIED MATERIALS, INC. |
11056326 | Sintered non-porous cathode and sputter ion vacuum pump containing the same | SAES GETTERS S.P.A. |
11056327 | Inorganic and organic mass spectrometry systems and methods of using them | PERKINELMER HEALTH SCIENCES CANADA, INC. |
11056328 | Rapid inline preparation of a diluted sample | ELEMENTAL SCIENTIFIC, INC. |
11056329 | ESI-MS via an electrokinetically pumped interface | UNIVERSITY OF NOTRE DAME DU LAC |
11056330 | Apparatus and system for active heat transfer management in ESI ion sources | THERMO FINNIGAN LLC |
11056331 | Source-detector synchronization in multiplexed secondary ion mass spectrometry | IONPATH, INC. |
11056332 | Microfabricated ion trap chip with in situ radio-frequency sensing | NATIONAL TECHNOLOGY & ENGINEERING SOLUTIONS OF SANDIA, LLC |
11056333 | Spectrometry method and device for detecting ionising radiation for the implementation thereof | ICOHUP |
11056341 | Optical semiconductor element and method of manufacturing the same | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11056369 | Substrate holding apparatus | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
11056370 | Method for processing workpiece | TOKYO ELECTRON LIMITED |
11056379 | Clamp assembly | -- |
11056380 | Wafer positioning pedestal for semiconductor processing | LAM RESEARCH CORPORATION |
11056405 | Methods and systems for controlling wafer fabrication process | LAM RESEARCH CORPORATION |
11056406 | Stack of multiple deposited semiconductor layers | APPLIED MATERIALS, INC. |
11056416 | Semiconductor device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11056447 | Power module having at least one power semiconductor | SIEMENS AKTIENGESELLSCHAFT |
11056516 | Power storage element, manufacturing method thereof, and power storage device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11056535 | Non-volatile memory element arrays in a wheatstone bridge arrangement | GLOBALFOUNDRIES U.S. INC. |
11056600 | Photoelectric conversion element, photoelectric conversion element module, electronic device, and power supply module | RICOH COMPANY, LTD. |
11056610 | Method of forming a metal silicide transparent conductive electrode | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11056640 | Magnetoresistive memory device including a high dielectric constant capping layer and methods of making the same | WESTERN DIGITAL TECHNOLOGIES, INC. |
11056641 | Spin-orbit-torque magnetization rotational element, spin-orbit-torque magnetoresistance effect element, and magnetic memory | TDK CORPORATION |
11056678 | Negative electrode for power storage device, method for forming the same, and power storage device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11056681 | Positive electrode active material for nonaqueous electrolyte secondary battery, method for producing same, and nonaqueous electrolyte secondary battery using said positive electrode active material | SUMITOMO METAL MINING CO., LTD. |
11056692 | Battery electrode, method for making the same and hybrid energy storage device using the same | TSINGHUA UNIVERSITY |
11056704 | Hybrid active material structures for electrochemical cells | GRU ENERGY LAB INC. |
11056730 | Voltage detection terminal holding structure | YAZAKI CORPORATION |
11056754 | Filter antenna device | AAC TECHNOLOGIES PTE. LTD. |
11056755 | Microwave resonator | ISOTEK MICROWAVE LIMITED |
11056756 | Multilayer substrate connecting body and transmission line device | MURATA MANUFACTURING CO., LTD. |
11056757 | Manufacturing method of a radio-frequency member | NIDEC CORPORATION |
11056758 | Directional coupler and radio-frequency module | MURATA MANUFACTURING CO., LTD. |
11056759 | Hybrid coupler with sum and difference ports located on the same side | VIETTEL GROUP |
11056760 | Method of making a low mass foam electrical structure | NORTHROP GRUMMAN SYSTEMS CORPORATION |
11056761 | Shaft antenna system for mobile communication | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11056762 | Adjustable antenna mount | -- |
11056763 | Wireless communication improvements for cooking appliances | APPTION LABS LIMITED |
11056764 | Phased array antenna panel having reduced passive loss of received signals | SILICON VALLEY BANK |
11056765 | Microelectronic devices designed with foldable flexible substrates for high frequency communication modules | INTEL CORPORATION |
11056766 | Antenna apparatus | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11056767 | Electronic device including antenna using housing thereof | SAMSUNG ELECTRONICS CO., LTD. |
11056768 | Electronic device comprising antenna | SAMSUNG ELECTRONICS CO., LTD. |
11056769 | Electronic device comprising antenna | SAMSUNG ELECTRONICS CO., LTD. |
11056770 | Multi-antenna system and electronic device thereof | -- |
11056771 | Antenna module and electronic device | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
11056772 | Antenna system with decreased SAR value | AAC TECHNOLOGIES PTE. LTD. |
11056773 | Twin-beam base station antennas having thinned arrays with triangular sub-arrays | COMMSCOPE TECHNOLOGIES LLC |
11056774 | Autotune bolus antenna | HERDSTRONG |
11056775 | Integrated antenna module and in-vehicle system | YAZAKI CORPORATION |
11056776 | Antenna arrangement for a vehicle | AUDI AG |
11056777 | Millimeter wave LTCC filter | AAC TECHNOLOGIES PTE. LTD. |
11056778 | Radio assembly with modularized radios and interconnects | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11056779 | Syntactic foam radome structure | CPI RADANT TECHNOLOGIES DIVISIONS INC. |
11056780 | Window-mounted antenna unit | VERIZON PATENT AND LICENSING INC. |
11056781 | Antenna and mobile terminal | HUAWEI TECHNOLOGIES CO., LTD. |
11056782 | Linked locking mechanism and antenna down-tilt angle control device | COMBA TELECOM TECHNOLOGY (GUANGZHOU) LIMITED |
11056783 | Communication device and communication method | -- |
11056784 | Phased array antenna with analog beamforming—calibration techniques for angle of arrival applications | APPLE INC. |
11056785 | Beamforming using an antenna array | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11056786 | Antenna system and mobile terminal | AAC TECHNOLOGIES PTE. LTD. |
11056787 | Hybrid antenna | SAMSUNG ELECTRONICS CO., LTD. |
11056788 | Method of making a dual-band yagi-uda antenna array | CISCO TECHNOLOGY, INC. |
11056789 | Dual-band circularly polarized antenna structure | -- |
11056790 | Antenna system and mobile terminal | AAC TECHNOLOGIES PTE. LTD. |
11056791 | Arrays with foldable and deployable characteristics | THE FLORIDA INTERNATIONAL UNIVERSITY BOARD OF TRUSTEES |
11056792 | Antenna-in-package system and mobile terminal | AAC TECHNOLOGIES PTE. LTD. |
11056793 | Antenna module | TDK CORPORATION |
11056794 | Dual-polarized antenna | KABUSHIKI KAISHA TOSHIBA |
11056796 | Directly flat-attached switching component for active frequency selective surface and fabricating method thereof | -- |
11056797 | Articles comprising a mesh formed of a carbon nanotube yarn | EAGLE TECHNOLOGY, LLC |
11056798 | Beam adjustable antenna device | -- |
11056799 | W-band combiner-splitter fabricated using 3-D printing | -- |
11056800 | Antenna arrays integrated into an electromagnetic transparent metallic surface | GOOGLE LLC |
11056801 | Antenna aperture in phased array antenna systems | SPACE EXPLORATION TECHNOLOGIES CORP. |
11056802 | Connector with fitting objects and fillers that prevent foreign matter from entering | KYOCERA CORPORATION |
11056803 | Spring clamp for optics | LUMENTUM OPERATIONS LLC |
11056804 | Battery terminal connector | -- |
11056805 | Method of connecting an electrically connecting cable to a splice | HUBBELL INCORPORATED |
11056806 | Housing device for storage battery housing | HONDA MOTOR CO., LTD. |
11056807 | Float connector for interconnecting printed circuit boards | AMPHENOL CORPORATION |
11056808 | Resin multilayer substrate, transmission line, module, and method of manufacturing module | MURATA MANUFACTURING CO., LTD. |
11056809 | Vertical-type direct PCB connector | LG CHEM, LTD. |
11056810 | Circuit board with a plug connection | MARELLI AUTOMOTIVE LIGHTING REUTLINGEN (GERMANY) GMBH |
11056811 | Universal connecting module for electrical connector, and data-transferring device comprising such a module | SCHNEIDER ELECTRIC INDUSTRIES SAS |
11056812 | Method of manufacturing an actuator device | BROTHER KOGYO KABUSHIKI KAISHA |
11056813 | Electrical connector assembly with complementary contact unit | FOXCONN (KUNSHAN) COMPUTER CONNECTOR CO., LTD. |
11056814 | Connector with a plurality of conductive elastic members to secure an inserted connection member | KYOCERA DOCUMENT SOLUTIONS INC. |
11056815 | Electrical contact and carrier associated therewith | FUDING PRECISION COMPONENTS (SHENZHEN) CO., LTD. |
11056816 | Electrical terminal and electrical connector thereof | DONGGUAN LUXSHARE TECHNOLOGIES CO., LTD |
11056817 | Electrical connector having positive and negative contacts with structures offset from each other | FOXCONN (KUNSHAN) COMPUTER CONNECTOR CO., LTD. |
11056818 | Electrical outlet with female member moveable between connected and disconnected portions | -- |
11056819 | Connector and connector processing method | LUXSHARE PRECISION INDUSTRY (SUZHOU) CO., LTD. |
11056820 | SC combined tail sleeve with changeable polarity | HUIZHOU FIBERCAN INDUSTRIAL CO., LTD. |
11056821 | Module system for modular plug connectors | HARTING ELECTRIC GMBH & CO. KG |
11056822 | Power socket module and plug | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11056823 | Electric connector | -- |
11056824 | Weather protecting (WP) housing for coaxial cable connectors | JOHN MEZZALINGUA ASSOCIATES, LLC |
11056825 | High voltage bus connection insulator | HAMILTON SUNDSTRAND CORPORATION |
11056826 | Wire holding member | AUTONETWORKS TECHNOLOGIES, LTD. |
11056827 | Electronic device and method for identifying state of connection between connector and electrical path | SAMSUNG ELECTRONICS CO., LTD. |
11056828 | Electrical connector structure adapted for vehicle cigarette lighter device | STEVENSON RANCH |
11056829 | Electrical connector assembly with unlocking device for the unlocking process | HUBER+SUHNER AG |
11056830 | Port locking actuator device for vehicle inlet | MURAKAMI CORPORATION |
11056831 | Connector | SUMITOMO WIRING SYSTEMS, LTD. |
11056832 | Electrical connector and electronic device | MITSUMI ELECTRIC CO., LTD. |
11056833 | Electrical connector and electrical connector assembly | MOLEX, LLC |
11056834 | Electricial connector with structure for reducing resonances | FOXCONN (KUNSHAN) COMPUTER CONNECTOR CO., LTD. |
11056835 | Methods and apparatus for rendering electrical cables safe | -- |
11056836 | Shield terminal compatible with multiple housings and shield connector using the same | AUTONETWORKS TECHNOLOGIES, LTD. |
11056837 | Electrical connector equipped with three metal plates joined together | FOXCONN (KUNSHAN) COMPUTER CONNECTOR CO., LTD. |
11056838 | Transceiver receptacle with EMI cage and bezel clips that provide high shielding effectiveness | SAMTEC, INC. |
11056839 | Cable connector assembly and assembling method of the same | NEW OCEAN PRECISION COMPONENT (JIANGXI) CO., LTD. |
11056840 | Electrical connector system with alien crosstalk reduction devices | COMMSCOPE TECHNOLOGIES LLC |
11056841 | Selectively plated plastic part | FCI USA LLC |
11056842 | Jumper cable with capacitive power enhancement and/or overvoltage protection | COMMSCOPE TECHNOLOGIES LLC |
11056843 | Electrical plug comprising an electrical circuit | ROSENBERGER HOCHFREQUENZTECHNIK GMBH & CO. KG |
11056844 | Connector, device provided with the same and method of manufacturing the same | JAPAN AVIATION ELECTRONICS INDUSTRY, LIMITED |
11056845 | Cable with plug, control circuit and substrate | MITSUMI ELECTRIC CO., LTD. |
11056846 | Cord management device | -- |
11056847 | Fastening clamp | PHOENIX CONTACT GMBH & CO. KG |
11056848 | Method and apparatus of powering an electronic device using a removable power supply | ECOLINK INTELLIGENT TECHNOLOGY, INC. |
11056849 | Slip ring transmitter for rotary-table machines | KRONES AG |
11056850 | Systems and methods for providing a soldered interface on a printed circuit board having a blind feature | EAGLE TECHNOLOGY, LLC |
11056851 | Terminal insertion device | YAZAKI CORPORATION |
11056852 | Cable preparation machine | TE CONNECTIVITY SERVICES GMBH |
11056853 | Method of manufacturing semiconductor laser element, and semiconductor laser device thereof and gas analyzer | HORIBA, LTD. |
11056854 | Laser assembly and related methods | LEONARDO ELECTRONICS US INC. |
11056855 | Beam projector module for performing eye-safety function using temperature, and control method thereof | NAMUGA, CO., LTD. |
11056856 | Plasmonic laser | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11056857 | Laser diode | OSRAM OLED GMBH |
11056858 | Spark plug having a housing with a channel part | DENSO CORPORATION |
11056859 | Spark plug electrode and method for manufacturing this spark plug electrode and spark plug including a spark plug electrode | ROBERT BOSCH GMBH |
11056860 | Bus structure for parallel connected power switches | EATON INTELLIGENT POWER LIMITED |
11056861 | Conductor for a power distribution system | EATON INTELLIGENT POWER LIMITED |
11056862 | Electrical device connector and switchgear | MITSUBISHI ELECTRIC CORPORATION |
11056864 | Electrical junction box | SUMITOMO WIRING SYSTEMS, LTD. |
11056866 | Housing, electrical connection box and wire harness | YAZAKI CORPORATION |
11056868 | Monitoring accessory for an electrical apparatus provided with a connection terminal | TE CONNECTIVITY SERVICES GMBH |
11056870 | Magnetic decorative trim with DC power transmission | UNITED STATES GYPSUM COMPANY |
11056883 | System and method for implementing a zero-sequence current filter for a three-phase power system | SWITCHED SOURCE PB LLC |
11056914 | Energy harvesting circuit, corresponding system and method | STMICROELECTRONICS S.R.L. |
11056916 | Transmission coil module for wireless power transmitter | LG INNOTEK CO., LTD. |
11056918 | System for inductive wireless power transfer for portable devices | CHARGEDGE, INC. |
11056919 | Power transmission device and wireless power transmission system | PANASONIC CORPORATION |
11056927 | Inductor device, non-contact power charging/supplying system and electric vehicle | KABUSHIKI KAISHA TOSHIBA |
11056948 | Progressive force electro-permanent magnets actuator | X DEVELOPMENT LLC |
11056959 | Linear actuator | ANIMAL DYNAMICS LIMITED |
11056963 | Hybrid wound-rotor motor and generator with induction feed and persistent current | THE BOEING COMPANY |
11056998 | Universal bonding end clamp | HUBBELL INCORPORATED |
11057019 | Non-volatile adjustable phase shifter using non-volatile radio frequency (RF) switch | NEWPORT FAB, LLC |
11057022 | PVT compensated delay cell for a monostable | STMICROELECTRONICS S.R.L. |
11057071 | Wireless communication apparatus and structure for mounting communication equipment | NEC CORPORATION |
11057088 | Hierarchically elaborated phased-array antenna modules and faster beam steering method of operation | -- |
11057090 | Method for pairing with external device using beam and electronic device therefor | SAMSUNG ELECTRONICS CO., LTD. |
11057099 | Communication circuit for multi-antenna apparatus | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11057112 | Monitor photodiode (MPD) submount for vertical mounting and alignment of monitoring photodiodes | APPLIED OPTOELECTRONICS, INC. |
11057127 | Method and system for identifying transmitter usage characteristics | ELBIT SYSTEMS BMD AND LAND EW-ELISRA LTD. |
11057130 | Automatic signal strength indicator and automatic antenna switch | MOJOOSE, INC. |
11057201 | Random number sequence generation apparatus, quantum encryption transmitter, and quantum encryption communication system | NATIONAL UNIVERSITY CORPORATION HOKKAIDO UNIVERSITY |
11057507 | Electronic assembly and electronic device | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
11057548 | Coil unit, manufacturing method thereof, and photographing optical device | NIDEC SANKYO CORPORATION |
11057555 | Vehicle camera with connector system for high speed transmission | MAGNA ELECTRONICS INC. |
11057610 | Three dimensional depth mapping using dynamic structured light | FACEBOOK TECHNOLOGIES, LLC |
11057723 | Hearing aid antenna for high-frequency data communication | STARKEY LABORATORIES, INC. |
11057737 | Indoor positioning for mobile devices | GOOGLE LLC |
11057963 | Lamp infrared radiation profile control by lamp filament design and positioning | APPLIED MATERIALS, INC. |
11057984 | High-speed hybrid circuit | II-VI DELAWARE, INC. |
11057986 | Printed circuit board and optical transceiver with the printed circuit board | LUMENTUM JAPAN, INC. |
11057987 | Asymmetric dual bend skew compensation for reducing differential mode to common mode conversion | CISCO TECHNOLOGY, INC. |
11057995 | Backplane footprint for high speed, high density electrical connectors | AMPHENOL CORPORATION |
11057997 | High-frequency module | MURATA MANUFACTURING CO., LTD. |
11057999 | Motherboard and memory module | -- |
11058000 | Flexible display device | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
11058001 | Integrated circuit with laminated magnetic core inductor and magnetic flux closure layer | FERRIC INC. |
11058003 | Capacitor and board having the same | SAMSUNG ELECTRO-MECHANICS CO, , LTD. |
11058013 | Method of manufacturing battery module and interconnect board assembly with integrated PCB and flex circuit | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
11058015 | Housing, method of producing the same, and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11058016 | Connector and connector manufacturing method | YAZAKI CORPORATION |
11058017 | Video converter with integrated power supply | THEATRIXX TECHNOLOGIES INC. |
11058018 | Electronic device including flexible display | SAMSUNG ELECTRONICS CO., LTD. |
11058019 | Electronic device | -- |
11058020 | Wall mount device | -- |
11058021 | Cover window for display device and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11058022 | Vertical track and sliding mount for a smoke detector | -- |
11058023 | PCIE structure capable of tool-free disassembly/assembly | ZHENGZHOU YUNHAI INFORMATION TECHNOLOGY CO., LTD. |
11058024 | Rotary extending frame | -- |
11058025 | Two-stage modular server chassis structure | -- |
11058026 | Air deflector for cooling system in a head-mounted device | APPLE INC. |
11058027 | Systems and methods for controlling air distribution to electronic components | LENOVO ENTERPRISES SOLUTIONS (SINGAPORE) PTE. LTD. |
11058028 | Technologies for managing hot spots in a compute device | INTEL CORPORATION |
11058029 | Liquid immersion-cooled electronic device and liquid immersion-cooled processor module | EXASCALER INC. |
11058030 | Cold plate with flex regions between fin areas | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11058031 | Vapor chamber | MURATA MANUFACTURING CO., LTD. |
11058032 | Memory module cooler with vapor chamber device connected to heat pipes | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11058033 | Receptacle assembly and thermal-transfer assembly | TE CONNECTIVITY CORPORATION |
11058034 | Modular network switch | FACEBOOK, INC. |
11058035 | Electric power inverter | MAHLE INTERNATIONAL GMBH |
11058036 | Electronic assembly for a rotary electric machine for a motor vehicle | VALEO EQUIPEMENTS ELECTRIQUES MOTEUR |
11058037 | Peristaltic pump for on-board charger thermal management | FORD GLOBAL TECHNOLOGIES, LLC |
11058038 | Electromagnetic shields for sub-modules | QORVO US, INC. |
11058039 | Composites for high frequency electromagnetic interference (EMI) applications | 3M INNOVATIVE PROPERTIES COMPANY |
11058040 | Operation checking device of electronic mounting machine | FUJI CORPORATION |
11058041 | Component mounter and mounting head | FUJI CORPORATION |
11058164 | Magnetic cushion technology | -- |
11058194 | Interposable magnetically coupled devices | 1LSS, INC |
11058221 | Systems and methods for damping a storage system | CISCO TECHNOLOGY, INC. |
11058331 | Analyte sensor and system with multiple detector elements that can transmit or receive | KNOW LABS, INC. |
11058338 | Electrode assembly | SUUNTO OY |
11058477 | Surgical cutting and fastening instruments with dual power sources | CILAG GMBH INTERNATIONAL |
11058481 | RF and/or microwave energy conveying structure, and an invasive electrosurgical scoping device incorporating the same | CREO MEDICAL LIMITED |
11058483 | Electrosurgical instrument | CREO MEDICAL LIMITED |
11058845 | Respiratory pressure treatment system | RESMED PTY LTD |
11058871 | Manufacturing an electrode array for a stimulating medical device | COCHLEAR LIMITED |
11058883 | Feedthrough assembly | MEDTRONIC, INC. |
11058893 | Kilovoltage radiation therapy | PRECISION RT INC. |
11058899 | Superconducting electromagnet apparatus and charged particle irradiation apparatus | B DOT MEDICAL INC. |
11059099 | Process and apparatus for producing powder particles by atomization of a feed material in the form of an elongated member | TEKNA PLASMA SYSTEMS INC. |
11059128 | Multiple beam pulsed laser deposition of composite films | DILLARD UNIVERSITY |
11059159 | Electromagnetic actuator for power tool | BLACK & DECKER INC. |
11059205 | Method for fabricating nanoporous polymer thin film and corresponding method for fabricating nanoporous thin film | -- |
11059251 | Press system and control method for press system | KOMATSU INDUSTRIES CORPORATION |
11059263 | Method for producing a composite conductive material and composite material obtained in this way | ARKEMA FRANCE |
11059278 | Two-phase thermal management devices, methods, and systems | ROCCOR, LLC |
11059281 | Method of producing laminate material | SHOWA DENKO PACKAGING CO., LTD. |
11059437 | Electronic module | SUMITOMO WIRING SYSTEMS, LTD. |
11059474 | Hybrid vehicle with electrical power outlet | FORD GLOBAL TECHNOLOGIES, LLC |
11059550 | Diving computer with coupled antenna and water contact assembly | SUUNTO OY |
11059725 | Rare earth cold accumulating material particles, and refrigerator, superconducting magnet, inspection device and cryopump using same | KABUSHIKI KAISHA TOSHIBA |
11059943 | Conductive cellulose nanocrystals, method of producing same and uses thereof | CELLUFORCE INC. |
11059945 | In situ bonding of carbon fibers and nanotubes to polymer matrices | RUTGERS, THE STATE UNIVERSITY OF NEW JERSEY |
11059994 | Silicone resin, related methods, and film formed therewith | DOW SILICONES CORPORATION |
11060162 | Non-oriented electrical steel sheet and manufacturing method therefor | POSCO |
11060181 | Decorative HIPIMS hard material layers | OERLIKON SURFACE SOLUTIONS AG, PFAFFIKON |
11060188 | Selective deposition of aluminum oxide on metal surfaces | APPLIED MATERIALS, INC. |
11060189 | Method to enable high temperature processing without chamber drifting | APPLIED MATERIALS, INC. |
11060208 | System and method for manufacturing shellac floss | KING ABDULAZIZ UNIVERSITY |
11060312 | Data center network tunnel | AMAZON TECHNOLOGIES, INC. |
11060677 | Wall pack light fixture | SIGNIFY HOLDING B.V. |
11060710 | Power supply device of lighting device for vehicle including plurality of unit lighting modules | VISION X ASIA CO., LTD. |
11060713 | Internal-circulating heat dissipation system for stage light | GUANGZHOU HAOYANG ELECTRONIC CO., LTD. |
11060799 | Vapor chamber structure | -- |
11060851 | Projectors of structured light | APPLE INC. |
11060887 | Magnetic detection sensor, rotation detection sensor, and cable with sensor | HITACHI METALS, LTD. |
11060888 | Method for measuring an armature position and coupling device using said method | MAGNA POWERTRAIN GMBH & CO KG |
11060900 | Fastening assembly for a radar level-measuring device | VEGA GRIESHABER KG |
11060905 | Laser device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11060920 | Optically transparent electromagnetic shield assembly | SAFRAN ELECTRONICS & DEFENSE |
11060921 | Optical module comprising first optical component and second optical component and infrared thermometer comprising optical module | -- |
11060923 | Thermocouple termination/closure and method | TE WIRE & CABLE LLC |
11060928 | Load sensor and load sensor integrated type multiaxial actuator | MINEBEA MITSUMI INC. |
11060959 | Systems and methods for analyzing an analyte extracted from a sample using an adsorbent material | PURDUE RESEARCH FOUNDATION |
11061001 | Photoacoustic measurement apparatus | FUJIFILM CORPORATION |
11061005 | Mass spectrometry assay method for detection and quantitation of organic acid metabolites | METABOLON, INC. |
11061036 | Methods for epitope mapping | KING CITY |
11061058 | Radio field intensity measurement device, and radio field intensity detector and game console using the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11061086 | Magnetic device with magnetic structure and micro-fluidic structure | ANALOG DEVICES GLOBAL |
11061109 | Radar device | MITSUBISHI ELECTRIC CORPORATION |
11061110 | Waveguide device, and antenna device including the waveguide device | NIDEC CORPORATION |
11061114 | Radar system for the detection of drones | QINETIQ LIMITED |
11061117 | Devices with ultra-small vertical cavity surface emitting laser emitters incorporating beam steering | SENSE PHOTONICS, INC. |
11061131 | Radar systems and methods | LEONARDO MW LTD. |
11061134 | Radar level gauge system with improved feed-through | ROSEMOUNT TANK RADAR AB |
11061161 | Stacked soft magnetic inserts and slotted shield designs for tilted coil antennas | HALLIBURTON ENERGY SERVICES, INC. |
11061194 | Optical module unlocking device and optical module | WUHAN TELECOMMUNICATION DEVICES COMPANY |
11061199 | Lens structure | -- |
11061230 | Laser alignment systems | SENSORS UNLIMITED, INC. |
11061251 | Hinge between a frame and a temple of spectacles | SKUGGA TECHNOLOGY AB |
11061252 | Hinge for electronic spectacles | E-VISION, LLC |
11061276 | Laser array display | X DISPLAY COMPANY TECHNOLOGY LIMITED |
11061293 | Ground structure and mobile terminal | VIVO MOBILE COMMUNICATION CO., LTD. |
11061303 | Quick swap top mountable camera mount systems | PANAVISION INTERNATIONAL, L.P. |
11061309 | Cooling device having evaporator with groove member, and projector | SEIKO EPSON CORPORATION |
11061428 | Method and device for reconstructing field source of array antenna based on dipoles | GENERAL TEST SYSTEMS INC. |
11061442 | Virtual pivot hinge with sliding friction coupler | MICROSOFT TECHNOLOGY LICENSING, LLC |
11061445 | Foldable display device | SAMSUNG ELECTRONICS CO., LTD. |
11061451 | Self-adaptive vents | MICROSOFT TECHNOLOGY LICENSING, LLC |
11061491 | Systems, devices and methods for managing glucose levels | ABBOTT DIABETES CARE INC. |
11061495 | Mouse pad structure having wireless charging coil and manufacturing procedure thereof | -- |
11061825 | Apparatus, system, and method for managing commands of solid-state storage using bank interleave | UNIFICATION TECHNOLOGIES LLC |
11061843 | In-connector data storage device | -- |
11062039 | Secure hardware threat protection | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11062193 | Encoding module, associated encoding element, connector, printer-encoder and access control system | ZEBRA TECHNOLOGIES CORPORATION |
11062194 | RF frontend interface for a 61 GHz radio powered communication tag device | RACYICS GMBH |
11062456 | Imaging analyzer | SHIMADZU CORPORATION |
11062628 | Apparatus having a flexible LED display module and a method of employing same | 10644137 CANADA INC. |
11062817 | Liquid metal encapsulates having non-native shells | UNITED STATES OF AMERICA AS REPRESENTED BY THE SECRETARY OF THE AIR FORCE |
11062818 | Stacking structure having material layer on graphene layer and method of forming material layer on graphene layer | SAMSUNG ELECTRONICS CO., LTD. |
11062819 | Cable and harness with low-melting pet fiber tape | HITACHI METALS, LTD. |
11062820 | Extruded flexible flat cable and wire harness | YAZAKI CORPORATION |
11062821 | Intermediate node to power submarine cable system | FACEBOOK, INC. |
11062822 | Communication cable | AUTONETWORKS TECHNOLOGIES, LTD. |
11062823 | Insulated wire capable of changing color when overheated under current load and method for making the same | -- |
11062824 | Microfluidic channels and pumps for active cooling of cables | AMAZON TECHNOLOGIES, INC. |
11062826 | Nanocomposite magnetic materials for magnetic devices and systems | UNIVERSITY OF FLORIDA RESEARCH FOUNDATION, INCORPORATED |
11062827 | Sintered magnet composition without heavy rare earth element and a method of making the sintered magnet | YANTAI SHOUGANG MAGNETIC MATERIALS INC. |
11062828 | Method for analyzing color code encoded in magnetic structure | SNU R&DB FOUNDATION |
11062829 | Soft magnetic alloy powder, production method thereof, and dust core using same | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11062830 | Magnetic devices for power converters with light load enhancers | VOLTERRA SEMICONDUCTOR LLC |
11062831 | Magnetic block locking of an electronic device | MICROSOFT TECHNOLOGY LICENSING, LLC |
11062832 | Nonreciprocal circuit element and method of manufacturing the same | MITSUBISHI ELECTRIC CORPORATION |
11062833 | Cover for tissue penetrating device with integrated magnets and magnetic shielding | BECTON, DICKINSON AND COMPANY |
11062835 | Vehicle transformer | ABB POWER GRIDS SWITZERLAND AG |
11062836 | LLC resonant convert with integrated magnetics | TEXAS INSTRUMENTS INCORPORATED |
11062837 | Planar transformer, power conversion circuit, and adapter | HUAWEI TECHNOLOGIES CO., LTD. |
11062838 | Coil component and manufacturing method therefor | MURATA MANUFACTURING CO., LTD. |
11062839 | Transformer winding structure for enhancing winding stability | -- |
11062840 | Alternating hybrid excitation assembly and application thereof to motor and transformer | NANJINJ |
11062841 | Electromagnetic shield device, wireless charging transmitting terminal, wireless charging receiving terminal and system | NINGBO WEIE ELECTRONICS TECHNOLOGY LTD. |
11062842 | Multiple interface electronic card | X-CARD HOLDINGS, LLC |
11062843 | Method for producing sintered R-T-B based magnet and diffusion source | HITACHI METALS, LTD. |
11062844 | Method of producing R-T-B sintered magnet | HITACHI METALS, LTD. |
11062845 | Multilayer ceramic capacitor and board having the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11062846 | Dielectric ceramic composition, electronic device, and multilayer ceramic capacitor | TDK CORPORATION |
11062847 | Capacitor component and method for manufacturing the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11062848 | Multilayer ceramic electronic component | MURATA MANUFACTURING CO., LTD. |
11062849 | Method of manufacturing multilayer ceramic electronic component and multilayer ceramic electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11062850 | Capacitor | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11062851 | Thin film capacitor embedded substrate and its manufacturing method | TDK CORPORATION |
11062852 | Solid electrolytic capacitor having an anode terminal and a cathode terminal formed from a single metal plate and method for manufacturing same | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11062853 | Solid electrolytic capacitor, and method for producing solid electrolytic capacitor | SOKEN CHEMICAL & ENGINEERING CO., LTD. |
11062854 | Solid electrolytic capacitor and method for manufacturing same | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11062855 | Devices and methods for high voltage and solar applications | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
11062857 | Switching device | ABB SCHWEIZ AG |
11062858 | Electrical switching unit with separable contacts | SCHNEIDER ELECTRIC INDUSTRIES SAS |
11062859 | Foot switch-including adaptor assembly for battery-powered hand tool | ITOOL EQUIPMENT HOLDING LLC |
11062860 | Electrical switch | SIMON, S.A.U. |
11062861 | Safety switch including main button and sub button arrangements | GLOBE (JIANGSU) CO., LTD. |
11062862 | Gas-insulated high or medium voltage circuit breaker | ABB POWER GRIDS SWITZERLAND AG |
11062863 | Temperature sensitive pellet type thermal fuse | SCHOTT JAPAN CORPORATION |
11062864 | Circuit protection element | BOURNS KK |
11062865 | Electrical interruption switch, in particular for interrupting high currents at high voltages | -- |
11062866 | Electrical relay device | STMICROELECTRONICS (GRAND OUEST) SAS |
11062867 | Actuator for a medium voltage circuit breaker | ABB SCHWEIZ AG |
11062868 | Electromagnetic relay | FUJITSU COMPONENT LIMITED |
11062869 | Temperature sensitive pellet type thermal fuse | SCHOTT JAPAN CORPORATION |
11062870 | Integrated fuse module | LITTELFUSE, INC. |
11062871 | Analog amplification vacuum tube | NORITAKE CO., LIMITED |
11062872 | Spatial phase manipulation of charged particle beam | UNIVERSITEIT ANTWERPEN |
11062873 | Hydrogen bleed gas for an ion source housing | AXCELIS TECHNOLOGIES, INC. |
11062874 | Apparatus using multiple charged particle beams | ASML NETHERLANDS B.V. |
11062875 | Imaging apparatus and related control unit | CITY UNIVERSITY OF HONG KONG |
11062876 | Evaluation method and evaluation apparatus for electronic device | TOHOKU UNIVERSITY |
11062877 | Apparatus of plural charged-particle beams | ASML NETHERLANDS B.V. |
11062878 | Method and system for determining a charged particle beam exposure for a local pattern density | D2S, INC. |
11062879 | Face-on, gas-assisted etching for plan-view lamellae preparation | FEI COMPANY |
11062880 | Ion implanter | SUMITOMO HEAVY INDUSTRIES ION TECHNOLOGY CO., LTD. |
11062881 | Plasma etching method and plasma processing device | TOKYO ELECTRON LIMITED |
11062882 | Plasma processing apparatus and plasma processing method | TOKYO ELECTRON LIMITED |
11062883 | Atomic layer deposition apparatus | THE JAPAN STEEL WORKS, LTD. |
11062884 | Plasma processing apparatus and plasma processing method | HITACHI HIGH-TECH CORPORATION |
11062885 | Supporting unit and substrate treating apparatus including the same | SEMES CO., LTD. |
11062886 | Apparatus and method for controlling wafer uniformity | -- |
11062887 | High temperature RF heater pedestals | APPLIED MATERIALS, INC. |
11062889 | Method of production of uniform metal plates and sputtering targets made thereby | TOSOH SMD, INC. |
11062890 | Photocathodes with an enhancement layer and method of making the same | TRIAD NATIONAL SECURITY, LLC |
11062891 | Evaluation of complex mass spectrometry data from biological samples | -- |
11062892 | Charged particle detector including a light-emitting section having lamination structure, charged particle beam device, and mass spectrometer | HITACHI HIGH-TECH CORPORATION |
11062893 | System for automatic sampling, sample digestion, and joining a plurality of sample introduction systems | ELEMENTAL SCIENTIFIC, INC. |
11062894 | Mass spectrometer and mass spectrometry method | KABUSHIKI KAISHA TOSHIBA |
11062895 | Mass spectrometer having improved quadrupole robustness | THERMO FISHER SCIENTIFIC (BREMEN) GMBH |
11062896 | High-pressure discharge lamp | USHIO DENKI KABUSHIKI KAISHA |
11062897 | Metal doped carbon based hard mask removal in semiconductor fabrication | LAM RESEARCH CORPORATION |
11062906 | Silicon implantation in substrates and provision of silicon precursor compositions therefor | ENTEGRIS, INC. |
11062910 | Surface treatment of silicon or silicon germanium surfaces using organic radicals | MATTSON TECHNOLOGY, INC. |
11062912 | Atomic layer etch process using plasma in conjunction with a rapid thermal activation process | MATTSON TECHNOLOGY, INC. |
11062920 | Ion injector and lens system for ion beam milling | LAM RESEARCH CORPORATION |
11062939 | High bias deposition of high quality gapfill | APPLIED MATERIALS, INC. |
11062976 | Functional stiffener that enables land grid array interconnections and power decoupling | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11062979 | High-frequency device and manufacturing method thereof | -- |
11062984 | Methods for forming semiconductor devices | MICRON TECHNOLOGY, INC. |
11062999 | Semiconductor package and antenna module comprising the same | SAMSUNG ELECTRONICS CO., LTD. |
11063007 | Semiconductor device and method of manufacture | -- |
11063046 | Multi-die fine grain integrated voltage regulation | APPLE INC. |
11063048 | Dual-port semiconductor memory and first in first out (FIFO) memory having electrically floating body transistor | ZENO SEMICONDUCTOR, INC. |
11063088 | Magnetic memory devices and methods of fabrication | INTEL CORPORATION |
11063118 | TeraHertz capable integrated circuit | AVEROSES INCORPORATED |
11063165 | Optocoupler | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11063171 | Light emitting device, method of manufacturing light emitting device, and projector | SEIKO EPSON CORPORATION |
11063179 | Light emitting structures with selective carrier injection into multiple active layers | OSTENDO TECHNOLOGIES, INC. |
11063199 | Internally heated concentrated solar power (CSP) thermal absorber | THE BOEING COMPANY |
11063210 | Spin-orbit-torque magnetization rotational element, spin-orbit-torque magnetoresistance effect element, and magnetic memory | TDK CORPORATION |
11063261 | Electrode for electrochemical device, method for manufacturing the same, and electrochemical device including the same | UNIST(ULSAN NATIONAL INSTITUTE OF SCIENCE AND TECHNOLOGY) |
11063265 | Diatomaceous energy storage devices | PRINTED ENERGY PTY LTD |
11063291 | Sulfide-based solid electrolyte and preparation method thereof | HYUNDAI MOTOR COMPANY |
11063312 | Cell | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11063319 | Separator for electrochemical element and electrochemical element | NIPPON KODOSHI CORPORATION |
11063320 | Terminal busbar | LG CHEM, LTD. |
11063322 | Circuit body and battery module | YAZAKI CORPORATION |
11063324 | Positive electrode with lead member for electrochemical devices, method for producing same and electrochemical device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11063329 | Waveguide phase shifter including a straight waveguide section and a curved waveguide section having vias that can be filled or emptied | KING ABDULAZIZ UNIVERSITY |
11063330 | Filter | CANON KABUSHIKI KAISHA |
11063331 | Structured hybrid different-wavelength resonant ceramic filter | XIAMEN SUNYEAR ELECTRONICS CO., LTD. |
11063332 | Subminiature circulator | SHENZHEN HUAYANG TECHNOLOGY DEVELOPMENT CO., LTD. |
11063333 | Multilayer electromagnetic wave transmission board assembled by an adhesive and including a barrier to block the adhesive from flowing into a waveguide channel | -- |
11063334 | Method and apparatus having one or more adjustable structures for launching or receiving electromagnetic waves having a desired wavemode | AT&T INTELLECTUAL PROPERTY I, L.P. |
11063335 | Resonator | NOKIA TECHNOLOGIES OY |
11063336 | Phased array architecture with distributed temperature compensation and integrated up/down conversion | ANOKIWAVE, INC. |
11063337 | Multiple-assembly antenna positioner with eccentric shaft | VIASAT, INC. |
11063338 | Hybrid antenna system for wearable devices | SNAP INC. |
11063339 | Antenna module and communication device | -- |
11063340 | Antenna module and communication device | MURATA MANUFACTURING CO., LTD. |
11063341 | Antenna assembly and mobile terminal using same | AAC TECHNOLOGIES PTE. LTD. |
11063342 | Parasitic patch antenna for radiating or receiving a wireless signal | MOTOROLA MOBILITY LLC |
11063343 | Mobile device and antenna structure | -- |
11063344 | High gain and large bandwidth antenna incorporating a built-in differential feeding scheme | SAMSUNG ELECTRONICS CO., LTD. |
11063345 | Systems and methods for providing a wearable antenna | MASTODON DESIGN LLC |
11063346 | Shark fin antenna for vehicle | INFAC ELECS CO., LTD. |
11063347 | In-cabin communication system comprised of a leaky coaxial cable fixed to a vehicle body | DENSO CORPORATION |
11063348 | Radome and pattern forming method | NEC CORPORATION |
11063349 | Mobile device | -- |
11063350 | Edge enabled void antenna apparatus | QORVO US, INC. |
11063351 | Antenna angle adjustment device, antenna angle adjustment method, and communications device | NEC CORPORATION |
11063352 | Millimeter wave radio frequency phase shifter | AVX ANTENNA, INC. |
11063353 | E-fuse phase shifter and e-fuse phased array | GLAIVERF, INC. |
11063354 | Antenna system | -- |
11063355 | Bi-directional vector modulator/active phase shifter | TUBIS TECHNOLOGY INC. |
11063356 | Large aperture deployable reflectarray antenna | CALIFORNIA INSTITUTE OF TECHNOLOGY |
11063357 | Dual-band antenna for global positioning system | CITY UNIVERSITY OF HONG KONG |
11063358 | Structure of electronic device for optimizing performance of antenna and method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11063359 | Antenna system and mobile terminal using same | AAC TECHNOLOGIES PTE. LTD. |
11063360 | Antenna | JAPAN AVIATION ELECTRONICS INDUSTRY, LIMITED |
11063361 | Antenna coil | MURATA MANUFACTURING CO., LTD. |
11063362 | Portable flat-panel satellite antenna | KYMETA CORPORATION |
11063363 | Antenna element, antenna module, and communication device | MURATA MANUFACTURING CO., LTD. |
11063364 | Antenna module including dielectric material and electronic device including antenna module | SAMSUNG ELECTRONICS CO., LTD. |
11063365 | Frequency-selective dipole antennas | -- |
11063367 | Dual band slot antenna | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11063368 | Antenna assembly for a level gauge | VEGA GRIESHABER KG |
11063369 | Antenna array | -- |
11063370 | Module comprising antenna and RF element, and base station including same | SAMSUNG ELECTRONICS CO., LTD. |
11063371 | Module comprising antenna and RF element, and base station including same | SAMSUNG ELECTRONICS CO., LTD. |
11063372 | Elementary antenna comprising a planar radiating device | THALES |
11063373 | Non-invasive analyte sensor and system with decoupled transmit and receive antennas | KNOW LABS, INC. |
11063374 | System for controlling antenna pattern, method for controlling antenna pattern and wireless access point | -- |
11063375 | Connection instrument | ZEON CORPORATION |
11063376 | Conductor terminal with a clip spring having a spring insert | WAGO VERWALTUNGSGESELLSCHAFT MIT BESCHRAENKTER HAFTUNG |
11063377 | Multi-cable connector with cable piercing terminals | JAPAN AVIATION ELECTRONICS INDUSTRY, LIMITED |
11063378 | Printed circuit board cable clip for signal sensitive applications | WEST AFFUM HOLDINGS CORP. |
11063379 | Electrical cable assembly | FCI USA LLC |
11063380 | Universal power input assembly | DELTA ELECTRONICS (SHANGHAI) CO., LTD. |
11063381 | Electric connector | JAPAN AVIATION ELECTRONICS INDUSTRY, LIMITED |
11063382 | Waterproof and explosion-proof circuit board and electronic valve actuator for flow control applications | FLOWSERVE MANAGEMENT COMPANY |
11063383 | Receptacle connector | LS MTRON LTD. |
11063384 | Connector | -- |
11063385 | Power interface, mobile terminal, and power adapter | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
11063386 | Connector with contact removably attached to an insulator | JAPAN AVIATION ELECTRONICS INDUSTRY, LIMITED |
11063387 | Connector having a sealing member interposed between the connector support member and housing | YAZAKI CORPORATION |
11063388 | Method for sealing a joining region of an electrical connection assembly, and electrical connection assembly | LISA DRAXLMAIER GMBH |
11063389 | Connector structure and display panel device having connector structure | -- |
11063390 | Connector and power supply circuit cut-off device | YAZAKI CORPORATION |
11063391 | Circuit card assemblies for a communication system | TE CONNECTIVITY SERVICES GMBH |
11063392 | Electronic device housing that permits removal of damaged plug from jack within the housing | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11063393 | Electrical plug connector and wiring device with keying features | HUBBELL INCORPORATED |
11063394 | Electrical connector | -- |
11063395 | Signal protection device and cable connector | -- |
11063396 | Wall-mounted multifunctional electrical receptacle | SHD SMART HOME DEVICES LTD. |
11063397 | Flush ring spacer for electrical receptacles | -- |
11063398 | Hub | C-SMARTLINK INFORMATION TECHNOLOGY CO., LIMITED |
11063399 | Powered tree construction | POLYGROUP MACAU LIMITED (BVI) |
11063400 | Motor | MINEBEA MITSUMI INC. |
11063401 | Device for mechanical connection and for optical and/or electrical and/or fluid transmission between a first element and a second element | -- |
11063402 | Adiabatic dispersion-managed frequency comb generation | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
11063403 | Solid-state laser device | MITSUBISHI HEAVY INDUSTRIES, LTD. |
11063404 | Bidirectionally emitting semiconductor laser devices | NLIGHT, INC. |
11063405 | Optical signal transmission apparatus including temperature-independent wavelength tunable laser, and wavelength tuning method using the same | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
11063406 | Configuration for low-ripple optical gain with single-facet semiconductor optical amplifiers | ACACIA COMMUNICATIONS, INC. |
11063407 | Addressable vertical cavity surface emitting laser array for generating structured light patterns | FACEBOOK TECHNOLOGIES, LLC |
11063408 | Laser emitting unit and lidar device using the same | SOS LAB CO., LTD. |
11063409 | Gas arrester | TDK ELECTRONICS AG |
11063410 | Switchgear | MITSUBISHI ELECTRIC CORPORATION |
11063411 | Floor power distribution system | STEELCASE INC. |
11063412 | Corrugated tube and wire harness | YAZAKI CORPORATION |
11063413 | Housing, electric connection box and wire harness | YAZAKI CORPORATION |
11063418 | Systems and methods for overcurrent protection for wireless power receivers | VERILY LIFE SCIENCES LLC |
11063420 | Overload protection device and method, storage medium, compressor and electric appliance | GREE ELECTRIC APPLIANCE (WUHAN) CO., LTD. |
11063421 | Integrated coolant channels for component cooling in electric mobile applications | EATON INTELLIGENT POWER LIMITED |
11063438 | Power control apparatus and power control method | SONY CORPORATION |
11063463 | Wearable device | SAMSUNG ELECTRONICS CO., LTD. |
11063467 | Power delivery monitor and control with an uninterruptible power supply | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11063471 | Battery modules having detection connectors and related systems | EATON INTELLIGENT POWER LIMITED |
11063475 | Power transfer and harvesting system having anchor-shaped antennas | THE FLORIDA INTERNATIONAL UNIVERSITY BOARD OF TRUSTEES |
11063476 | Microstrip antennas for wireless power transmitters | ENERGOUS CORPORATION |
11063478 | Wirelessly distributed and multi-directional power transfer systems and related methods | THE BOARD OF TRUSTEES OF THE UNIVERSITY OF ALABAMA |
11063492 | High force rotary actuator | QM POWER, INC. |
11063495 | Heatsink clamp for multiple electronic components | NIDEC MOTOR CORPORATION |
11063504 | Multi-degree-of-freedom electromagnetic machine including planar coils | HONEYWELL INTERNATIONAL INC. |
11063570 | Integrated isolator circuit in a time division duplex transceiver | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11063625 | Steerable antenna device | -- |
11063631 | Smart shelf power and data transmission system | LOZIER CORPORATION |
11063633 | Guided wave transmission device with diversity and methods for use therewith | AT&T INTELLECTUAL PROPERTY I, L.P. |
11063647 | Alignment means for directive antennas | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11063656 | N-way polarization diversity for wireless access networks | STARRY, INC. |
11063669 | Dual-rate DML device and module having built-in signal calibration circuit, and signal calibration method | ACCELINK TECHNOLOGIES CO., LTD. |
11063677 | Methods and apparatuses for speed and/or position sensing | INFINEON TECHNOLOGIES AG |
11063774 | Virtualized chassis with power-over-ethernet for networking applications | CISCO TECHNOLOGY, INC. |
11064061 | Mobile terminal shell for enhancing antenna slot mechanical properties and appearance | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
11064246 | RF system for distribution of over the air content for in-building applications | ETHERTRONICS, INC. |
11064273 | Device enclosure with integrated speaker housing | GOOGLE LLC |
11064275 | Fixing device and an electronic device with the fixing device | -- |
11064276 | Panel bottom member and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11064298 | Display apparatus | LG DISPLAY CO., LTD. |
11064505 | Antenna tuning devices and antenna tuning methods | SAMSUNG ELECTRONICS CO., LTD. |
11064565 | Systems and methods for personifying interactive displays used in hotel guest rooms | ATOM, INC. |
11064576 | Induction heating and wireless power transferring device having improved resonant current detection accuracy | LG ELECTRONICS INC. |
11064598 | Static eliminator and static eliminating method | SCREEN HOLDINGS CO., LTD. |
11064599 | Vacuum oil purification system | MEDICAL IMAGING SOLUTIONS USA, LLC |
11064600 | Apparatus and system configured to correct a cathode current and a voltage between a cathode and an anode for generating X-rays | KONINKLIJKE PHILIPS N.V. |
11064602 | Circuit board interconnect system and method for an array antenna | ROCKWELL COLLINS, INC. |
11064606 | Multilayer substrate and electric element | MURATA MANUFACTURING CO., LTD. |
11064608 | Display device | LG DISPLAY CO., LTD. |
11064609 | Printable 3D electronic structure | X DISPLAY COMPANY TECHNOLOGY LIMITED |
11064610 | Laminated magnetic core inductor with insulating and interface layers | FERRIC INC. |
11064612 | Buried electrical debug access port | INTEL CORPORATION |
11064613 | Electronics encapsulation through hotmelt lamination | FLEX LTD. |
11064614 | Carrier | TYCO ELECTRONICS (SHANGHAI) CO. LTD. |
11064616 | Method of implementing stub-less PCB vias | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11064617 | Switch device for vehicle | TOYO DENSO CO., LTD. |
11064618 | Electric device and housing structure thereof | -- |
11064619 | Electrical junction box | SUMITOMO WIRING SYSTEMS, LTD. |
11064620 | Cover window for display device and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11064621 | Security covers for audio/video recording and communication devices | AMAZON TECHNOLOGIES, INC. |
11064622 | Display screen and display apparatus | HEFEI BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11064623 | Slidable assembly with spring clip retainer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11064624 | Fixing device | INVENTEC (PUDONG) TECHNOLOGY CORPORATION |
11064625 | Interface circuit, substrate, electronic device, and programmable controller | MITSUBISHI ELECTRIC CORPORATION |
11064626 | Densely packed electronic systems | MOUNTAIN VIEW |
11064627 | Modular adjustable computing rack | WESTERN DIGITAL TECHNOLOGIES, INC. |
11064628 | Rack adapted for receiving a component and system including the rack and the component | OVH |
11064629 | Device casing with detachable bracket | INVENTEC (PUDONG) TECHNOLOGY CORPORATION |
11064630 | System for supplying power to at least one power distribution and data hub using a portable battery pack | LAT ENTERPRISES, INC. |
11064631 | Liquid immersion cooling device and information processing apparatus | FUJITSU LIMITED |
11064632 | Heat-sinking improved structure for evaporators | -- |
11064633 | Electrical connector | DONGGUAN LUXSHARE TECHNOLOGIES CO., LTD |
11064634 | Testing methods and apparatuses using simulated servers | TMGCORE, LLC |
11064635 | Protocol for communication between a plurality of liquid cooling modules for computer servers | BULL SAS |
11064636 | EMI shields including electrically-conductive foam | LAIRD TECHNOLOGIES, INC. |
11064637 | Systems and methods for magnetic shielding for a superconducting computing system | D-WAVE SYSTEMS INC. |
11064638 | Component supply device, surface-mounting machine, and component supply method | YAMAHA HATSUDOKI KABUSHIKL KAISHA |
11064639 | Operation machine to control holding head | FUJI CORPORATION |
11064640 | Articulating surface mount technology (SMT) feeder arm | SCHWEITZER ENGINEERING LABORATORIES, INC. |
11064641 | Component mounting machine | FUJI CORPORATION |
11064804 | Image sound device having display portion that can appear/disappear | SAMSUNG ELECTRONICS CO., LTD. |
11064807 | Slide rail assembly | -- |
11064808 | Rack system | -- |
11064846 | Liquid dispensing units | SIMPLEHUMAN, LLC |
11064945 | Determining food identities with intra-oral spectrometer devices | AT&T INTELLECTUAL PROPERTY I, L.P. |
11065437 | Cardiac support systems and methods for chronic use | CORVION, INC. |
11065619 | Cassettes with offset vias | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11065650 | Nozzle cleaning device and nozzle drying method | FUJI CORPORATION |
11065663 | Device and method for shaping workpieces by means of magnetic pulse forming | BAYERISCHE MOTOREN WERKE AKTIENGESELLSCHAFT |
11065727 | System for assembling a cartridge for a smoking article and associated method | RAI STRATEGIC HOLDINGS, INC. |
11065770 | Operating device for a manipulator | KUKA DEUTSCHLAND GMBH |
11065810 | Additive manufacturing system and method | SEURAT TECHNOLOGIES, INC. |
11065866 | Apparatuses for producing optical effect layers | SICPA HOLDING SA |
11065903 | Gravure printing plate, gravure printing method, and manufacturing method for electronic component | MURATA MANUFACTURING CO., LTD. |
11065921 | Tire-mounted sensor having vibration transmission member to transmit vibration added to tire with respect to vibration detection element | DENSO CORPORATION |
11065960 | Curved vehicle displays | CORNING INCORPORATED |
11065968 | Integrated multi-source IPT system | AUCKLAND UNISERVICES LIMITED |
11065971 | Charging connection module for a vehicle | BAYERISCHE MOTOREN WERKE AKTIENGESELLSCHAFT |
11066012 | Lighting device | MITSUBA CORPORATION |
11066024 | Grommet assembly | YAZAKI CORPORATION |
11066091 | Operating apparatus comprising rocker element for operating at least one electrical appliance, motor vehicle component comprising an operating apparatus, motor vehicle and method for operating an operating apparatus | VALEO SCHALTER UND SENSOREN GMBH |
11066306 | Diatomaceous energy storage devices | PRINTED ENERGY PTY LTD |
11066327 | Vacuum compatible electrical insulator | GENERAL FUSION INC. |
11066332 | Dielectric ceramic composition and ceramic electronic component | SHOEI CHEMICAL INC. |
11066333 | Ceramic composite materials, articles, and methods | THE FLORIDA STATE UNIVERSITY RESEARCH FOUNDATION, INC. |
11066351 | Organic compound, three-dimensional organic framework formed by using organic compound, separation sieve and optical layer, which comprise organic framework, and optical device comprising optical layer as optical amplification layer | IUCF-HYU (INDUSTRY-UNIVERSITY COOPERATION FOUNDATION HANYANG UNIVERSITY) |
11066431 | Complex and method for producing same | KYOTO UNIVERSITY |
11066449 | Microbial nanowires with increased conductivity and reduced diameters | UNIVERSITY OF MASSACHUSETTS |
11066509 | Conductive paste composition, device comprising electrode formed from same, and method for producing conductive paste composition | KANEKA CORPORATION |
11066577 | Electrically conductive adhesive film and dicing-die bonding film using the same | FURUKAWA ELECTRIC CO., LTD. |
11066722 | Method of producing grain-oriented electrical steel sheet | JFE STEEL CORPORATION |
11066731 | Electric contact and vacuum interrupter using same | MITSUBISHI ELECTRIC CORPORATION |
11066743 | Selective atomic layer deposition of ruthenium | APPLIED MATERIALS, INC. |
11066856 | Sealed tailgate handle assembly | TYCO ELECTRONICS BRASIL LTDA |
11067045 | Fuel injection device | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
11067113 | Screw insert and tool for use with screw insert | CERRO WIRE LLC |
11067182 | Valve for controlled shuttle of liquid into microtiter plates and mixing | ELEMENTAL SCIENTIFIC, INC. |
11067239 | Lighting device simulating light effect of candle flame | POWER BEAUTY INDUSTRIAL CO., LTD. |
11067260 | Lighting systems incorporating connections for signal and power transmission | COOLEDGE LIGHTING INC. |
11067276 | Igniter seal arrangement for a combustion chamber | ROLLS-ROYCE PLC |
11067369 | RF attenuating switch for use with explosives and method of using the same | SCHLUMBERGER TECHNOLOGY CORPORATION |
11067391 | Charged particle beam device and sample thickness measurement method | HITACHI HIGH-TECH CORPORATION |
11067425 | Wiring interface for fluid sensors | SCULLY SIGNAL COMPANY |
11067427 | Antenna device and method for operating an antenna device | VEGA GRIESHABER KG |
11067428 | Radar fill level measurement device comprising a high-frequency amplifier | VEGA GRIESHABER KG |
11067455 | Real-time temperature measurement for electrical conductors | 3M INNOVATIVE PROPERTIES COMPANY |
11067458 | Distributed sensing of electromagnetic components using optical fiber based methods | CARNEGIE MELLON UNIVERSITY |
11067465 | Pressure sensor assemblies with antenna arrays | THE BOEING COMPANY |
11067486 | Electron microscopy | UNITED KINGDOM RESEARCH AND INNOVATION |
11067503 | Lens assembly, terahertz wave tomography system and method, and terahertz wave filter | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11067538 | Systems and methods for effective gap filtering and atmospheric pressure RF heating of ions | DH TECHNOLOGIES DEVELOPMENT PTE. LTD. |
11067583 | Methods of making active antibodies from biological fluids | UNIVERSITY OF MARYLAND, BALTIMORE |
11067602 | Electrical connecting apparatus | KABUSHIKI KAISHA NIHON MICRONICS |
11067603 | Connector having contact members | GITECH INC. |
11067648 | Magnetic sensor and method of manufacturing the same | TDK CORPORATION |
11067665 | Aircraft radar assembly | BAE SYSTEMS PIC |
11067670 | Heterogeneously integrated chip-scale lidar system | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
11067679 | Narrow-band radar device and operation method thereof | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
11067700 | Removably attachable GPS module for a portable locator | MERLIN TECHNOLOGY, INC. |
11067761 | Optical receptacle and optical module | ENPLAS CORPORATION |
11067792 | Two-dimensional optical scanning mirror device, manufacturing method for same, two-dimensional optical scanner and image projector | NATIONAL UNIVERSITY CORPORATION UNIVERSITY OF FUKUI |
11067796 | Information display system for a vehicle | MAGNA ELECTRONICS INC. |
11067925 | Heater member for the fuser assembly of an electrophotographic imaging device | LEXMARK INTERNATIONAL, INC. |
11068022 | Display stand and display device comprising same | SAMSUNG ELECTRONICS CO., LTD. |
11068027 | Dynamic scalable attachment mechanism for client devices | INTEL CORPORATION |
11068059 | Haptic interface for user input device | APPLE INC. |
11068084 | Input device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11068680 | Latch and hinge systems for base stations and handheld devices | COGNEX CORPORATION |
11068770 | Connection bridges for dual interface transponder chip modules | FEINICS AMATECH TEORANTA LOWER CHURCHFIELD |
11069156 | System and method for estimating remaining useful life of pressure compensator | ABB SCHWEIZ AG |
11069202 | Product registration device and POS apparatus | NEC CORPORATION |
11069264 | Cover structure, manufacturing method thereof and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11069265 | Foldable electronic device including antenna | SAMSUNG ELECTRONICS CO., LTD. |
11069267 | Display device and method for checking display device | JOLED INC. |
11069273 | Display device for selectively outputting black data voltage in partial area and electronic device comprising display | SAMSUNG ELECTRONICS CO., LTD. |
11069382 | Tool-free hard disk bracket | -- |
11069383 | Thermal interface materials for immersion cooled data storage devices | SEAGATE TECHNOLOGY LLC |
11069390 | Spin-orbit torque magnetoresistive random access memory with magnetic field-free current-induced perpendicular magnetization reversal | WISCONSIN ALUMNI RESEARCH FOUNDATION |
11069455 | Composition for producing an electrically conductive layer, in particular for an electroluminescence device | INOVISCOAT GMBH |
11069456 | Silent conductor | LAMIFIL |
11069457 | Wire harness that enables a wiring path to be easily changed | SUMITOMO WIRING SYSTEMS, LTD. |
11069458 | Electrical cable | TE CONNECTIVITY SERVICES GMBH |
11069459 | Covered electrical wire and terminal-equipped electrical wire | AUTONETWORKS TECHNOLOGIES, LTD. |
11069460 | Fire resistant cable with dual insulation layer arrangement | PRYSMIAN S.P.A. |
11069461 | Automated assembly sensor cable | MASIMO CORPORATION |
11069462 | Automated wire processing system and methods | THE BOEING COMPANY |
11069463 | Hybrid wound-rotor motor and generator with induction feed and persistent current | THE BOEING COMPANY |
11069464 | Method and assembly for producing a magnet | WISK AERO LLC |
11069465 | Magnetic mounting apparatus for breakaway mounting of hardware to an object | THE GOVERNMENT OF THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF HOMELAND SECURITY |
11069466 | Vibration actuator and vibration providing apparatus | MITSUMI ELECTRIC CO., LTD. |
11069467 | Solenoid device | NIDEC TOSOK CORPORATION |
11069468 | Common mode choke coil and manufacturing method therefor | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11069469 | Coil electronic component and method of manufacturing the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11069470 | System, method and apparatus for transformer cooling | -- |
11069471 | Reactor | AUTONETWORKS TECHNOLOGIES, LTD. |
11069472 | Coil pattern, method for forming same, and chip device including same | MODA-INNOCHIPS CO., LTD. |
11069473 | Inductor | MURATA MANUFACTURING CO., LTD. |
11069474 | Inductor | MURATA MANUFACTURING CO., LTD. |
11069475 | Compact isolated inductors | PSEMI CORPORATION |
11069476 | Self-contained device with planar overlapping coils | VAYYAR IMAGING LTD. |
11069477 | Coil unit, wireless power transmission device, wireless power receiving device, and wireless power transmission system | TDK CORPORATION |
11069478 | Laminate of ceramic layer and sintered body of copper powder paste | JX NIPPON MINING & METALS CORPORATION |
11069479 | Multilayer capacitor | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11069480 | Multi-layer ceramic electronic component and method of producing the same | TAIYO YUDEN CO., LTD. |
11069481 | Multilayer ceramic electronic component and board for mounting of the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11069482 | Capacitive element | MURATA MANUFACTURING CO., LTD. |
11069483 | Thin film capacitor | TDK CORPORATION |
11069484 | Module containing hermetically sealed capacitors | AVX CORPORATION |
11069485 | Photoelectric conversion element | RICOH COMPANY, LTD. |
11069487 | Methods, devices and systems for activated carbon supercapacitors with macroporous electrodes | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
11069488 | Hybrid energy storage device | SYSTEMATIC POWER SOLUTIONS, LLC |
11069489 | Multifunctional switch manipulator | HYUNDAI MOTOR COMPANY |
11069490 | Self-adjusting frame for mounting over a wall-mounted electrical device | LUTRON TECHNOLOGY COMPANY, LLC |
11069491 | Key structure | SILITEK ELECTRONICS (DONGGUAN) CO., LTD |
11069492 | Rotary handle construction of an electrical switch | ABB SCHWEIZ AG |
11069493 | Control device base that attaches to the paddle actuator of a mechanical switch | LUTRON TECHNOLOGY COMPANY |
11069494 | Switchgear | MITSUBISHI ELECTRIC CORPORATION |
11069495 | Vacuum switching apparatus and drive mechanism therefor | EATON INTELLIGENT POWER LIMITED |
11069496 | Magnetic switch | MAGNASPHERE CORPORATION |
11069497 | Temperature switch and insulating case for temperature switch | UCHIYA THERMOSTAT CO., LTD. |
11069498 | Direct current electric circuit interrupting switch assembly with an actuator | NELA RAZVOJNI CENTER ZA ELEKTROINDUSTRIJO IN ELEKTRONIKO, D.O.O. |
11069499 | Power-saving circuit for contactor | MORNSUN GUANGZHOU SCIENCE & TECHNOLOGY CO., LTD. |
11069500 | System and method for preventing chatter on contacts | LEACH INTERNATIONAL CORPORATION |
11069501 | Miniature super surface mount fuse and manufacturing method thereof | AEM COMPONENTS (SUZHOU) CO., LTD. |
11069502 | DC circuit breaker | MITSUBISHI ELECTRIC CORPORATION |
11069503 | Electron generating apparatus and ionization gauge | CANON ANELVA CORPORATION |
11069504 | Creating ion energy distribution functions (IEDF) | APPLIED MATERIALS, INC. |
11069505 | Aberration corrector and electron microscope | HITACHI HIGH-TECH CORPORATION |
11069506 | Driver of sample holder for electron microscope and stage comprising same | KOREA BASIC SCIENCE INSTITUTE |
11069507 | Radio-frequency (RF) transmission systems, devices, and methods for in situ transmission electron microscopy | UNIVERSITY OF MARYLAND, COLLEGE PARK |
11069508 | Method of imaging a 3D sample with a multi-beam particle microscope | CARL ZEISS MULTISEM GMBH |
11069509 | Method and system for backside planar view lamella preparation | FEI COMPANY |
11069510 | Substrate processing apparatus | ASM IP HOLDING B.V. |
11069511 | System and methods using an inline surface engineering source | VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC. |
11069512 | Film forming apparatus and gas injection member used therefor | TOKYO ELECTRON LIMITED |
11069513 | Charged particle beam apparatus | KIOXIA CORPORATION |
11069514 | Remote capacitively coupled plasma source with improved ion blocker | APPLIED MATERIALS, INC. |
11069515 | Pulsed power module with pulse and ion flux control for magnetron sputtering | STARFIRE INDUSTRIES LLC |
11069516 | Electro static linear ion trap mass spectrometer | DH TECHNOLOGIES DEVELOPMENT PTE. LTD. |
11069517 | Physical isolation of adducts and other complicating factors in precursor ion selection for IDA | DH TECHNOLOGIES DEVELOPMENT PTE. LTD. |
11069518 | Multilayer insulation for mass spectrometry applications | THERMO FINNIGAN LLC |
11069519 | Amplifier amplitude control for a mass spectrometer | THERMO FINNIGAN LLC |
11069523 | Method of material deposition | FEI COMPANY |
11069545 | Substrate processing apparatus, temperature control method, and temperature control program | TOKYO ELECTRON LIMITED |
11069554 | Carbon nanotube electrostatic chuck | APPLIED MATERIALS, INC. |
11069571 | High speed, high density, low power die interconnect system | GULA CONSULTING LIMITED LIABILITY COMPANY |
11069609 | Techniques for forming vias and other interconnects for integrated circuit structures | INTEL CORPORATION |
11069615 | Inductor, filter, and multiplexer | TAIYO YUDEN CO., LTD. |
11069633 | Electronic package | -- |
11069667 | Wafer level proximity sensor | STMICROELECTRONICS PTE LTD |
11069747 | Display device and electronic device having multiple overlapping display panels | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11069848 | Methods for fabrication, manufacture and production of an autonomous electrical power source | FACE INTERNATIONAL CORPORATION |
11069850 | Magnetic memory device and manufacturing method of the same | TOSHIBA MEMORY CORPORATION |
11069862 | Material for organic electroluminescence device and organic electroluminescence device using the same | IDEMITSU KOSAN CO., LTD. |
11069893 | Sulfur-based active material | SUMITOMO RUBBER INDUSTRIES, LTD. |
11069894 | Crosslinked polymer binders for electrochemical energy storage devices | THE UNIVERSITY OF AKRON |
11069944 | Rolled-up energy storage elements and method for the production thereof | LEIBNIZ-INSTITUT FUER FESTKOERPER-UND WERKSTOFFFORSCHUNG DRESDEN E.V. |
11069948 | Surface integrated waveguide including top and bottom conductive layers having at least one slot with a specific contour | THE ANTENNA COMPANY INTERNATIONAL N.V. |
11069949 | Hollow-waveguide-to-planar-waveguide transition circuit comprising a coupling conductor disposed over slots in a ground conductor | MITSUBISHI ELECTRIC CORPORATION |
11069950 | Divider/combiner-based four-port transmission line networks | WERLATONE, INC. |
11069951 | Furlable antenna blade devices, systems, and methods | ROCCOR, LLC |
11069952 | Electronics card insitu testing apparatus and method utilizing unintended RF emission features | NOKOMIS, INC. |
11069953 | Electrically small antenna | THE BOEING COMPANY |
11069954 | Chip antenna | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11069955 | Antenna of mobile terminal and mobile terminal | HUAWEI TECHNOLOGIES CO., LTD. |
11069956 | Electronic device including 5G antenna module | SAMSUNG ELECTRONICS CO., LTD. |
11069958 | Method for receiving satellite signal by adjusting resonant frequency according to medium outside electronic device and electronic device supporting same | SAMSUNG ELECTRONICS CO., LTD. |
11069959 | Monolithic radiating elements and feedboard assemblies for base station antennas formed via laser direct structuring and other selective metallization techniques | COMMSCOPE TECHNOLOGIES LLC |
11069960 | Multiband base station antennas having improved gain and/or interband isolation | COMMSCOPE TECHNOLOGIES LLC |
11069961 | Antenna device having an antenna element coupled at a notch of a ground conductor thereof | YOKOWO CO., LTD. |
11069962 | Antenna assembly for portable radio | MOTOROLA SOLUTIONS, INC. |
11069963 | Radio frequency (RF) antenna containing element and methods of making the same | AVERY DENNSON CORPORATION |
11069964 | Transparent film antenna | DONGWOO FINE-CHEM CO., LTD. |
11069965 | Low-profile broadband circularly-polarized array antenna using stacked traveling wave antenna elements | SOUTHEAST UNIVERSITY |
11069966 | Wire embedding head for forming antenna cable comprising multiple wires | -- |
11069967 | Satellite dish cover apparatus | -- |
11069968 | Electronic device including multiband antenna | SAMSUNG ELECTRONICS CO., LTD. |
11069969 | Antenna assembly and electronic device | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11069970 | Multi-radio access technology antenna assembly and related front-end package | QORVO US, INC. |
11069971 | Techniques for conductive particle based material used for at least one of propagation, emission and absorption of electromagnetic radiation | NCAP LICENSING, LLC |
11069972 | Rotating controlling method for an antenna | AMBIT MICROSYSTEMS (SHANGHAI) LTD. |
11069973 | Mechanically steered antenna with improved efficiency | AMAZON TECHNOLOGIES, INC. |
11069974 | Optically fed antenna and optically fed antenna array | PHASE SENSITIVE INNOVATIONS, INC. |
11069975 | Aimable beam antenna system | PIVOTAL COMMWARE, INC. |
11069976 | Phased-array antenna and control method of the same | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
11069977 | Liquid crystal alignment agent, liquid crystal panel, and scanning antenna | SHARP KABUSHIKI KAISHA |
11069978 | Method of manufacturing a radio-frequency module with a conformal shield antenna | SKYWORKS SOLUTIONS, INC. |
11069979 | Vertically polarized omnidirectional antenna and dual-polarization omnidirectional antenna thereof | SHENZHEN ANTOP TECHNOLOGY LIMITED |
11069980 | Layered waveguide system and method of forming a waveguide | TOYOTA MOTOR EUROPE |
11069981 | Radiating cable and method of manufacturing a radiating cable with an inner and outer conductor, each having openings | NOKIA SHANGHAI BELL CO., LTD. |
11069982 | Anechoic chamber and method of calibrating a radar system | HONDA MOTOR CO., LTD. |
11069983 | Modified Z-type hexagonal ferrite materials with enhanced resonant frequency | SKYWORKS SOLUTIONS, INC. |
11069984 | Substrate-loaded frequency-scaled ultra-wide spectrum element | THE MITRE CORPORATION |
11069985 | Multiple layer printed circuit board that includes multiple antennas and supports satellite communications | SKYLO TECHNOLOGIES, INC. |
11069986 | Omni-directional orthogonally-polarized antenna system for MIMO applications | AIRSPAN IP HOLDCO LLC |
11069987 | Radar device | DENSO TEN LIMITED |
11069988 | Diverse integration module system of millimeter-wave and non-millimeter-wave antennas and electronic apparatus | -- |
11069989 | Method and apparatus for multi-mode multi-level transmitter | HUAWEI TECHNOLOGIES CO., LTD. |
11069990 | Pin adapter type cable connectors | HUBBELL INCORPORATED |
11069991 | Joint between copper terminal and aluminum wire, and magnetic induction welding method therefor | JILIN ZHONG YING HIGH TECHNOLOGY CO., LTD. |
11069992 | Connector part comprising a circuit board | PHOENIX CONTACT E-MOBILITY GMBH |
11069993 | Mounting structure of connector shield | DENSO TEN LIMITED |
11069994 | Electrical cable assembly, method and apparatus for making same and electrical terminal for same | APTIV TECHNOLOGIES LIMITED |
11069995 | Single self-insulating contact for wet electrical connector | NORTHROP GRUMMAN SYSTEMS CORPORATION |
11069996 | Contact pin for plug connector having retaining elements | TE CONNECTIVITY GERMANY GMBH |
11069997 | High-power electrical feeder connector | GLENAIR, INC. |
11069998 | Electrical connector | -- |
11069999 | Electrical terminal assembly with connection retainer | LEAR CORPORATION |
11070000 | Magnetic power connection | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11070001 | Connector formation structure, electronic control apparatus and production method for connector formation structure | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
11070002 | Connector with guiding portion, and shell and insulating body of the same | -- |
11070003 | Connector with cable cover | YAZAKI CORPORATION |
11070004 | Magnetic connector assembly | -- |
11070005 | Multistage capacitive crosstalk compensation arrangement | COMMSCOPE TECHNOLOGIES LLC |
11070006 | Connector for low loss interconnection system | AMPHENOL CORPORATION |
11070007 | System configured to position a tip of a cable | THE BOEING COMPANY |
11070008 | Sensor with circuit protection module | MEASUREMENT SPECIALTIES (CHINA) LTD. |
11070009 | Junction connector assembly integrated with fuse | HYUNDAI MOTOR COMPANY |
11070010 | Overheating destructive disconnecting method for switch | GREEN IDEA TECH INC. |
11070011 | Remotely configurable connector | SMITHS INTERCONNECT AMERICAS, INC. |
11070012 | Electrical outlet cover provided with electric wire organizer | SPREEO |
11070013 | Over-molded coaxial connector assembly | TE CONNECTIVITY CORPORATION |
11070014 | High-density switch up to 40 high-density jacks | HD NETWORKS, LLC |
11070015 | High-density non split cable | HD NETWORKS, LLC |
11070016 | High-density port converter | HD NETWORKS, LLC |
11070017 | Central shaft power connector for lighted ornaments | SEASONAL SPECIALTIES, LLC |
11070018 | Crimping tool | -- |
11070019 | System for processing an end of a cable | THE BOEING COMPANY |
11070020 | Sinusoidal phase modulation of mode-locked lasers | THORLABS, INC. |
11070021 | Fiber laser device | FUJIKURA LTD. |
11070022 | Sector-shaped closely-packed laser | BWT BEIJING LTD. |
11070023 | Diamond-coated composite heat sinks for high-power laser systems | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11070024 | Semiconductor laser device | SHARP KABUSHIKI KAISHA |
11070025 | Semiconductor radiation source | OSRAM OLED GMBH |
11070026 | High current nanosecond laser driver circuit with wide pulse-width adjustment range | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11070027 | Variable wavelength light source and method for controlling wavelength switching of variable wavelength light source | MITSUBISHI ELECTRIC CORPORATION |
11070028 | Semiconductor light emitting element | NUVOTON TECHNOLOGY CORPORATION JAPAN |
11070029 | Method of forming an electro-optical device with lateral current injection regions | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11070030 | Waveguide heterostructure for dispersion compensation in semiconductor laser | ZüRICH |
11070031 | Low voltage laser diodes on {20-21} gallium and nitrogen containing surfaces | KYOCERA SLD LASER, INC. |
11070032 | Laser assembly with spectral beam combining | DAYLIGHT SOLUTIONS, INC. |
11070033 | Optical amplifier | NOKIA SOLUTIONS & NETWORKS OY |
11070034 | Method for controlling an ionic wind generator with an AC power source and a DC power source | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11070035 | Modular electro-magnetic connections and applications thereof | ECCO DESIGN, INC. |
11070036 | Multl-phase layered busbar for conducting electric energy wherein the layers are glued together, method of manufactoring the same and switchboard cabinet including such a busbar | ABB SCHWEIZ AG |
11070038 | Cabinet system | REV-A-SHELF COMPANY, LLC |
11070040 | Quick connect electrical junction box | VELOX TECHNOLOGY CORPORATION |
11070043 | Cable splice sleeve assemblies | POWER FEED THRU SYSTEMS AND CONNECTORS LLC |
11070049 | System, method, and apparatus for power distribution in an electric mobile application using a combined breaker and relay | EATON INTELLIGENT POWER LIMITED |
11070053 | Fast fault current limiter | KOOLBRIDGE SOLAR, INC. |
11070057 | Method for starting an energy generation network | WOBBEN PROPERTIES GMBH |
11070069 | Device and method for power management of a type-C charger | MOTOROLA SOLUTIONS, INC. |
11070075 | Electronic device including non-contact charging module and battery | SOVEREIGN PEAK VENTURES, LLC |
11070076 | Charging method, charging system, and power adapter | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
11070083 | Inductive power transfer unit, a system for inductive power transfer and a method of communicating | BOMBARDIER PRIMOVE GMBH |
11070084 | Vehicle | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11070085 | Methods and apparatus for regulating a magnetic flux in an inductive power supply | AT&T INTELLECTUAL PROPERTY I, L.P. |
11070090 | Resonance-type contactless power supply, integrated circuit and constant voltage controlling method therefor | SILERGY SEMICONDUCTOR TECHNOLOGY (HANGZHOU) LTD |
11070098 | Wireless charger with adaptive alignment assistance | GOOGLE LLC |
11070106 | Electric oil pump | NIDEC TOSOK CORPORATION |
11070122 | Multi-degree-of-freedom electromagnetic machine | HONEYWELL INTERNATIONAL INC. |
11070123 | Energy storage and energy storage device | THE BOEING COMPANY |
11070140 | Low inductance bus assembly and power converter apparatus including the same | EATON INTELLIGENT POWER LIMITED |
11070149 | System for converting electromagnetic radiation to electrical energy using metamaterials | REDWAVE ENERGY, INC. |
11070187 | Multilayer band pass filter | MURATA MANUFACTURING CO., LTD. |
11070199 | Detecting peak laser pulses using control signal timings | ALCON INC. |
11070244 | Integrated RF front end with stacked transistor switch | PSEMI CORPORATION |
11070278 | Antenna apparatus, control method of antenna apparatus, vehicle having the antenna apparatus | HYUNDAI MOTOR COMPANY |
11070282 | Flexible capacity satellite constellation | VIASAT, INC. |
11070284 | Transmitting station, control circuit, and storage medium | MITSUBISHI ELECTRIC CORPORATION |
11070287 | Method and apparatus for establishing transmission impairment decomposition model for Raman amplified system and system | FUJITSU LIMITED |
11070300 | Test probes for phased antenna arrays | APPLE INC. |
11070305 | Optical relay, optical relay control method, and optical transmission system | NEC CORPORATION |
11070306 | Method of transmitting data and transmission system | OSRAM OLED GMBH |
11070307 | Circular patch array for anti-jam GPS | BAE SYSTEMS INFORMATION AND ELECTRONIC SYSTEMS INTEGRATION INC. |
11070919 | Active loudspeaker and cable assembly | BOSE CORPORATION |
11070940 | Geofenced mesh network communication | TITAN HEALTH & SECURITY TECHNOLOGIES, INC. |
11070942 | Angle of arrival (AOA) positioning method and system for positional finding and tracking objects using reduced attenuation RF technology | POLTE CORPORATION |
11070987 | Dynamic antenna array rotation | SPRINT COMMUNICATIONS COMPANY L.P. |
11071188 | Intelligent lighting control system air gap apparatuses, systems, and methods | RACEPOINT ENERGY, LLC |
11071193 | Device for producing a non-thermal atmospheric pressure plasma and active space comprising such a device | RELYON PLASMA GMBH |
11071194 | Longitudinally joined superconducting resonating cavities | FERMI RESEARCH ALLIANCE, LLC |
11071195 | Heatsink and stiffener mount with integrated alignment | GOOGLE LLC |
11071198 | Highly integrated miniature radiometer chip | VU SYSTEMS, LLC |
11071201 | Method and apparatus for terminating an electrical cable to an integrated circuit | SAMTEC, INC. |
11071204 | Parking management system | EXPOSURE ILLUMINATION ARCHITECTS, INC. |
11071209 | Fitting structure for conductive sheet and electronic device | NEC PLATFORMS, LTD. |
11071211 | Electronic component mounting method | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11071212 | Semiconductor device manufacturing method | FUJI ELECTRIC CO., LTD. |
11071215 | Case for portable electronic computing device | PIONEER SQUARE BRANDS, INC. |
11071216 | Mounting system, devices, methods and uses thereof | CORE-ARMS, LLC |
11071217 | Device for fastening a plate | HELLA GMBH & CO. KGAA |
11071218 | Electronic devices having sliding expandable displays | APPLE INC. |
11071219 | Display apparatus and electronic device | LENOVO (BEIJING) CO., LTD. |
11071220 | Feedthrough with flat conductor | SCHOTT AG |
11071221 | Multi-card subsystem for embedded computing systems | GENERAL DYNAMICS MISSION SYSTEMS, INC. |
11071222 | Electronic device including metal material | SAMSUNG ELECTRONICS CO., LTD. |
11071223 | Sealing ring and LED display screen | SHENZHEN GLOSHINE TECHNOLOGY CO., LTD. |
11071224 | Functional panel, method for manufacturing the same, module, data processing device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11071225 | Smart high-voltage relay | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
11071226 | Cable harness | ARISTA NETWORKS, INC. |
11071227 | Accessory rod assembly for a cable manager | CHATSWORTH PRODUCTS, INC. |
11071228 | Computing workstation with accessible in a rack environment | APPLE INC. |
11071229 | Air shroud with an automatically adjustable air baffle for an information handling system | DELL PRODUCTS L.P. |
11071230 | Heat dissipation structure of heat generating component | DENSO TEN LIMITED |
11071231 | Environment control in electronic apparatus | AMSCREEN GROUP LIMITED |
11071232 | Liquid immersion cooling apparatus | FUJITSU LIMITED |
11071233 | Auxiliary-cooled electronics assembly with extruded cooling cavity | BORGWARNER INC. |
11071234 | Helical fin design by additive manufacturing of metal for enhanced heat sink for electronics cooling | BOARD OF TRASTEES OF THE UNIVERSITY OF ARKANSAS |
11071235 | Airflow balancing assembly | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11071236 | Air management system for room containing electrical equipment | CARRIER CORPORATION |
11071237 | Data centre cooling system | BRIPCO BVBA |
11071238 | Fluid cooling system | ICEOTOPE GROUP LIMITED |
11071239 | High power surface mount filter | AVX CORPORATION |
11071240 | Power converting apparatus | HYUNDAI MOTOR COMPANY |
11071241 | Electromagnetic shielding method using graphene and electromagnetic shielding material | GRAPHENE SQUARE INC. |
11071858 | Hermetically sealed filtered feedthrough having platinum sealed directly to the insulator in a via hole | GREATBATCH LTD. |
11071874 | Hybrid plasma device for skin beauty and skin regeneration treatments | SHENB CO., LTD. |
11072114 | Variable print chamber walls for powder bed fusion additive manufacturing | SEURAT TECHNOLOGIES, INC. |
11072241 | Knob device for vehicle | AAC TECHNOLOGIES PTE. LTD. |
11072252 | Charging port cover and/or fuel cap module for a motor vehicle | VOLKSWAGEN AKTIENGESELLSCHAFT |
11072441 | Stackable spacecraft | NORTHROP GRUMMAN SYSTEMS CORPORATION |
11072532 | Method for manufacturing activated carbon for electrode material | TOKAI CARBON KOREA CO., LTD |
11072537 | Ferrite powder, resin composition, and molded article | POWDERTECH CO., LTD. |
11072558 | Glass, glass-ceramic and ceramic articles with protective coatings having hardness and toughness | CORNING INCORPORATED |
11072564 | Dielectric ceramic composition and multilayer ceramic electronic component | TDK CORPORATION |
11072711 | Electrically conductive particles, composition, article and method of manufacturing electrically conductive particles | ECKART GMBH |
11072732 | Magnetizable abrasive particles and abrasive articles including them | 3M INNOVATIVE PROPERTIES COMPANY |
11072842 | Rare earth thin film magnet and method for producing same | JX NIPPON MINING & METALS CORPORATION |
11072850 | Process for coating a conductive component and conductive component coating | UNIVERSIDADE FEDERAL DE SANTA CATARINA |
11072851 | Sputtering target | TANAKA KIKINZOKU KOGYO K.K. |
11072852 | Pre-conditioned chamber components | APPLIED MATERIALS, INC. |
11072859 | Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium | KOKUSAI ELECTRIC CORPORATION |
11072861 | Grain-oriented electrical steel sheet and method for producing grain-oriented electrical steel sheet | NIPPON STEEL CORPORATION |
11072872 | Core shell particle, method of producing core shell particle, and film | FUJIFILM CORPORATION |
11073013 | Electric dipole surface antenna configurations for electromagnetic wellbore instrument telemetry | SCHLUMBERGER TECHNOLOGY CORPORATION |
11073014 | Cased formation parameter data sampling employing an impedance matching directional coupling device | HALLIBURTON ENERGY SERVICES, INC. |
11073072 | Combustion chamber assembly with adapted mixed air holes | ROLLS-ROYCE DEUTSCHLAND LTD & CO KG |
11073146 | System and method for controlling peristaltic pumps | ELEMENTAL SCIENTIFIC, INC. |
11073156 | Ceiling fan system and electronics housing | HUNTER FAN COMPANY |
11073192 | Asymmetrically-shaped isolator | UNITED LAUNCH ALLIANCE, L.L.C. |
11073253 | Light emission device and illumination device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11073264 | Battery clamp structure of detachable lighting lamp | -- |
11073364 | Laser lipc stun gun | -- |
11073430 | High-voltage device featuring temperature measurement, and method for measuring the temperature of a high-voltage device | SIEMENS AKTIENGESELLSCHAFT |
11073440 | Hermetic sealed beam projector module and method for manufacturing the same | NAMUGA, CO., LTD. |
11073453 | Automatic sample preparation apparatus and automatic sample preparation method | HITACHI HIGH-TECH SCIENCE CORPORATION |
11073474 | Device and method for detecting the presence of determined molecules, and biosensor | UNIVERSITE DE MONTPELLIER |
11073536 | ID chip socket for test connector assembly, test connector assembly including ID chip socket, and test equipment set including test connector assembly | ISC CO., LTD. |
11073559 | Electrical system and method for diagnosing the functionality of power relays in an electrical system | VOLKSWAGEN AKTIENGESELLSCHAFT |
11073560 | Automatic transfer switch device health monitoring | CUMMINS POWER GENERATION IP, INC. |
11073573 | Packages for coil actuated position sensors | ALLEGRO MICROSYSTEMS, LLC |
11073575 | Magnetoresistance effect element, magnetic sensor and magnetic memory | TDK CORPORATION |
11073590 | Calibration device | ROSENBERGER HOCHFREQUENZTECHNIK GMBH & CO. KG |
11073600 | Radar sensor | ROBERT BOSCH GMBH |
11073614 | Lidar sensor for detecting an object | ROBERT BOSCH GMBH |
11073623 | Radiation measuring instrument and radiation imaging apparatus | SHIMADZU CORPORATION |
11073647 | Ultra-sparse dielectric resonant polarizers and associated methods | BOARD OF REGENTS, THE UNIVERSITY OF TEXAS SYSTEM |
11073648 | Light guide element and electronic device having the same | -- |
11073656 | Optical coupler and optical amplifier | FURUKAWA ELECTRIC CO., LTD. |
11073666 | Pluggable transceiver retainer | FINISAR CORPORATION |
11073667 | Pluggable transceiver retainer | FINISAR CORPORATION |
11073702 | Camera lens suspension with limiter | HUTCHINSON TECHNOLOGY INCORPORATED |
11073740 | Terahertz wave generation method and terahertz wave generation device | TOPCON CORPORATION |
11073865 | Apparatuses and methods for ergonomically holding handheld electronics | -- |
11073870 | Display device | LG DISPLAY CO., LTD. |
11073872 | Distributed auxiliary hub for a portable electronic device | APPLE INC. |
11073873 | Electronic device interconnect | INTEL CORPORATION |
11073874 | Apparatus and method for controlled ejection of an open compute project module from an information handling system | DELL PRODUCTS L.P. |
11073875 | Air dam for a datacenter facility | COMPASS DATACENTERS, LLC |
11073877 | In-vehicle computing apparatus in intelligent vehicle and intelligent vehicle | HUAWEI TECHNOLOGIES CO., LTD. |
11073878 | Thermal cooling system | INTEL CORPORATION |
11073911 | Providing haptic feedback | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11073912 | Magnetic deformable member | SEKISUI POLYMATECH CO., LTD. |
11073925 | Touch screen electrical box | -- |
11073936 | Interactive poster | NXP B.V. |
11073953 | Transparent electrode member, method of manufacturing the same, and capacitive sensor that uses transparent electrode member | ALPS ALPINE CO., LTD. |
11073958 | Antenna device | SHARP KABUSHIKI KAISHA |
11074212 | Electronic device having type C USB interface, method for controlling type C USB interface, and storage medium | HUAWEI TECHNOLOGIES CO., LTD. |
11074801 | Mobile communication tower | SAFETY SYSTEMS MANAGEMENT LLC |
11074807 | Remote three-way switch | -- |
11074934 | Heat assisted magnetic recording (HAMR) media with Curie temperature reduction layer | WESTERN DIGITAL TECHNOLOGIES, INC. |
11074941 | Hard disk mounting device | ZHENGZHOU YUNHAI INFORMATION TECHNOLOGY CO., LTD. |
11074942 | Storage system | HONGFUJIN PRECISION ELECTRONICS(TIANJIN)CO., LTD. |
11074951 | Magnetic memory device | TOSHIBA MEMORY CORPORATION |
11075020 | Aluminum based composite material, electric wire using the same, and manufacturing method of aluminum based composite material | YAZAKI CORPORATION |
11075021 | Conductive composites | THE BOEING COMPANY |
11075023 | Insulation element with artificial fibres for electrical insulation in the high voltage range | WEIDMANN HOLDING AG |
11075024 | Electric fence insulator | GALLAGHER GROUP LIMITED |
11075025 | Apparatus, system and method for electrical connection | NOKIA TECHNOLOGIES OY |
11075026 | Magnetic conductive NiFe alloys | ARIZONA BOARD OF REGENTS ON BEHALF OF ARIZONA STATE UNIVERSITY |
11075027 | Permanent magnet for generating homogenous and intense magnetic field | -- |
11075028 | Impact actuator with 2-degree of freedom and impact controlling method | KOREA INSTITUTE OF SCIENCE AND TECHNOLOGY |
11075029 | Coil module | MURATA MANUFACTURING CO., LTD. |
11075030 | Inductor array | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11075031 | Inductor and inductor arrangement | WüRTH ELEKTRONIK EISOS GMBH & CO. KG |
11075032 | Power transformers and methods of manufacturing transformers and windings | ASTEC INTERNATIONAL LIMITED |
11075034 | Ceramic electronic device and manufacturing method of the same | TAIYO YUDEN CO., LTD. |
11075035 | Multilayered capacitor | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11075037 | Opening/closing indicating apparatus for circuit breaker operating apparatus | SHANGHAI LIANGXIN ELECTRICAL CO., LTD |
11075038 | Fastening tool having an ergonomic trigger | BLACK & DECKER, INC. |
11075039 | Pole part for medium voltage switchgear | ABB SCHWEIZ AG |
11075040 | Electrical interrupter switching element having passive interruption tripping, in particular for interrupting high currents at high voltages | -- |
11075041 | Magnetically actuated MEMS switch | TDK CORPORATION |
11075042 | Electromagnetic actuating device for a switching element | ZF FRIEDRICHSHAFEN AG |
11075043 | Method for controlling electrical current switchgear, electromagnetic actuator comprising a circuit for implementing this method and electrical switchgear comprising such an actuator | SCHNEIDER ELECTRIC INDUSTRIES SAS |
11075044 | Electromagnetic relay | XIAMEN HONGFA SIGNAL ELECTRONICS CO., LTD. |
11075046 | Safety switch and associated methods | ROCKWELL AUTOMATION TECHNOLOGIES, INC. |
11075047 | Compact high voltage power fuse and methods of manufacture | EATON INTELLIGENT POWER LIMITED |
11075048 | Compact high voltage power fuse and methods of manufacture | EATON INTELLIGENT POWER LIMITED |
11075049 | Thermionic tungsten/scandate cathodes and method of making the same | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
11075050 | Miniature slow-wave transmission line with asymmetrical ground and associated phase shifter systems | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11075051 | Radiation emission device | SHANGHAI UNITED IMAGING HEALTHCARE CO., LTD. |
11075052 | X-ray device and method of applying x-ray radiation | SIEMENS HEALTHCARE GMBH |
11075053 | Charged particle source | ASML NETHERLANDS B.V. |
11075054 | Nanofluidic cell system | -- |
11075055 | Automated multi-grid handling apparatus | HARVARD |
11075056 | Scanning electron microscope objective lens system and method for specimen observation | FOCUS-EBEAM TECHNOLOGY (BEIJING) CO., LTD. |
11075057 | Device for treating an object with plasma | PLASMA-THERM LLC |
11075058 | Spatially variable wafer bias power system | EAGLE HARBOR TECHNOLOGIES, INC. |
11075059 | Deposition apparatus including cleaning gas valve unit and deposition method including the same | SAMSUNG DISPLAY CO., LTD. |
11075060 | Substrate processing apparatus | JUSUNG ENGINEERING CO., LTD. |
11075061 | Device for producing an amorphous carbon layer by electron cyclotron resonance plasma | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11075062 | Vacuum processing apparatus | TOKYO ELECTRON LIMITED |
11075063 | Two dimensional MSMS | MICROMASS UK LIMITED |
11075064 | Amplification system for continuously adjusting amplification gain of a high frequency weak signal for mass spectrometers | SHANGHAI YUDA INDUSTRIAL CO., LTD. |
11075065 | Sample plate, method of fabricating the same and mass spectrometer analysis by using the same | UNIVERSITY-INDUSTRY FOUNDATION (UIF), YONSEI UNIVERSITY |
11075066 | Automated detection of nanoparticles using single-particle inductively coupled plasma mass spectrometry (SP-ICP-MS) | AGILENT TECHNOLOGIES, INC. |
11075067 | Ion analysis device and ion dissociation method | SHIMADZU CORPORATION |
11075068 | Ion source for analysis of low volatility species in the gas phase | FOSSIL ION TECHNOLOGY |
11075069 | OCTA-electrode linear ion trap mass analyzer | SHANGHAI YUDA INDUSTRIAL CO., LTD. |
11075074 | Method for depositing a silicon nitride film and film deposition apparatus | TOKYO ELECTRON LIMITED |
11075087 | Focus ring for plasma etcher | -- |
11075088 | Method of plasma etching and method of fabricating semiconductor device using the same | SAMSUNG ELECTRONICS CO., LTD. |
11075089 | Method of plasma etching and method of fabricating semiconductor device using the same | SAMSUNG ELECTRONICS CO., LTD. |
11075105 | In-situ apparatus for semiconductor process module | APPLIED MATERIALS, INC. |
11075116 | Integrated antenna on interposer substrate | -- |
11075143 | Cooling apparatus | SHOWA DENKO K.K. |
11075178 | RF power amplifier pallet | AMPLEON NETHERLANDS B.V. |
11075194 | IC with test structures and E-beam pads embedded within a contiguous standard cell area | PDF SOLUTIONS, INC. |
11075303 | Oxide semiconductor compound, semiconductor element provided with layer of oxide semiconductor compound, and laminated body | TOKYO INSTITUTE OF TECHNOLOGY |
11075309 | Sinterable composition for use in solar photovoltaic cells | -- |
11075315 | Optical semiconductor element and method of manufacturing optical semiconductor element | HAMAMATSU PHOTONICS K.K. |
11075323 | Method of producing a radiation-emitting component and radiation-emitting component | OSRAM OLED GMBH |
11075335 | Techniques for MRAM MTJ top electrode connection | -- |
11075336 | Magnetic random access memory and manufacturing method thereof | -- |
11075348 | Thin film transistor and thin film transistor array and electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11075379 | Preparation and characterization of modified oxide compositions | HONDA MOTOR CO., LTD. |
11075387 | Power storage device and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11075398 | Cell pack and method for producing unit cell for use in cell pack | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11075404 | Glass comprising solid electrolyte particles and lithium battery | IDEMITSU KOSAN CO., LTD. |
11075408 | Silicon-based energy storage devices with fluorinated polymer containing electrolyte additives | ENEVATE CORPORATION |
11075430 | Wiring module | AUTONETWORKS TECHNOLOGIES, LTD. |
11075432 | Energy storage device and method for manufacturing same | GS YUASA INTERNATIONAL LTD. |
11075435 | Electroplating of niobium titanium | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11075436 | Radiofrequency RF rotating joint for rotary RF wave-guiding device and rotary RF device including such a joint | THALES |
11075437 | Mounting bracket for antenna information sensing unit, antenna information sensing unit, and antenna system | HUAWEI TECHNOLOGIES CO., LTD. |
11075438 | Radiofrequency identification equipped medical cabinet systems and methods of assembly and use thereof | WAVEMARK, INC. |
11075439 | Electronic device and manufacturing method thereof | -- |
11075440 | Surface-mounted device and mobile terminal | AAC TECHNOLOGIES PTE. LTD. |
11075441 | Deployable radio units | CACI, INC.—FEDERAL |
11075442 | Broadband sub 6GHz massive MIMO antennas for electronic device | HUAWEI TECHNOLOGIES CO., LTD. |
11075443 | Electronic device with antenna device | SAMSUNG ELECTRONICS CO., LTD. |
11075444 | Antenna and electronic device comprising the antenna | SAMSUNG ELECTRONICS CO., LTD. |
11075445 | Communication device and electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11075446 | Communication device | HUAWEI TECHNOLOGIES CO., LTD. |
11075447 | Antenna for wireless communication and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11075448 | Multiple antenna system and method for mobile platforms | GOGO BUSINESS AVIATION LLC |
11075449 | Electronic device with antenna mechanism | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
11075450 | AOG antenna system and mobile terminal | AAC TECHNOLOGIES PTE. LTD. |
11075452 | Wideband frequency selective armored radome | RAYTHEON COMPANY |
11075453 | Microelectronics package with ultra-low-K dielectric region between stacked antenna elements | GLOBALFOUNDRIES U.S. INC. |
11075454 | Multi-purpose smart tower | -- |
11075455 | Antenna structure, manufacturing method thereof and communication device | BOE TECHNOLOGY GROUP CO., LTD. |
11075456 | Printed board antenna system | NORTHROP GRUMMAN SYSTEMS CORPORATION |
11075457 | Devices, systems, methods for using and methods for packaging antenna systems | DISH NETWORK L.L.C. |
11075458 | Antenna system | -- |
11075459 | Millimeter wave antenna device including parasitic elements capable of improving antenna pattern | -- |
11075460 | Antenna structure | -- |
11075461 | Horn antenna | CITY UNIVERSITY OF HONG KONG |
11075462 | Antenna device | SONY CORPORATION |
11075463 | Wireless power transfer in the fresnel zone with a dynamic metasurface antenna | SEARETE LLC |
11075464 | Parabolic reflector antennas having feeds with enhanced radiation pattern control | COMMSCOPE TECHNOLOGIES LLC |
11075465 | Surface-link antenna architecture | AMAZON TECHNOLOGIES, INC. |
11075466 | Parabolic reflector antennas that support low side lobe radiation patterns | COMMSCOPE TECHNOLOGIES LLC |
11075467 | Two-dimensional antenna and network device | HUAWEI TECHNOLOGIES CO., LTD. |
11075469 | Apparatus and method for outputting beamforming signal based on state of electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11075470 | Wire harness with covering materials and tube containing cross-linked polyethylene | AUTONETWORKS TECHNOLOGIES, LTD. |
11075471 | Coaxial cable and connector with dielectric spacer that inhibits unwanted solder flow | COMMSCOPE TECHNOLOGIES LLC |
11075472 | Systems and methods for active taps in cable networks | COX COMMUNICATIONS, LLC |
11075473 | High frequency electrical connector | AMPHENOL CORPORATION |
11075474 | Display module | -- |
11075475 | Electrical connector assembly | FOXCONN (KUNSHAN) COMPUTER CONNECTOR CO., LTD. |
11075476 | High speed wire end connector | -- |
11075477 | Connector with direct locking structure | -- |
11075478 | Connector for connecting cable and circuit board | -- |
11075479 | Terminal fitting | MOLEX, LLC |
11075480 | Connector structure | YAZAKI CORPORATION |
11075481 | Connector assembly | -- |
11075482 | Water-resistant electrical connector assembly | JAPAN AVIATION ELECTRONICS INDUSTRY, LIMITED |
11075483 | Electronic connector | -- |
11075484 | Protection sheath structure for switch wire connection device | -- |
11075485 | Contact device adapted to facilitate the repair of bolted electrical connections | AMC |
11075486 | Signal connector system | NORTHROP GRUMMAN SYSTEMS CORPORATION |
11075487 | Cable unit for connecting devices to enable wireless exchange of data and/or power between them | KONINKLIJKE PHILIPS N.V. |
11075488 | Impedance control connector with dielectric seperator rib | TE CONNECTIVITY SERVICES GMBH |
11075489 | Rear camera system for a vehicle with a trailer | -- |
11075490 | Electrical receptacle connector with elastic contacts | -- |
11075491 | Coaxial cable connector and universal coaxial cable fixing sleeve thereof | -- |
11075492 | Adapter and electronic system having the same | SAMSUNG ELECTRONICS CO., LTD. |
11075493 | Electrical connector | STARCONN ELECTRONIC (SU ZHOU) CO., LTD |
11075494 | Connector connection regenerating system and connector connection regenerating method | YAZAKI CORPORATION |
11075495 | Connector installation tool | RAYTHEON COMPANY |
11075496 | Laser dicing device, method of laser beam modulation, and method of dicing a substrate | SAMSUNG ELECTRONICS CO., LTD. |
11075497 | Laser device and internal combustion engine | RICOH COMPANY, LTD. |
11075498 | Method of fabricating an optoelectronic component | ROCKLEY PHOTONICS LIMITED |
11075499 | Heat sink comprising synthetic diamond material | ELEMENT SIX TECHNOLOGIES LIMITED |
11075500 | Optical device having a substrate and a laser unit that emits light into the substrate | LUMENTUM JAPAN, INC. |
11075501 | Process for producing a component comprising III-V materials and contacts compatible with silicon process flows | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11075502 | Laser diode driver circuit techniques | ANALOG DEVICES, INC. |
11075503 | Integrated inter-cavity photodetector for laser power and threshold estimation | MICROSOFT TECHNOLOGY LICENSING, LLC |
11075504 | Corona ignition device with improved electrical performance | TENNECO INC. |
11075505 | Ionizer including a discharge needle and a carrying air jet mechanism | SMC CORPORATION |
11075508 | Thermal-insulated multi-walled pipe for superconducting power transmission and laying method therefor | JFE STEEL CORPORATION |
11075510 | Guarded construction | -- |
11075512 | Smart electrical system for monitoring and control of electrical interfaces | -- |
11075514 | System, method, and apparatus for power distribution in an electric mobile application during run time using configurable electrical interface ports | EATON INTELLIGENT POWER LIMITED |
11075516 | Relay temperature measuring device for battery and method | HYUNDAI MOTOR COMPANY |
11075519 | Bidirectional capacitor bank control | SCHWEITZER ENGINEERING LABORATORIES, INC. |
11075544 | Power-transmitting device, power-receiving device, and wireless power supply system | IHI CORPORATION |
11075547 | Cell phone having wireless charging function | SOVEREIGN PEAK VENTURES, LLC |
11075564 | Power tool | MAKITA CORPORATION |
11075630 | Semiconductor relay module and semiconductor relay circuit | OMRON CORPORATION |
11075631 | Semiconductor relay module and semiconductor relay circuit | OMRON CORPORATION |
11075635 | Display device and manufacturing method of same | -- |
11075662 | Radiation protector for mobile devices | -- |
11075663 | Method and apparatus to ruggedize a mobile device for public safety or mobile use | MAN & MACHINE INC. |
11075695 | Eye-safe optical laser system | LUMENTUM OPERATIONS LLC |
11075702 | Empirically modulated antenna systems and related methods | THE INVENTION SCIENCE FUND I LLC |
11076028 | Switch assembly for engaging a switch of an electronic device | CATALYST LIFESTYLE LIMITED |
11076074 | Camera module | LG INNOTEK CO., LTD. |
11076303 | Antenna alignment tool generating earth browser file and related methods | SUNSIGHT HOLDINGS, LLC |
11076456 | Adapting circuit for driving a magnetron with multiple switching power supplies | -- |
11076457 | Discharge lamp drive device, light source device, projector, and discharge lamp drive method | SEIKO EPSON CORPORATION |
11076477 | Cooling and compression clamp for short lead power devices | MKS INSTRUMENTS, INC. |
11076478 | Electronic assemblies having embedded passive heat pipes and associated method | EAGLE TECHNOLOGY, LLC |
11076489 | RF integrated power condition capacitor | 3D GLASS SOLUTIONS, INC. |
11076494 | Foldable display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11076495 | Electronic device with hinge defining an asymmetrical service loop for a flexible display and corresponding systems and methods | MOTOROLA MOBILITY LLC |
11076496 | Tamper-resistant electronics system and improved method of manufacturing therefor | -- |
11076497 | Storage device carrier system | EMC IP HOLDING COMPANY LLC |
11076498 | Fixing device | -- |
11076499 | Foldable display device | LG DISPLAY CO., LTD. |
11076500 | Electronic apparatus and connection structure | LENOVO (BEIJING) CO., LTD. |
11076501 | Apparatus for cooling electronic circuitry | CRESTRON ELECTRONICS, INC. |
11076502 | Apparatus, system, and method for cooling multi-chip modules via clustered fluid-cooled plates | JUNIPER NETWORKS, INC. |
11076503 | Thermally conductive insert element for electronic unit | APTIV TECHNOLOGIES LIMITED |
11076504 | Arrangement for dissipating heat of a power supply unit in a housing | APPLETON GRP LLC |
11076505 | Cooling system for edge computing device | BAIDU USA LLC |
11076506 | Server rack integrated with cold air delivery | VERIZON MEDIA INC. |
11076507 | Methods and systems for managing facility power and cooling | SCHNEIDER ELECTRIC IT CORPORATION |
11076508 | Cooling systems for immersion cooled IT equipment | BAIDU USA LLC |
11076509 | Control systems and prediction methods for it cooling performance in containment | THE RESEARCH FOUNDATION FOR THE STATE UNIVERSITY |
11076510 | Heat management device and method of manufacture | FACEBOOK TECHNOLOGIES, LLC |
11076511 | Self-contained electromagnetic tracking unit | NORTHERN DIGITAL INC. |
11076512 | Electronic device having shield can structure | SAMSUNG ELECTRONICS CO., LTD. |
11076513 | Circuit module | TDK CORPORATION |
11076514 | Metalized fiber mat | TRITON SYSTEMS, INC. |
11076515 | Cable and manufacturing method thereof | LUXSHARE PRECISION INDUSTRY CO., LTD. |
11076516 | Methods of making Z-shielding | UNITED STATES OF AMERICA AS REPRESENTED BY THE ADMINISTRATOR OF NASA |
11076517 | Tri-redundant data center power supply system | SWITCH, LTD. |
11076518 | Component supply device | YAMAHA HATSUDOKI KABUSHIKI KAISHA |
11076519 | Selective inking head for semiconductor devices | FACEBOOK TECHNOLOGIES, LLC |
11076520 | Production management device for mounting components on multiple board types | FUJI CORPORATION |
11076521 | Production plan creation system and production plan creation method | FUJI CORPORATION |
11076661 | Magnetic jewelry clasp | -- |
11076782 | Regional oximetry user interface | MASIMO CORPORATION |
11076806 | Securing device for connecting to a display device | FITBIT, INC. |
11076820 | Tomosynthesis with shifting focal spot x-ray system using an addressable array | HOLOGIC, INC. |
11076826 | Ultrasound imaging apparatus and control method thereof | SAMSUNG MEDISON CO., LTD. |
11077013 | Stimulating mechanism for adult toy | DANGXIAO INFORMATION TECHNOLOGY LTD. |
11077264 | Electronic cigarette | JOYETECH EUROPE HOLDING GMBH |
11077311 | Modular defibrillator architecture | AVIVE SOLUTIONS, INC. |
11077394 | Electret-containing filter media | HOLLINGSWORTH & VOSE COMPANY |
11077519 | Clamping device that develops both axial and circumferential clamping forces in response to a common axial clamping force | SEAGATE TECHNOLOGY LLC |
11077532 | Contact spring holding jig for grease application | YAZAKI CORPORATION |
11077590 | Mold assembly and method of molding a component | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
11077654 | Conductive diamond application system | GLOBAL CIRCUIT INNOVATIONS INCORPORATED |
11077762 | Systems, methods, and apparatus for detection of metal objects in a predetermined space | WITRICITY CORPORATION |
11077805 | Vehicular camera with electrical connector | MAGNA ELECTRONICS INC. |
11077806 | Complex harness | HITACHI METALS, LTD. |
11077807 | Combination cable for vehicle | SUMITOMO WIRING SYSTEMS, LTD. |
11077827 | Vehicle card key and method of manufacturing the same | HYUNDAI MOBIS CO., LTD. |
11077924 | System for adjusting pressure limits based on depth of the diver(s) | BROWNIE'S MARINE GROUP, INC. |
11077928 | Anchor and motor cap with light and camera mount | -- |
11078111 | Automotive interiors and cover glass articles with improved headform impact performance and post-breakage visibility | CORNING INCORPORATED |
11078123 | Metal oxynitride thin film, process for producing metal oxynitride thin film, and capacitor element | TDK CORPORATION |
11078312 | Crosslinkable polymer composition and cable with advantageous electrical properties | BOREALIS AG |
11078324 | Seal material composition, liquid crystal cell, and scanned antenna | SHARP KABUSHIKI KAISHA |
11078326 | Compositions useful for the formation of an antistatic layer or an electromagnetic radiation shield | HERAEUS DEUTSCHLAND GMBH & CO. KG |
11078341 | Thermoplastic polymers | COLORMATRIX EUROPE LIMITED |
11078561 | Soft magnetic material and method for producing the same | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11078571 | Deposition apparatus including a heat dissipation member | SAMSUNG DISPLAY CO., LTD. |
11078587 | Tin-plated product and method for producing same | DOWA METALTECH CO., LTD. |
11078814 | Valve drive device, in particular for an internal combustion engine of a motor vehicle, and method for operating such a valve drive device | DAIMLER AG |
11078894 | Microfluidic fan | CHILLWIND TECHNOLOGIES AB |
11078927 | Electronic device having a fan | LENOVO (SINGAPORE) PTE. LTD. |
11079102 | Light emitter with communication or power connector | MCKEON PRODUCTS, INC. |
11079116 | Apparatus and method for controlling electric burner element input | -- |
11079141 | Controlled liquid/solid mobility using external fields on lubricant-impregnated surfaces | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11079218 | Measuring distance using a laser processing system with optical amplifier for amplifying measuring beam or reflected part of measurement beam | PRECITEC GMBH & CO. KG |
11079233 | Stimulated brillouin scattering gyroscope | HONEYWELL INTERNATIONAL INC. |
11079253 | Wiegand module and methods of forming the same | AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITED |
11079339 | Biosensor with integrated antenna and measurement method for biosensing applications | BOGAZICI UNIVERSITESI |
11079390 | Methods and compositions for mass spectrometry analysis | -- |
11079424 | Combined low frequency and high frequency current sensor | SCHNEIDER ELECTRIC USA, INC. |
11079435 | Method and device for diagnosing wear of an electrical switching unit, and electrical unit comprising such a device | SCHNEIDER ELECTRIC INDUSTRIES SAS |
11079447 | Magnetic sensor with an asymmetric wheatstone bridge | INFINEON TECHNOLOGIES AG |
11079472 | Antenna apparatus | DENSO CORPORATION |
11079485 | Antenna device | DENSO CORPORATION |
11079523 | Device and method for shielding at least one sub-wavelength-scale object from an incident electromagnetic wave | INTERDIGITAL CE PATENT HOLDINGS |
11079532 | Digitized grating period | INTEL CORPORATION |
11079539 | Semiconductor device and manufacturing method of the same | RENESAS ELECTRONICS CORPORATION |
11079558 | Pluggable transceiver retainer | FINISAR CORPORATION |
11079560 | Transceiver module | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11079648 | Fabrication of electrochromic devices | VIEW, INC. |
11079686 | Excimer laser apparatus and electronic-device manufacturing method | GIGAPHOTON INC. |
11079742 | Automated breadboard wiring assembly | -- |
11079791 | Electronic device | LENOVO (BEIJING) CO., LTD. |
11079794 | Electronic device and method of controlling operation of electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11079796 | Electronic device including flexible printed circuit board adjacent to antenna | SAMSUNG ELECTRONICS CO., LTD. |
11079797 | Electronic devices with adjustable display windows | APPLE INC. |
11079800 | Expansion device for portable information apparatuses | LENOVO (SINGAPORE) PTE. LTD. |
11079801 | Electronic device with luminous pattern | -- |
11079803 | Electronic device having plurality of displays enclosing multiple sides and method for controlling the same | SAMSUNG ELECTRONICS CO., LTD. |
11079804 | Back plate structure and flexible display device | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
11079805 | Display panel provided with protective film | SHARP KABUSHIKI KAISHA |
11079809 | Electronic apparatus | LENOVO (SINGAPORE) PTE. LTD. |
11079814 | Mechanism for securing an add-in module in a computer device | -- |
11079815 | Cooling device and information processing apparatus | FUJITSU LIMITED |
11079816 | System and method for vapor chamber directional heat dissipation for a piezoelectric keyboard assembly | DELL PRODUCTS L.P. |
11079994 | Mounting apparatus | FUJI CORPORATION |
11080495 | Animal motion and temperature monitoring | UNIFIED INFORMATION DEVICES, LLC |
11080502 | Display device including ultrasonic fingerprint sensor | LG DISPLAY CO., LTD. |
11080953 | Method, system, and door lock device for controlling door lock | LINKELECTRONICS CO., LTD. |
11081144 | Tool-free hard disk mounting and dismounting device | ZHENGZHOU YUNHAI INFORMATION TECHNOLOGY CO., LTD. |
11081253 | Silver particle dispersing solution, method for producing same, and method for producing conductive film using silver particle dispersing solution | DOWA ELECTRONICS MATERIALS CO., LTD. |
11081254 | Smart home cable | -- |
11081255 | High-temperature and flame-resistant colored adhesive tape, use of a substrate for its manufacture, and cable harness including such an adhesive tape | COROPLAST FRITZ MüLLER GMBH & CO. KG |
11081256 | Composite cable pair | SUMITOMO WIRING SYSTEMS, LTD. |
11081257 | Notched conductor for telecommunication cable | STERLITE TECHNOLOGIES LIMITED |
11081258 | Twisted pair communication cables substantially free of colorant | SUPERIOR ESSEX INTERNATIONAL LP |
11081259 | Twisted pair communication cables having separators that identify pairs | SUPERIOR ESSEX INTERNATIONAL LP |
11081260 | Twisted pair communication cables having shields that identify pairs | SUPERIOR ESSEX INTERNATIONAL LP |
11081261 | Forming a rigid cable harness with a curable sleeve | TESA SE |
11081262 | Grommet | YAZAKI CORPORATION |
11081263 | Chip-shaped electronic component | PELNOX, LTD. |
11081264 | Permanent magnet and rotary electrical machine | KABUSHIKI KAISHA TOSHIBA |
11081265 | Rare-earth sintered magnet | TDK CORPORATION |
11081266 | Soft magnetic alloy powder, dust core, and magnetic component | TDK CORPORATION |
11081267 | Flat powder for high frequency applications and magnetic sheet | SANYO SPECIAL STEEL CO., LTD. |
11081268 | Electromagnet assembly | SIEMENS HEALTHCARE LIMITED |
11081269 | Movement device composed of subassemblies | ROBERT BOSCH GMBH |
11081270 | Permanent magnet and method of manufacturing motor | TDK CORPORATION |
11081271 | Element for protecting circuit | MODA-INNOCHIPS CO., LTD. |
11081272 | Device support assembly | ABB POWER GRIDS SWITZERLAND AG |
11081273 | Magnetic field generation with thermovoltaic cooling | CALAGEN, INC. |
11081274 | Wirelessly powered devices for minimally invasive surgery | GREATBATCH LTD. |
11081275 | Electronic device | TDK CORPORATION |
11081276 | Electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11081277 | Electronic component | MURATA MANUFACTURING CO., LTD. |
11081278 | Capacitor | MURATA MANUFACTURING CO., LTD. |
11081279 | Multilayer ceramic capacitor | MURATA MANUFACTURING CO., LTD. |
11081280 | Ionomeric polymer and multilayer capacitor and additives | EHRENBERG INDUSTRIES CORPORATION |
11081281 | Multilayer ceramic electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11081282 | Multilayer ceramic capacitor with copper oxide layer and method of manufacturing the same | TAIYO YUDEN CO., LTD. |
11081283 | Multi-layered ceramic electronic component and mounting board thereof | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11081284 | Sensor recording temperature and pressure | HUBA CONTROL AG |
11081285 | Electrically conductive electret and associated electret-based power source and self-powered structure | EAST AMHERST |
11081286 | Method for manufacturing electrolytic capacitor, and electrolytic capacitor | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11081287 | Electrolytic capacitor and method for manufacturing electrolytic capacitor | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11081288 | Solid electrolytic capacitor having a reduced anomalous charging characteristic | AVX CORPORATION |
11081289 | Tantalum capacitor | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11081290 | Process for forming an electrolytic capacitor having a higher cap recovery and lower ESR | KEMET ELECTRONICS CORPORATION |
11081291 | Photosensor including photoelectric conversion layer containing perovskite compound, and optical detection device including the same | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11081292 | Method for producing a film of light-absorbing material with a perovskite-like structure | JOINT STOCK COMPANY KRASNOYARSK HYDROPOWER PLANT (JSC KRASNOYARSK HPP) |
11081293 | Manufacturing method of a composite photovoltaic structure | -- |
11081294 | Energy storage device | TSINGHUA UNIVERSITY |
11081295 | Winding apparatus and method | MANZ ITALY S.R.L. |
11081296 | Stationary contact assembly and corresponding switch contact | SCHNEIDER ELECTRIC INDUSTRIES SAS |
11081297 | Hybridization system for high voltage direct current | LEACH INTERNATIONAL EUROPE S.A.S. |
11081298 | Key structure | -- |
11081299 | Snap action switch for generating feedbacks | ILLINOIS TOOL WORKS INC. |
11081300 | Mechanical switch of photoelectrical type and a key assembly of a keyboard | -- |
11081301 | Assembly comprising a normally-open electrical switch and a control blade for controlling its change of state which keeps it closed at rest | C&K COMPONENTS S.A.S. |
11081302 | Battery disconnect device | JOYSON SAFETY SYSTEMS ACQUISITION LLC |
11081303 | High voltage electric line cutter device | KEY SAFETY SYSTEMS, INC. |
11081304 | Load control system and control method thereof | -- |
11081305 | Method, controller and system for regulating a current of a coil | SCHNEIDER ELECTRIC INDUSTRIES SAS |
11081306 | Process of manufacturing heat resistant and low carbon plate for circuit breaker | -- |
11081307 | Electrical power supply disconnector for a protection module and protection module including such a disconnector | SCHNEIDER ELECTRIC INDUSTRIES SAS |
11081308 | Vertical surface mount device pass-through fuse | LITTELFUSE, INC. |
11081309 | Light bulb removing and installing assemblies | UNGER MARKETING INTERNATIONAL, LLC |
11081310 | Photocathode including silicon substrate with boron layer | KLA-TENCOR CORPORATION |
11081311 | X-ray tube heat sink and target material | MOXTEK, INC. |
11081312 | Method of manufacturing emitter, emitter, and focused ion beam apparatus | HITACHI HIGH-TECH SCIENCE CORPORATION |
11081313 | Particle-optical corrector which is free from axial aberrations of sixth order and electron microscope with corrector | CEOS CORRECTED ELECTRON OPTICAL SYSTEMS GMBH |
11081314 | Integrated transmission electron microscope | NATIONAL TECHNOLOGY & ENGINEERING SOLUTIONS OF SANDIA, LLC |
11081315 | Ion impantation gas supply system | -- |
11081316 | Impedance matching network and method | RENO TECHNOLOGIES, INC. |
11081317 | Modular high-frequency source | APPLIED MATERIALS, INC. |
11081318 | Geometrically selective deposition of dielectric films utilizing low frequency bias | APPLIED MATERIALS, INC. |
11081319 | Glass sealed gas discharge tubes | BOURNS, INC. |
11081320 | Plasma processing apparatus, plasma processing method, and ECR height monitor | HITACHI HIGH-TECH CORPORATION |
11081321 | Substrate processing apparatus | TOKYO ELECTRON LIMITED |
11081322 | Film forming apparatus, cleaning method for film forming apparatus and recording medium | TOKYO ELECTRON LIMITED |
11081323 | Sputtering cathode, sputtering cathode assembly, and sputtering apparatus | KEIHIN RAMTECH CO., LTD. |
11081324 | Sputtering cathode, sputtering cathode assembly, and sputtering apparatus | KEIHIN RAMTECH CO., LTD. |
11081325 | Conductive target material | PLANSEE SE |
11081326 | Sputtering target and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11081327 | System and method for enhanced ion pump lifespan | HAMILTON SUNDSTRAND CORPORATION |
11081328 | Maintaining spectral quality over long measuring periods in imaging mass spectrometry | -- |
11081330 | Chemical etching of emitter tips | TRAJAN SCIENTIFIC AUSTRALIA PTY LTD |
11081331 | Mass spectrometers having segmented electrodes and associated methods | DUKE UNIVERSITY |
11081332 | Ion guide within pulsed converters | MICROMASS UK LIMITED |
11081333 | Power connector for mass spectrometer | SHIMADZU CORPORATION |
11081340 | Argon addition to remote plasma oxidation | APPLIED MATERIALS, INC. |
11081351 | Method of processing substrate, device manufacturing method, and plasma processing apparatus | TOKYO ELECTRON LIMITED |
11081360 | Method for processing workpiece | TOKYO ELECTRON LIMITED |
11081362 | Method of manufacturing semiconductor device, and recording medium | KOKUSAI ELECTRIC CORPORATION |
11081370 | Methods of manufacturing an encapsulated semiconductor device | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11081416 | Configuring a sealing structure sealing a component embedded in a component carrier for reducing mechanical stress | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
11081431 | Circuit device | AUTONETWORKS TECHNOLOGIES, LTD. |
11081436 | Component carrier with integrated strain gauge | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
11081449 | Semiconductor device and method for manufacturing the same and wireless communication apparatus | MITSUBISHI ELECTRIC CORPORATION |
11081453 | Semiconductor package structure with antenna | -- |
11081477 | IC with test structures and e-beam pads embedded within a contiguous standard cell area | PDF SOLUTIONS, INC. |
11081604 | Device and method for bowtie photoconductive antenna for terahertz wave detection | TON DUC THANG UNIVERSITY |
11081623 | Oxygen controlled PVD AlN buffer for GaN-based optoelectronic and electronic devices | APPLIED MATERIALS, INC. |
11081642 | MTJ CD variation by HM trimming | -- |
11081651 | Organic semiconductor element, organic semiconductor composition, method of manufacturing organic semiconductor film, organic semiconductor film, and compound and polymer using the same | FUJIFILM CORPORATION |
11081662 | Photoelectric conversion element and solar cell | RICOH COMPANY, LTD. |
11081682 | Fabricating method of electrode assembly and electrochemical cell containing the same | LG CHEM, LTD. |
11081689 | Positive electrode for electrochemical device, and electrochemical device provided therewith | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11081699 | Method for manufacturing electrochemical device electrode | ZEON CORPORATION |
11081723 | Energy storage device and energy storage device production method | GS YUASA INTERNATIONAL LTD. |
11081727 | Solid electrolyte and electricity storage device using the same | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11081745 | Metal air battery | HYUNDAI MOTOR COMPANY |
11081748 | Packaging material for power storage device | TOPPAN PRINTING CO., LTD. |
11081751 | Battery cover and method of construction thereof | FEDERAL-MOGUL POWERTRAIN LLC |
11081759 | Secondary battery | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11081760 | Coated battery separator | SOLVAY SPECIALTY POLYMERS ITALY S.P.A. |
11081766 | Mode-whisperer linear waveguide OMT | LOCKHEED MARTIN CORPORATION |
11081767 | Multilayered filter device | TDK CORPORATION |
11081768 | Fabricating an RF filter on a semiconductor package using selective seeding | INTEL CORPORATION |
11081769 | RF dielectric waveguide duplexer filter module | CTS CORPORATION |
11081770 | Low temperature co-fireable dielectric materials | SKYWORKS SOLUTIONS, INC. |
11081771 | RF crossover apparatus for microwave systems comprising a body having at least two intersecting RF strips disposed thereon and insulated from an external environment | ASELSAN ELEKTRONIK SANAYI VE TICARET ANONIM SIRKETI |
11081772 | Antenna device and receiver | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11081773 | Apparatus for splitting, amplifying and launching signals into a waveguide to provide a combined transmission signal | THE BOEING COMPANY |
11081774 | Negative coupling structure applied in a dielectric waveguide filter | -- |
11081775 | Actuating support member | OXFORD SPACE SYSTEMS LIMITED |
11081776 | Electronic package | -- |
11081777 | Antenna assembly and device including antenna assembly | KMW INC. |
11081778 | Near-field communications low-power presence check | STMICROELECTRONICS, INC. |
11081779 | Electronic device having an antenna | LENOVO (SINGAPORE) PTE. LTD. |
11081780 | Multi-band antenna architecture | ELECTRIC CONNECTOR TECHNOLOGY CO., LTD. |
11081781 | Cellular base station keyed cable connectors | DISH WIRELESS L.L.C. |
11081782 | Three-dimensional antenna element | -- |
11081783 | Integrated antenna using through silicon vias | MICRON TECHNOLOGY, INC. |
11081784 | Ultra-wideband LTE antenna system | TAOGLAS GROUP HOLDINGS LIMITED |
11081785 | Antenna module | INVENTEC (PUDONG) TECHNOLOGY CORPORATION |
11081786 | Low-PIM universal cable hanger system | CONCEALFAB CORPORATION |
11081787 | Antenna array radiation shielding | VIASAT, INC. |
11081788 | System and method for near-field testing of a phased array antenna | THE BOEING COMPANY |
11081789 | Base station antennas including wiper phase shifters | COMMSCOPE TECHNOLOGIES LLC |
11081790 | Scanned antenna and method of inspecting scanned antenna | SHARP KABUSHIKI KAISHA |
11081791 | Wireless communication device, control method, and program | NEC CORPORATION |
11081792 | Phased array with low-latency control interface | ANOKIWAVE, INC. |
11081793 | Antenna apparatus | KABUSHIKI KAISHA TOSHIBA |
11081794 | Antenna device and method for emitting electromagnetic waves using the antenna device | ALCAN SYSTEMS GMBH |
11081795 | Antenna structure and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11081796 | Full duplex using OAM | NXGEN PARTNERS IP, LLC |
11081797 | Array antenna apparatus using superstrates and method of tuning array antenna by using superstrates | HONGIK UNIVERSITY INDUSTRY-ACADEMIA COOPERATION FOUNDATION |
11081798 | Low-complexity full-duplex radio system with enhanced digital self-interference cancellation | SABANCI UNIVERSITESI |
11081799 | Antenna device | MURATA MANUFACTURING CO., LTD. |
11081800 | Dual-polarized antenna | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11081801 | Cavity backed antenna with in-cavity resonators | VAYYAR IMAGING LTD. |
11081802 | Electromagnetic-wave-absorbing materials for various applications | UNIVERSITE DE RENNES 1 |
11081803 | Instrument comprising plane lens antenna and control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11081804 | Antenna-integrated type communication module and manufacturing method for the same | MURATA MANUFACTURING CO., LTD. |
11081805 | Antenna array and collision avoidance radar having the same | -- |
11081806 | Antenna apparatus | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11081807 | Electronic device comprising array antenna | SAMSUNG ELECTRONICS CO., LTD. |
11081808 | Antenna having radiation structure of given direction and electronic device including same | SAMSUNG ELECTRONICS CO., LTD. |
11081810 | TFT substrate and scanned antenna having TFT substrate | SHARP KABUSHIKI KAISHA |
11081811 | Transmitter | HITACHI, LTD. |
11081812 | Electric wire with terminal | YAZAKI CORPORATION |
11081813 | Connector comprising a trminal fitting having intersecting extension portions | YAZAKI CORPORATION |
11081814 | Wiring module | AUTONETWORKS TECHNOLOGIES, LTD. |
11081815 | Electrical power or data distribution system | -- |
11081816 | Rail-mounted building automation device | ROBOT, S.A. |
11081817 | Connector having terminals with bent portions | SUMITOMO WIRING SYSTEMS, LTD. |
11081818 | Method and sleeve for connecting power-electronics structural elements and printed circuit boards | DR. ING. H.C. F. PORSCHE AKTIENGESELLSCHAFT |
11081819 | Electrical connector using a metallic sliding block to hold an inner insulator during the overmolding of an outer insulator onto the inner insulator | FOXCONN (KUNSHAN) COMPUTER CONNECTOR CO., LTD. |
11081820 | Adjustable circuit board assembly | LEAR CORPORATION |
11081821 | Direct mate cable assembly | TE CONNECTIVITY CORPORATION |
11081822 | Printed circuit board having commoned ground plane | SAMTEC, INC. |
11081823 | Conductive terminal and electrical connector | TYCO ELECTRONICS (SHANGHAI) CO. LTD. |
11081824 | Contact element and contact system | TE CONNECTIVITY GERMANY GMBH |
11081825 | Double-pole butting connector | -- |
11081826 | Connector having offset terminal connecting portions | SUMITOMO WIRING SYSTEMS, LTD. |
11081827 | Protecting cover and board edge connector | MOLEX, LLC |
11081828 | Power module housing | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11081829 | Connector | YAZAKI CORPORATION |
11081830 | Seal part and connector | YAZAKI CORPORATION |
11081831 | Waterproof connector | YAZAKI CORPORATION |
11081832 | Terminal sealing member, method of producing the same, and connector including the same | MOLEX, LLC |
11081833 | Electrical connector | FOXCONN (KUNSHAN) COMPUTER CONNECTOR CO., LTD. |
11081834 | Waterproof USB socket and manufacturing method of the same | SHENZHEN EVERWIN PRECISION TECHNOLOGY CO LTD |
11081835 | Connector | SUMITOMO WIRING SYSTEMS, LTD. |
11081836 | Circuits and methods for wearable device charging and wired control | SNAP INC. |
11081837 | Multi core plug in electrical connection structure for logging while drilling tools | INSTITUTE OF GEOLOGY AND GEOPHYSICS, CHINESE ACADEMY OF SCIENCES |
11081838 | Electrical connector part having a locking element | PHOENIX CONTACT GMBH & CO. KG |
11081839 | Connector with high reliability of returning a protection member to a partial locking position | SUMITOMO WIRING SYSTEMS, LTD. |
11081840 | Detection circuit applied to a connecting port | -- |
11081841 | Electrical connector haiving contact wafer equipped with transverse grounding bar | FU DING PRECISION INDUSTRIAL (ZHENGZHOU) CO., LTD. |
11081842 | Electrical connector equipped with stabilized shielding plate | FOXCONN (KUNSHAN) COMPUTER CONNECTOR CO., LTD. |
11081843 | Intelligent socket control system for preventing children from electric shock | ANHUI GEEK DISCOVERY SCIENCE AND TECHNOLOGY CO., LTD. |
11081844 | Electrical power and control unit for work areas | -- |
11081845 | Vacuum pump, and connector and control device applied to vacuum pump | EDWARDS JAPAN LIMITED |
11081846 | Connectors | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11081847 | High-density split cable | HD NETWORKS, LLC |
11081848 | Charger extension device | -- |
11081849 | Slip ring, motor, and vehicle having same | LG INNOTEK CO., LTD. |
11081850 | Gas laser apparatus | GIGAPHOTON INC. |
11081851 | LMA fibers for suppression of thermal mode instability | UNIVERSITY OF ROCHESTER |
11081852 | Laser light energy and dose control using repetition rate based gain estimators | CYMER, LLC |
11081853 | Optical element moving apparatus, narrowed-line laser apparatus, and method for manufacturing electronic device | GIGAPHOTON INC. |
11081854 | Laser oscillator monitoring control system | FANUC CORPORATION |
11081855 | Laser-MOPA with burst-mode control | COHERENT, INC. |
11081856 | III-V laser platforms on silicon with through silicon vias by wafer scale bonding | CISCO TECHNOLOGY, INC. |
11081857 | Semiconductor laser device and manufacturing method therefor | SHARP KABUSHIKI KAISHA |
11081858 | Optical transmitter module, optical module, optical transmission equipment and method of manufacturing thereof | LUMENTUM JAPAN, INC. |
11081859 | Optical resonator with localized ion-implanted voids | GM CRUISE HOLDINGS LLC |
11081860 | Integrated broadband chaotic semiconductor laser using optical microcavities | TAIYUAN UNIVERSITY OF TECHNOLOGY |
11081861 | Increase VCSEL power using multiple gain layers | -- |
11081862 | Light emitting element and method of manufacturing the same | SONY CORPORATION |
11081863 | Optical device and method for controlling such a device | FINISAR SWEDEN AB |
11081864 | High thread spark plug with non-axisymmetric ground shield for precise ground strap orientation | FRAM GROUP IP LLC |
11081865 | Device for setting the electrode gap on a spark plug | ROBERT BOSCH GMBH |
11081866 | Adjustable width power distribution block | HUBBELL INCORPORATED |
11081867 | Avionics power management panel and door assembly | GE AVIATION SYSTEMS LIMITED |
11081868 | Enclosure arrangements; components; and methods | EATON INTELLIGENT POWER LIMITED |
11081869 | Electrical junction box | AUTONETWORKS TECHNOLOGIES, LTD. |
11081871 | Figure-of-eight cable | PRYSMIAN S.P.A. |
11081874 | System, method, and apparatus for power distribution in an electric mobile application using a combined breaker and relay | EATON INTELLIGENT POWER LIMITED |
11081875 | System, method and apparatus for power distribution in an electric mobile application using a combined breaker and relay | EATON INTELLIGENT POWER LIMITED |
11081889 | Systems and methods for remote or local shut-off of a photovoltaic system | TIGO ENERGY, INC. |
11081905 | Information processing apparatus, information processing method, and information processing system | SONY CORPORATION |
11081906 | Contactless power transmission apparatus | OMRON CORPORATION |
11081927 | Busbar assembly for an electric motor | NIDEC CORPORATION |
11081945 | Manufacturing method for stator coil | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11081969 | Printed circuit board arrangement for welding and cutting apparatus | THE ESAB GROUP INC. |
11081970 | Assembly of bus bars forming a casing and heat dissipator for an electronic power device | INSTITUT VEDECOM |
11082015 | Methods and apparatuses for reflection measurements | ANDREW WIRELESS SYSTEMS GMBH |
11082020 | Apparatus for attenuating noise in vehicle and control method thereof | HYUNDAI MOTOR COMPANY |
11082040 | Devices and methods for improving voltage handling and/or bi-directionality of stacks of elements when connected between terminals | PSEMI CORPORATION |
11082078 | Detachment mechanism and indicator for mobile mount portable radio | DATRON WORLD COMMUNICATIONS, INC. |
11082094 | Electronic device including plurality of antennas and method of operating same | SAMSUNG ELECTRONICS CO., LTD. |
11082120 | Dual-polarization beamforming | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11082186 | Phased array antenna system | RAYTHEON COMPANY |
11082547 | Terminal provided with camera and shooting method | HUAWEI TECHNOLOGIES CO., LTD. |
11082671 | Laser projection module, depth camera and electronic device | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
11082762 | Display apparatus and vehicle including the same | LG DISPLAY CO., LTD. |
11083075 | Shed-resistant thermal atom source | IONQ, INC. |
11083076 | Anti-vibration and heat dissipation structure for memory socket | -- |
11083077 | Structure for delivering power | RAMBUS INC. |
11083078 | Electronic assembly | SHANGHAI ZHAOXIN SEMICONDUCTOR CO., LTD. |
11083079 | Terminal device | MITSUBISHI ELECTRIC CORPORATION |
11083082 | Enclosure-to-board interface with tamper-detect circuit(s) | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11083083 | Inductor bridge and electronic device | MURATA MANUFACTURING CO., LTD. |
11083085 | System for transmitting electrical signals | CLOTHING PLUS MBU OY |
11083088 | Micro power distribution boxes and methods of manufacturing same using application specific electronics packaging techniques | MOLEX, LLC |
11083089 | Integrated device package | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11083090 | Multi-layer ceramic electronic component, method of producing a multi-layer ceramic electronic component, and substrate with a built-in electronic component | TAIYO YUDEN CO., LTD. |
11083092 | Planar coil element and method for producing planar coil element | SUMITOMO ELECTRIC PRINTED CIRCUITS, INC. |
11083093 | Outdoor electronics enclosure with modular structure | COMMSCOPE TECHNOLOGIES LLC |
11083094 | Flexible display device | BOE TECHNOLOGY GROUP CO., LTD. |
11083095 | Flexible display device | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
11083096 | Display device | -- |
11083097 | Pressure-equalizing element and housing containing same | VITESCO TECHNOLOGIES GMBH |
11083098 | Display device | LG ELECTRONICS INC. |
11083099 | Printed circuit board electrical connector locking via threaded fasteners | SMART EMBEDDED COMPUTING, INC. |
11083100 | Electronic device and electronic device terminal cover | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11083101 | Data switch for underwater use | SIEMENS ENERGY AS |
11083102 | Modular distribution frames and assemblies | AMAZON TECHNOLOGIES, INC. |
11083103 | Electronic module | BROTHER KOGYO KA BUSH IKI KAISHA |
11083104 | Variable reliability and capacity data center design | MICROSOFT TECHNOLOGY LICENSING, LLC |
11083105 | Heat radiator including heat radiating acceleration parts with concave and convex portions for an aircraft | IHI CORPORATION |
11083106 | Heat sink and frequency converter | SIEMENS AKTIENGESELLSCHAFT |
11083107 | Electronic device and power module thereof | DELTA ELECTRONICS (SHANGHAI) CO., LTD. |
11083108 | Electronic equipment enclosure | CHATSWORTH PRODUCTS, INC. |
11083109 | Heat exchange system | HONGFUJIN PRECISION ELECTRONICS (TIANJIN) CO., LTD. |
11083110 | Multifunction coolant manifold structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11083111 | Compact liquid cooling module for computer server | BULL SAS |
11083112 | Three-phase electronic control unit for enclosure air conditioners | HOFFMAN ENCLOSURES, INC. |
11083113 | Flexible rack-mounted cabinet-mounted cabinet covering structure for increasing airflow while allowing placement of non standard size equipment | -- |
11083114 | EMC cooling device | EBM-PAPST MULFINGEN GMBH & CO. KG |
11083115 | Apparatus for cooling power device of power conditioning system | HYOSUNG HEAVY INDUSTRIES CORPORATION |
11083116 | Cooler for display, and display device having same | LG ELECTRONICS INC. |
11083117 | Modular medical article storage container with tortuous path seal | MEPS REAL-TIME, INC. |
11083118 | Frames for electromagnetic interference (EMI) shielding assemblies including detachable pickup members | LAIRD TECHNOLOGIES, INC. |
11083119 | Charging apparatus for a vehicle and vehicle having a charging apparatus | DR. ING. H.C. F. PORSCHE AKTIENGESELLSCHAFT |
11083120 | Braided electromagnetic interference protective sleeve and method of construction thereof | FEDERAL-MOGUL POWERTRAIN LLC |
11083121 | Component mounting apparatus | FUJI CORPORATION |
11083174 | Communication assembly for monitoring biological data of animals | ZALLIANT, LLC |
11083256 | Near field communication ring | KWI GROUP LTD |
11083442 | Repair or refurbishment of limited use medical devices | MEDLINE INDUSTRIES, INC. |
11083857 | Method for assembling a cartridge for a smoking article | RAI STRATEGIC HOLDINGS, INC. |
11083907 | Superparamagnetic particle scaffold for regenerating damaged neural tissue | NEUROPAIR, INC. |
11084011 | Annealing method and nitrogen-doped metal oxide structure | INDUSTRY-UNIVERSITY COOPERATION FOUNDATION HANYANG UNIVERSITY ERICA CAMPUS |
11084059 | Method for producing rare-earth magnet | SHIN-ETSU CHEMICAL CO., LTD. |
11084132 | Diode laser fiber array for contour of powder bed fabrication or repair | GENERAL ELECTRIC COMPANY |
11084133 | Method for producing a clutch pack formed by clutch plates arranged on top of one another, and device for carrying out a method of this type | KIENLE + SPIESS GMBH |
11084379 | In-vehicle function control apparatus using detachable knob and method of controlling the same | HYUNDAI MOTOR COMPANY |
11084390 | Liquid-cooled charging connector | TESLA, INC. |
11084433 | Total supporting method and total supporting system of wire harness | HITACHI METALS, LTD. |
11084439 | Vehicle-mounted power supply apparatus | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11084521 | Electronic control unit and electric power steering device using the same | DENSO CORPORATION |
11084607 | Process and apparatus for continuously encapsulating elongated components and encapsulated elongated components obtained | ADIDAS AG |
11084694 | Jacking tool and semiconductor process apparatus having the same | SAMSUNG ELECTRONICS CO., LTD. |
11084751 | Shaped glass articles and methods for forming the same | CORNING INCORPORATED |
11084816 | Anionic imide material having ferromagnetism at room temperature and the use thereof | SOUTH CHINA UNIVERSITY OF TECHNOLOGY |
11084900 | Polyester single layer film | SKC CO., LTD. |
11084922 | Fluoropolymer composition | SOLVAY SPECIALTY POLYMERS ITALY S.P.A. |
11084950 | Fast conductivity polymer silver | FERRO CORPORATION |
11084956 | Electrically conductive particle and manufacturing method thereof, and electrically conductive adhesive and manufacturing method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
11085104 | Method for manufacturing Ni-based heat-resistant superalloy wire, and Ni-based heat-resistant super alloy wire | HITACHI METALS, LTD. |
11085113 | Film forming method and recording medium | TOKYO ELECTRON LIMITED |
11085117 | Method for etching the surface of aluminum fragments, aluminum fragments with an etched surface and material composites containing such fragments | CHRISTIAN-ALBRECHTS-UNIVERSITAET ZU KIEL |
11085188 | Metal panel electrical bonding clip | RMH TECH LLC |
11085208 | Modular electrical safety assembly | -- |
11085260 | Wireline-deployed ESP with self-supporting cable | BAKER HUGHES, A GE COMPANY, LLC |
11085261 | Well logging assembly | ZIEBEL AS |
11085265 | Downhole sealing tool | SCHLUMBERGER TECHNOLOGY CORPORATION |
11085426 | Artificial muscle actuators | LINTEC OF AMERICA, INC. |
11085443 | Compressor including terminal protection structure | DAIKIN INDUSTRIES, LTD. |
11085477 | Rear sealing bracket and cabinet including rear sealing bracket | CORNING RESEARCH & DEVELOPMENT CORPORATION |
11085480 | Captive shear bolt | BURNDY LLC |
11085575 | Bracket assembly and display device comprising the same | K-TRONICS (SUZHOU) TECHNOLOGY CO., LTD. |
11085578 | Mounting system, devices, methods and uses thereof | CORE-ARMS, LLC |
11085595 | Tangle-resistant decorative lighting assembly | -- |
11085623 | Power cable micro-junction-box for a strip light array | APOGEE LIGHTING HOLDINGS, LLC |
11085638 | Device for controlling the ignition of gas burners of a domestic cooking appliance | ILLINOIS TOOL WORKS INC. |
11085694 | Multiple microwave/radiofrequency (MW/RF) magnetrons heated single vessel/reactor/chamber and its various applications including a novel dehydration process employing solvent extraction and solvent recovery | PELICAN BIOTECH & CHEMICAL LABS PVT LTD |
11085702 | Heat sink | MITSUBISHI ELECTRIC CORPORATION |
11085709 | Heat exchange device for closed electrical apparatus | -- |
11085712 | Heat-dissipating sheet | SEKISUI POLYMATECH CO., LTD. |
11085800 | Tailor distributed amplification for fiber sensing | PRISMA PHOTONICS LTD. |
11085807 | Fill level measurement device with potential isolation in a waveguide | VEGA GRIESHABER KG |
11085865 | On-chip absorption sensor for determining a concentration of a specimen in a sample | HOCHSCHULE KARLSRUHE |
11085873 | Spectrometer having a discharge lamp with a plurality of beam paths | MIKROWELLEN LABOR TECHNIK AG |
11085882 | Multiphase laser ablation analysis instrument | APPLIED SPECTRA, INC. |
11085925 | Isomeric reagent tags for differential mobility spectrometry | DH TECHNOLOGIES DEVELOPMENT PTE. LTD. |
11085927 | Techniques for high throughput targeted proteomic analysis and related systems and methods | PRESIDENT AND FELLOWS OF HARVARD COLLEGE |
11085950 | Interface apparatus for semiconductor testing | SPIRE MANUFACTURING |
11085956 | Capacitor capacitance measurement device and power apparatus | MITSUBISHI ELECTRIC CORPORATION |
11085973 | Systems and methods for detecting leakage in a cable network system | VIAVI SOLUTIONS, INC. |
11085974 | Anomaly detection device and anomaly detection method | ALPS ALPINE CO., LTD. |
11086009 | Imaging device and corresponding imaging method | MICROWAVE CHARACTERIZATION CENTER |
11086039 | Connector for lanyard attachment of seismic node to cable | FAIRFIELD INDUSTRIES, INC. |
11086069 | Electronic device with illumination | APPLE INC. |
11086070 | Backlight module and display device | HEFEI BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11086078 | Methods and system for wavelength tunable optical components and sub-systems | AEPONYX INC. |
11086079 | Methods and system for wavelength tunable optical components and sub-systems | AEPONYX INC. |
11086083 | Optical connector with dust ingress mitigating cover | 3M INNOVATIVE PROPERTIES COMPANY |
11086090 | Cable fixing apparatus, cable connector and electrical device | TYCO ELECTRONICS (SHANGHAI) CO. LTD. |
11086091 | Sight glass | EATON INTELLIGENT POWER LIMITED |
11086124 | Detecting velocity state of a device | REAVIRE, INC. |
11086140 | Wearable device with heat transfer pathway | SNAP INC. |
11086158 | Array substrate, display panel and man-machine interactive terminal | BOE TECHNOLOGY GROUP CO., LTD. |
11086160 | Light control device | TOPPAN PRINTING CO., LTD. |
11086164 | Logic board assembly, display device and liquid crystal display | HKC CORPORATION LIMITED |
11086181 | Polymeric ion-conductive electrolyte sheet | POLYCEED, INC. |
11086205 | Light source device and projection apparatus | -- |
11086307 | Component mounting line control system that increases manufacturing processing time in response to operator location and storage capacity information | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11086346 | Managing power source interaction through an interconnect socket adapter configured with an energy storage source/sink | SAN DIEGO GAS & ELECTRIC COMPANY |
11086352 | Lockout for pendant control or other terminally-positioned structure on a cord | BRADY WORLDWIDE, INC. |
11086366 | User-serviceable dimensionally-constrained device | MICROSOFT TECHNOLOGY LICENSING, LLC |
11086370 | Activity-light-based parameter reporting system | DELL PRODUCTS L.P. |
11086407 | Device with a keypad that includes a bezel assembled with a flexible substrate | MOTOROLA SOLUTIONS, INC. |
11086440 | Matrix sensors | TACTUAL LABS CO. |
11087197 | Attention tag for retail article and retail article having same attached thereto | MURATA MANUFACTURING CO., LTD. |
11087198 | Miniaturized dual-resonance anti-metal RFID tag | XERAFY (SHANGHAI) CO., LTD. |
11087274 | System to detect event at an inventory location | AMAZON TECHNOLOGIES, INC. |
11087648 | Display device | LG DISPLAY CO., LTD. |
11087792 | Oriented body, method for producing the same, device for producing the same, and magnetic recording medium | THE UNIVERSITY OF TOKYO |
11087895 | Liquid tank inspection including device for launching submersible | ABB POWER GRIDS SWITZERLAND AG |
11087899 | Self-healing and stretchable polymeric compositions | THE BOARD OF TRUSTEES OF THE UNIVERSITY OF ALABAMA |
11087900 | Polymer-silica hybrid Pdots and methods of use thereof | UNIVERSITY OF WASHINGTON |
11087901 | Electrical component cover | KADDAS ENTERPRISES INC. |
11087902 | Branching unit for power distribution | SUBCOM, LLC |
11087903 | Twisted pair cable | LS CABLE & SYSTEM LTD. |
11087904 | Multicore cable | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11087905 | Strain gauge | MINEBEA MITSUMI INC. |
11087906 | Amphoteric magnetic material and manufacturing method thereof | GUANGZHOU NEWLIFE NEW MATERIAL CO., LTD |
11087907 | Artificial permanent magnet and method for producing the artificial permanent magnet | TECHNISCHE UNIVERSITÄT DARMSTADT |
11087908 | Method of manufacturing superparamagnetic nanocomposite and superparamagnetic nanocomposite manufactured using the same | AMOLIFESCIENCE CO., LTD. |
11087909 | Electronic component, electronic apparatus, and method for manufacturing electronic component | TAIYO YUDEN CO., LTD. |
11087910 | Magnetic potential energy barrier | -- |
11087911 | Autonomous mode change circuit for solenoid drivers | HAMILTON SUNDSTRAND CORPORATION |
11087912 | Magnetic field shield sheet for wireless power transmission and wireless power receiving module comprising same | AMOSENSE CO., LTD. |
11087913 | Transformer system | GENERAL ELECTRIC COMPANY |
11087914 | Common mode choke coil | TAIYO YUDEN CO., LTD. |
11087915 | Electronic component and manufacturing method thereof | TDK CORPORATION |
11087916 | Inductor and method of manufacturing the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11087917 | Surface-mount inductor | MURATA MANUFACTURING CO., LTD. |
11087918 | Coil component | MURATA MANUFACTURING CO., LTD. |
11087919 | Inductor array including coil components | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11087920 | System and method for transformer control | GENERAL ELECTRIC COMPANY |
11087921 | Inductive rotary joint with U-shaped ferrite cores | SCHLEIFRING GMBH |
11087922 | Production method of rare earth magnet | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11087923 | Multi-layered ceramic capacitor | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11087924 | Capacitor, particularly intermediate circuit capacitor for a multiphase system | ROBERT BOSCH GMBH |
11087925 | Power capacitor module with cooling arrangement | ABB POWER GRIDS SWITZERLAND AG |
11087926 | Multilayer ceramic capacitor and manufacturing method of multilayer ceramic capacitor | TAIYO YUDEN CO., LTD. |
11087927 | Substrates employing surface-area amplification, for use in fabricating capacitive elements and other devices | MURATA MANUFACTURING CO., LTD. |
11087928 | Electrolytic capacitor and method for manufacturing same | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11087929 | Electrolytic capacitor and method for producing same | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11087930 | Oxygen generation apparatus | FUJITSU LIMITED |
11087931 | Energy storing electrical device and a method of constructing an electrical device | CITY UNIVERSITY OF HONG KONG |
11087932 | Preparation method of anode active material for pseudocapacitor | LG CHEM, LTD. |
11087933 | Safety switch | IDEC CORPORATION |
11087934 | Arc-quenching device for direct current switch | OMRON CORPORATION |
11087935 | Key switch structure and assembling method thereof | LITE-ON ELECTRONICS (GUANGZHOU) LIMITED |
11087936 | Light guide structure and light-emitting keyboard device | LITE-ON ELECTRONICS (GUANGZHOU) LIMITED |
11087937 | Interkey support for keyboards | APPLE INC. |
11087938 | Switch device | ALPS ALPINE CO., LTD. |
11087939 | Device for interrupting non-short circuit currents only, in particular disconnector or earthing switch | ABB POWER GRIDS SWITZERLAND AG |
11087940 | Electrical interruption device | S&C ELECTRIC COMPANY |
11087941 | Electrical junction box | SUMITOMO WIRING SYSTEMS, LTD. |
11087942 | Electromagnetic relay and a method of making the same | -- |
11087943 | Fabrication of printed fuse | EATON INTELLIGENT POWER LIMITED |
11087944 | Circuit protection device | SMART ELECTRONICS INC. |
11087945 | Fuse with integrated heat shield | LITTELFUSE, INC. |
11087946 | X-ray tube | FUJIFILM CORPORATION |
11087948 | Multi-beam charged particle system | CARL ZEISS MULTISEM GMBH |
11087949 | Particle-optical apparatus and particle beam system | CARL ZEISS MICROSCOPY GMBH |
11087950 | Charge control device for a system with multiple electron beams | KLA-TENCOR CORPORATION |
11087951 | Scanning transmission electron microscope and aberration correction method | JEOL LTD. |
11087952 | Linear structure for displacement transmission, and one-dimensional and three-dimensional micro movement device using same | KOREA RESEARCH INSTITUTE OF STANDARDS AND SCIENCE |
11087953 | Moveable detector | BRUKER NANO GMBH |
11087954 | System and method for bare wafer inspection | ASML NETHERLANDS B.V. |
11087955 | System combination of a particle beam system and a light-optical system with collinear beam guidance, and use of the system combination | CARL ZEISS MULTISEM GMBH |
11087956 | Detection systems in semiconductor metrology tools | -- |
11087957 | Method of operating a particle beam system, particle beam system and computer program product | CARL ZEISS SMT GMBH |
11087958 | Restoration method for plasma processing apparatus | TOSHIBA MEMORY CORPORATION |
11087959 | Techniques for a hybrid design for efficient and economical plasma enhanced atomic layer deposition (PEALD) and plasma enhanced chemical vapor deposition (PECVD) | NANO-MASTER, INC. |
11087960 | Radio frequency power source and plasma processing apparatus | TOKYO ELECTRON LIMITED |
11087961 | Quartz component with protective coating | LAM RESEARCH CORPORATION |
11087962 | Real-time control of temperature in a plasma chamber | LAM RESEARCH CORPORATION |
11087963 | In-vehicle biochemical sensors | TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC. |
11087964 | Method and apparatus for improved electrospray emitter lifetime | THERMO FINNIGAN LLC |
11087965 | Sample plate for MALDI mass spectrometry and manufacturing method therefor | BIONEER CORPORATION |
11087966 | Mass spectrum resolution device for measuring laser ablation ion species with improved time of flight mass spectrometry | DALIAN UNIVERSITY OF TECHNOLOGY |
11087967 | Open dynamically harmonized ion trap for ion cyclotron resonance mass spectrometer | SKOLKOVO INSTITUTE OF SCIENCE AND TECHNOLOGY |
11087968 | Traveling wave multipole | THERMO FINNIGAN LLC. |
11087969 | Charge detection for ION current control | THERMO FISHER SCIENTIFIC (BREMEN) GMBH |
11087980 | Laser crystallization device | SAMSUNG DISPLAY CO., LTD. |
11087996 | Dry cleaning apparatus and dry cleaning method | SAMSUNG ELECTRONICS CO., LTD. |
11088003 | Apparatus for fabricating a semiconductor device and method for fabricating semiconductor device | -- |
11088005 | Electrostatic chuck having thermally isolated zones with minimal crosstalk | APPLIED MATERIALS, INC. |
11088036 | Atom probe tomography specimen preparation | -- |
11088049 | Heat sink formed from a high pipe density silicon carbide substrate | NLIGHT INC. |
11088051 | Test socket assembly and related methods | XCERRA CORPORATION |
11088066 | Multilayer structure and related method of manufacture for electronics | TACTOTEK OY |
11088096 | Transistor outline housing with high return loss | SCHOTT AG |
11088097 | Effective medium semiconductor cavities for RF applications | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11088098 | Integrated structures with antenna elements and IC chips employing edge contact connections | VIASAT, INC. |
11088123 | Package system having laterally offset and ovelapping chip packages | MARVELL ISRAEL (M.I.S.L) LTD. |
11088127 | Multichannel monostatic rangefinder | WAYMO LLC |
11088200 | Lattice matched seed layer to improve PMA for perpendicular magnetic pinning | -- |
11088240 | Capacitor structure | SAMSUNG ELECTRONICS CO., LTD. |
11088242 | Crystal, crystalline oxide semiconductor, semiconductor film containing crystalline oxide semiconductor, semiconductor device including crystal and/or semiconductor film and system including semiconductor device | FLOSFIA INC. |
11088282 | TFT substrate, scanned antenna having TFT substrate, and method for manufacturing TFT substrate | SHARP KABUSHIKI KAISHA |
11088319 | Magnetic tunnel junction including a free layer structure and magnetic memory device comprising the same | SAMSUNG ELECTRONICS CO., LTD. |
11088365 | Core-shell structured nanoparticles for lithium-sulfur cells | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
11088396 | Electrolyte formulations for electrochemical device | SOUTH 8 TECHNOLOGIES, INC. |
11088404 | Contact failure detection system | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11088421 | Battery pack | HONDA MOTOR CO., LTD. |
11088422 | Method for manufacturing laminated bus bar, manufacturing apparatus for laminated bus bar, laminated bus bar | YAZAKI CORPORATION |
11088428 | Rechargeable battery | SAMSUNG SDI CO., LTD. |
11088430 | Radio frequency resonators with bridge coupling adjacent resonators | HUAWEI TECHNOLOGIES CO., LTD. |
11088431 | Multimode resonators with split chamfer | HUAWEI TECHNOLOGIES CO., LTD. |
11088432 | Waveguide coupler | TEXAS INSTRUMENTS INCORPORATED |
11088433 | Thermal compensation for a holographic beam forming antenna | PIVOTAL COMMWARE, INC. |
11088435 | Modified Ni—Zn ferrites for radiofrequency applications | SKYWORKS SOLUTIONS, INC. |
11088436 | NFC antenna module | AMOTECH CO., LTD. |
11088437 | Electronic device including antenna | SAMSUNG ELECTRONICS CO., LTD. |
11088438 | Antenna using slot and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11088439 | Mobile device and detachable antenna structure | -- |
11088440 | Protective screen overlay with antenna element | PENUMBRA BRANDS, INC. |
11088441 | Methods and systems for mitigating interference with a nearby satellite | VIASAT, INC. |
11088442 | Ultra-wideband LTE antenna system | TAOGLAS GROUP HOLDINGS LIMITED |
11088443 | Antenna device and display device including the same | DONGWOO FINE-CHEM CO., LTD. |
11088444 | Antenna device | DENSO CORPORATION |
11088445 | Antenna assembly with compact layout traces | -- |
11088446 | Directional antenna with signal strength feedback and methods | TAOGLAS GROUP HOLDINGS LIMITED |
11088447 | Optically controlled meta-material phased array antenna system | VERIZON PATENT AND LICENSING INC. |
11088448 | Methods and systems for using a beam-forming network in conjunction with spatially multiplexed wireless signals | GO NET SYSTEMS LTD |
11088449 | Radio frequency transceiver with an antenna having selectable polarization | SENSATA TECHNOLOGIES, INC. |
11088450 | Antenna module for near field communication | AMOTECH CO., LTD. |
11088451 | Antenna segment and multi-segment antenna | TDK ELECTRONICS AG |
11088452 | Electronic devices having antennas with symmetric feeding | APPLE INC. |
11088453 | Vertical coupling structure for antenna feeds | AMAZON TECHNOLOGIES, INC. |
11088454 | Increasing bandwidth of a dipole antenna | K.N. TOOSI UNIVERSITY OF TECHNOLOGY |
11088455 | Spiral wideband low frequency antenna | TAOGLAS GROUP HOLDINGS LIMITED |
11088456 | Cavity backed notch antenna with additively manufactured radome | BAE SYSTEMS INFORMATION AND ELECTRONIC SYSTEMS INTEGRATION INC. |
11088457 | Waveguide antenna element based beam forming phased array antenna system for millimeter wave communication | SILICON VALLEY BANK |
11088458 | Reducing mutual coupling and back-lobe radiation of a microstrip antenna | TEHRAN |
11088459 | Reflector for an antenna | HUAWEI TECHNOLOGIES CO., LTD. |
11088460 | Reflector antenna arrangement | NOKIA SOLUTIONS AND NETWORKS OY |
11088461 | Quad band petal reflector antenna | CUSTOM MICROWAVE INC. |
11088462 | Quick-change circularly polarized antenna fitment | VIDEO AERIAL SYSTEMS, LLC |
11088463 | Realization and application of simultaneous circular polarization in switchable single polarization systems | THINKOM SOLUTIONS, INC. |
11088464 | Slot array antenna | NIDEC CORPORATION |
11088465 | Substrate-loaded frequency-scaled ultra-wide spectrum element | THE MITRE CORPORATION |
11088466 | Antennas and devices, systems, and methods including the same | FLEX LTD. |
11088467 | Printed wiring board with radiator and feed circuit | RAYTHEON COMPANY |
11088468 | Antenna module | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11088469 | Common antenna assembly and common antenna structure | -- |
11088470 | Antenna device and mobile terminal having the same | LG ELECTRONICS INC. |
11088471 | Terminal-attached electric wire and wire harness | YAZAKI CORPORATION |
11088472 | Tin-plated copper terminal material, terminal, and wire terminal part structure | MITSUBISHI MATERIALS CORPORATION |
11088473 | Overvoltage protection device with at least one overvoltage protection unit, consisting of a socket part and a plug part which can be connected to the socket part | DEHN SE + CO KG |
11088474 | Modularized structure of switch wire connection device | -- |
11088475 | Self-aligned printed terminals for FFC-style connectors | AMERICAN SEMICONDUCTOR, INC. |
11088476 | Board connector with chained terminals | SUMITOMO WIRING SYSTEMS, LTD. |
11088477 | Board-mounted shielded connector | TYCO ELECTRONICS JAPAN G.K. |
11088478 | Electrical connector | -- |
11088479 | Sockets including wicking regions mounted on a system board | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11088480 | High density receptacle | MOLEX, LLC |
11088481 | Scalable high-speed electrical cable assembly | 3M INNOVATIVE PROPERTIES COMPANY |
11088482 | Metallic material and connection terminal | AUTONETWORKS TECHNOLOGIES, LTD. |
11088483 | Terminal metal fitting with spring contact and spring contact deformation restriction portion | YAZAKI CORPORATION |
11088484 | Contact member | KITAGAWA INDUSTRIES CO., LTD. |
11088485 | Connector and electrical connection device | AUTONETWORKS TECHNOLOGIES, LTD. |
11088486 | Shield terminal and shield connector | AUTONETWORKS TECHNOLOGIES, LTD. |
11088487 | Outdoor socket structure | -- |
11088488 | Connector with one-sided dovetail projections | SUMITOMO WIRING SYSTEMS, LTD. |
11088489 | Shielded connector having a mechanism for preventing water invasion | YAZAKI CORPORATION |
11088490 | Multi-lock counter connector | ODU GMBH & CO. KG |
11088491 | Housing with lock piece | YAZAKI CORPORATION |
11088492 | Lever-type connector and method of assembling lever-type connector | YAZAKI CORPORATION |
11088493 | Lever-type connector | SUMITOMO WIRING SYSTEMS, LTD. |
11088494 | High speed communication jack | SENTINEL CONNECTOR SYSTEMS, INC. |
11088495 | Common mode choke | HOLLAND ELECTRICS, LLC |
11088496 | Male to male adapter | -- |
11088497 | RF electrical connector | FU DING PRECISION INDUSTRIAL (ZHENGZHOU) CO., LTD. |
11088498 | Universal isolator arranged for repositionable connection to a base module | EATON INTELLIGENT POWER LIMITED |
11088499 | Wear measuring system and method | SCHUNK TRANSIT SYSTEMS GMBH |
11088501 | Electrical connector and die set with a connector guide | HUBBELL INCORPORATED |
11088502 | Wire termination assembly station and universal applicator for insulation displacement (IDT) strip terminals and connectors therefor | -- |
11088503 | Laser with a gain medium layer doped with a rare earth metal with upper and lower light-confining features | GLOBALFOUNDRIES U.S. INC. |
11088504 | Optical fiber amplifier | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11088505 | Method for manufacturing gallium and nitrogen bearing laser devices with improved usage of substrate material | KYOCERA SLD LASER, INC. |
11088506 | CTE-matched textured heatlinks for optical refrigeration | -- |
11088507 | Laser source apparatus | KYOCERA SLD LASER, INC. |
11088508 | Controlling beam divergence in a vertical-cavity surface-emitting laser | LUMENTUM OPERATIONS LLC |
11088509 | Low resistance vertical cavity light source with PNPN blocking | UNIVERSITY OF CENTRAL FLORIDA RESEARCH FOUNDATION, INC. |
11088510 | Moisture control in oxide-confined vertical cavity surface-emitting lasers | II-VI DELAWARE, INC. |
11088511 | Semiconductor light emitting element | HAMAMATSU PHOTONICS K.K. |
11088512 | Nitride semiconductor element | ASAHI KASEI KABUSHIKI KAISHA |
11088513 | Laser diode device | ROBERT BOSCH GMBH |
11088514 | Power distributor | ELLENBERGER & POENSGEN GMBH |
11088516 | Self-leveling floor outlet cover | -- |
11088520 | Safety cover for electrical box mounted device and methods thereof | PRICE & PARKER PRODUCTS, INC. |
11088522 | Circuit assembly and electrical junction box | SUMITOMO WIRING SYSTEMS, LTD. |
11088533 | Active current injection through a fuse for an electric mobile application | EATON INTELLIGENT POWER LIMITED |
11088539 | Pressure generation apparatus and method for superconducting power equipment | KOREA ELECTRIC POWER CORPORATION |
11088540 | Switch circuit with high voltage protection that reduces leakage currents | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11088552 | Charging method, terminal, and charging adapter | HUAWEI TECHNOLOGIES CO., LTD. |
11088556 | Power system for high temperature applications with rechargeable energy storage | FASTCAP SYSTEMS CORPORATION |
11088570 | Portable terminal including multiple coil | SAMSUNG ELECTRONICS CO., LTD. |
11088583 | Rotary-electric-machine stator coil, rotary-electric-machine stator having the same, and rotary electric machine having the same | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
11088590 | Connection unit for a wiper motor and wiper motor | VALEO SYSTèMES D'ESSUYAGE |
11088594 | Motor and electric power steering device | NIDEC CORPORATION |
11088611 | Power converter | -- |
11088673 | Composite filter device, high-frequency front end circuit, and communication device | MURATA MANUFACTURING CO., LTD. |
11088675 | Acoustic wave filter with temperature sensor | SKYWORKS SOLUTIONS, INC. |
11088686 | Semiconductor module | SHINDENGEN ELECTRIC MANUFACTURING CO., LTD. |
11088715 | Communication system having a receptacle cage with an airflow channel | TE CONNECTIVITY SERVICES GMBH |
11088718 | Multi-colored ceramic housings for an electronic device | APPLE INC. |
11088723 | Electronic device and near field communication antenna thereof | -- |
11088727 | Electronic device | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11088730 | Stripline conformal patch antenna | THE BOEING COMPANY |
11088731 | MIMO antenna assembly having stacked structure | KMW INC. |
11088753 | System and method for radar disambiguation techniques | FORTEM TECHNOLOGIES, INC. |
11088764 | Out-of-band communication channel for sub-carrier-based optical communication systems | INFINERA CORPORATION |
11088768 | Method and apparatus for testing wireless communication to vehicles | RANLOS AB |
11088770 | Multi-panel base station test system | VIAVI SOLUTIONS INC. |
11088812 | Frequency multiplexed radio frequency identification | UNITED STATES OF AMERICA AS REPRESENTED BY THE ADMINISTRATOR OF THE NATIONAL AERONAUTICS AND SPACE ADMINISTRATION |
11089142 | Process of processing middle frame of mobile phone | GUANGDONG EVERWIN PRECISION TECHNOLOGY CO., LTD. |
11089186 | Image pickup apparatus equipped with heat dissipation mechanism | CANON KABUSHIKI KAISHA |
11089274 | Light source device and projection apparatus | -- |
11089389 | Remote communication and powered sensing/control/identification devices using high temperature compatible semiconductor materials | RAYTHEON TECHNOLOGIES CORPORATION |
11089417 | Method and device for recognition and arbitration of an input connection | STATON TECHIYA LLC |
11089595 | Interface matrix arrangement for multi-beam, multi-port antenna | EVEREST NETWORKS, INC. |
11089667 | X-ray computed tomography apparatus | CANON MEDICAL SYSTEMS CORPORATION |
11089671 | Integrated circuit / printed circuit board assembly and method of manufacture | ERIDAN COMMUNICATIONS, INC. |
11089673 | Wall for isolation enhancement | RAYTHEON COMPANY |
11089676 | Multi-layered fabrication processing | THE CHARLES STARK DRAPER LABORATORY, INC. |
11089683 | Optical module | CIG PHOTONICS JAPAN LIMITED |
11089687 | Additive manufacturing technology (AMT) low profile signal divider | RAYTHEON COMPANY |
11089688 | Sensor device having printed circuit board substrate with built-in media channel | TT ELECTRONICS PLC |
11089695 | Foldable display apparatus | SAMSUNG DISPLAY CO., LTD. |
11089696 | Portable information device | LENOVO (SINGAPORE) PTE. LTD. |
11089697 | Display device | KYOCERA CORPORATION |
11089698 | Rapid access display housing | BSC ENCLOSURES, LLC |
11089699 | Rollable display | LG DISPLAY CO., LTD. |
11089700 | Display device | LG DISPLAY CO., LTD. |
11089701 | Lighted cup holder for seating arrangements | RAFFEL SYSTEMS, LLC |
11089702 | Power conversion apparatus and electric vehicle | HITACHI, LTD. |
11089703 | Power converter | -- |
11089704 | Protective enclosure for data storage | -- |
11089705 | Electronics device having a plastic cover with a sealed center boss | CONTINENTAL AUTOMOTIVE SYSTEMS, INC. |
11089706 | Overhead display assembly and method for electronic gaming machines | ARISTOCRAT TECHNOLOGIES AUSTRALIA PTY LIMITED |
11089707 | Computing system, apparatus and electrical components thereof | LENOVO ENTERPRISE SOLUTIONS (SINGAPORE) PTE. LTD. |
11089708 | Housing of power supply | -- |
11089709 | Vehicular control device | MITSUBISHI ELECTRIC CORPORATION |
11089710 | Configuring a modular storage system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11089711 | Workbench and wiring duct | MEIDENSHA CORPORATION |
11089712 | Ventilated shield can | MICROSOFT TECHNOLOGY LICENSING, LLC |
11089713 | Systems and methods for redirection of airflow in an information handling system after an air mover fault | DELL PRODUCTS L.P. |
11089714 | Electronic device and its heat dissipation assembly | -- |
11089715 | Cooling chassis design for server liquid cooling of electronic racks of a data center | BAIDU USA LLC |
11089716 | Cage with an attached heatsink | SAMTEC, INC. |
11089717 | Reconfigurable storage thermal dissipation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11089718 | Data centre cooling systems | BRIPCO BVBA |
11089719 | Computer room heat-pipe air conditioning system with emergency cooling function and control and method thereof | -- |
11089720 | Heat extraction system for a computing equipment enclosure | OVH |
11089721 | Component supply system | FUJI CORPORATION |
11090117 | System for tissue ablation using pulsed laser | -- |
11090129 | Apparatus and methods for monitoring objects in a surgical field | STRYKER CORPORATION |
11090451 | Electronic cigarette power supply portion | FONTEM HOLDINGS 1 B.V. |
11090546 | Non-contact measurement device for golf course green | -- |
11090684 | Photoirradiation device, photoirradiation method | USHIO DENKI KABUSHIKI KAISHA |
11090716 | ϵ-iron oxide type ferromagnetic powder and magnetic recording medium | FUJIFILM CORPORATION |
11090722 | Method for preparing nickel nanopowders and method for making nickel nanopowders into paste | SOLUETA CO., LTD. |
11090750 | Method for producing a cooling device, a cooling device and a cooling arrangement | MAHLE INTERNATIONAL GMBH |
11090773 | Method for manufacturing server room cooling apparatus and air conditioning system for data center provided with same | NAVER CLOUD CORPORATION |
11090920 | Continuous strip method for producing an electric strip laminate which is wound as a coil | VOESTALPINE STAHL GMBH |
11091050 | Charging station for charging an electric vehicle | BUCHER HYDRAULICS AG |
11091051 | Cooling control system and method for an on-board charger of a plug-in vehicle | HYUNDAI MOTOR COMPANY |
11091095 | Truck headlight circuit | YOURTRUCKSHOP INC. |
11091232 | Watercraft having a waterproof container and a waterproof electrical connector | KAI CONCEPTS, LLC |
11091275 | Aerial drone operations support base | DRONEOVATION, INC. |
11091403 | Apparatus and methods for fertilizer production | BIO-FLEX LABS, LLC |
11091573 | Hydrosilylation crosslinking of polyolefin cable components | GENERAL CABLE TECHNOLOGIES CORPORATION |
11091627 | Epoxy resin composition | SUMITOMO SEIKA CHEMICALS CO., LTD. |
11091628 | Filling composition for cables | KRATON POLYMERS LLC |
11091663 | Method for producing dispersion liquid containing silver nanoparticles, and dispersion liquid containing silver nanoparticles | DAICEL CORPORATION |
11091716 | High-carbon biogenic reagents and uses thereof | CARBON TECHNOLOGY HOLDINGS, LLC |
11091832 | Oxide sintered body and sputtering target | KOBELCO RESEARCH INSTITUTE, INC. |
11091836 | Graphene structure forming method and graphene structure forming apparatus | TOKYO ELECTRON LIMITED |
11091842 | Oriented electromagnetic steel sheet and method for manufacturing oriented electromagnetic steel sheet | JFE STEEL CORPORATION |
11091958 | Shelf electrical signal connector | SUB-ZERO GROUP, INC. |
11092142 | Plasma electric propulsion device | CAPACITOR SCIENCES INCORPORATED |
11092185 | Shearable fastener bolt and method for clamping electric wires, and electrical connector comprising a zero-protrusion shearable fastener | TYCO ELECTRONICS SIMEL SAS |
11092206 | Electrically conductive mechanical vibration isolator | FORD GLOBAL TECHNOLOGIES, LLC |
11092298 | Electrical appliance ceiling suspension | -- |
11092321 | Chip-on-board modular lighting system and method of manufacture | LUMILEDS LLC |
11092349 | Systems and methods for providing cooling to a heat load | NORTEK AIR SOLUTIONS CANADA, INC. |
11092355 | System and method to redirect and/or reduce airflow using actuators | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11092392 | Transparent heat exchanger | RAYTHEON COMPANY |
11092433 | Construction of three-dimensional profiles of high aspect ratio structures using top down imaging | APPLIED MATERIALS, INC. |
11092459 | GPS features and functionality in an athletic watch system | NIKE, INC. |
11092464 | High speed AC input sensor conversion | HAMILTON SUNDSTRAND CORPORATION |
11092467 | Elastic encoder and manufacturing method thereof | -- |
11092495 | Optical emission spectroscopy system, method of calibrating the same, and method of fabricating semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11092504 | Micromechanical redundant piezoresistive array pressure sensor | ROSEMOUNT AEROSPACE INC. |
11092506 | Ionization gauge and cartridge | CANON ANELVA CORPORATION |
11092514 | Sensor dome arrangement | CONTI TEMIC MICROELECTRONIC GMBH |
11092523 | Gas phase sample preparation for cryo-electron microscopy | WISCONSIN ALUMNI RESEARCH FOUNDATION |
11092531 | Optical particle sensor | TRUMPF PHOTONIC COMPONENTS GMBH |
11092557 | Method for generating a result image | CARL ZEISS MICROSCOPY GMBH |
11092568 | Method of manufacture for an ion mobility filter | OWLSTONE MEDICAL LIMITED |
11092569 | Apparatus and methods for detection of molecules | CANNABIX TECHNOLOGIES INC. |
11092579 | Machine for chemical analysis comprising the combination of electron ionization mass spectrometry with liquid chromatography | -- |
11092614 | Measuring airflow for computing devices | CORE SCIENTIFIC, INC. |
11092643 | Antenna-in-package production test | INFINEON TECHNOLOGIES AG |
11092655 | Apparatus and method for testing electrical wiring of a device | GULFSTREAM AEROSPACE CORPORATION |
11092661 | Scanning ferromagnetic resonance (FMR) for wafer-level characterization of magnetic films and multilayers | -- |
11092666 | Millimeter wave radar unit and mounting method therefor | TOYODA GOSEI CO., LTD. |
11092671 | Laser diode optical frequency modulation linearization algorithm | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
11092684 | System and methods for three dimensional modeling of an object using a radio frequency device | VAYYAR IMAGING LTD |
11092702 | Particle beam system and method of operating a particle beam system | CARL ZEISS MICROSCOPY GMBH |
11092719 | Dynamic dot array illuminators | FACEBOOK TECHNOLOGIES, LLC |
11092763 | Coaxial wire and optical fiber trace via hybrid structures and methods to manufacture | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11092824 | Method of controlling semiconductor optical amplifier and optical amplifying apparatus | SUMITOMO ELECTRIC DEVICE INNOVATIONS, INC. |
11092878 | Electronic device | CANON KABUSHIKI KAISHA |
11092947 | Substrate manufacturing machine and substrate manufacturing line | FUJI CORPORATION |
11092987 | Temperature-compensated electronic apparatus | THERMO FISHER SCIENTIFIC (BREMEN) GMBH |
11092996 | Electronic device | -- |
11093009 | Movalbe input device in a computer casing | -- |
11093010 | Expansion module system | DELL PRODUCTS L.P. |
11093048 | System for modified key actions and haptic feedback for smart typing assist with a solid-state keyboard and touchpad | DELL PRODUCTS L.P. |
11093049 | Electronic device and method for controlling display in electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11093052 | Faceted eight-direction control pad | MICROSOFT TECHNOLOGY LICENSING, LLC |
11093311 | Technologies for monitoring node cluster health | INTEL CORPORATION |
11093428 | Convertible I/O signal processor for a process control network | PHOENIX CONTACT DEVELOPMENT AND MANUFACTURING, INC. |
11093430 | USB extension cord | -- |
11093812 | RFIC module, RFID tag, and article | MURATA MANUFACTURING CO., LTD. |
11094352 | Removing mechanism of storage device | CS SOLUTION HOLDING LIMITED |
11094399 | Method, system and program for analyzing mass spectrometoric data | SHIMADZU CORPORATION |
11094426 | Vacuum chamber arrangement for charged particle beam generator | ASML NETHERLANDS B.V. |
11094427 | Electrical field grading material and use thereof in electrical cable accessories | PRYSMIAN S.P.A. |
11094428 | Shielded cables | SOLVAY SPECIALTY POLYMERS ITALY S.P.A. |
11094429 | Non-metallic cable having PCS subassembly | CERRO WIRE LLC |
11094430 | Electric wire conductor, covered electric wire, and wiring harness | AUTONETWORKS TECHNOLOGIES, LTD. |
11094431 | Methods and systems for securely accessing and managing aggregated submarine cable system information | -- |
11094432 | Communication cable and crimping member | SUMITOMO WIRING SYSTEMS, LTD. |
11094433 | Braided flat conductive tape | FORD GLOBAL TECHNOLOGIES, LLC |
11094434 | Insulated wire, coil and method for manufacturing the coil | HITACHI METALS, LTD. |
11094435 | Bushing element and system composed of a separator and a bushing element | VOLKSWAGEN AKTIENGESELLSCHAFT |
11094436 | Resistor component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11094437 | Non-corrosive soft-magnetic powder | BASF SE |
11094438 | Feedback control for no-insulation high-temperature superconducting magnet | THE FLORIDA STATE UNIVERSITY RESEARCH FOUNDATION, INC. |
11094439 | Grooved, stacked-plate superconducting magnets and electrically conductive terminal blocks | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11094440 | Linearly enhanced circular magnetic field actuator | BOISE STATE UNIVERSITY |
11094441 | Magnetization of a hollow shaft | NOTE AG |
11094442 | Electromagnetic linear actuator | KOLEKTOR GROUP D.O.O. |
11094443 | Electronic component | ROHM CO., LTD. |
11094444 | Coil component | MURATA MANUFACTURING CO., LTD. |
11094445 | Electrical equipment having an assembly with a cylindrical bellow for pressure and volume compensation | ABB POWER GRIDS SWITZERLAND AG |
11094446 | Rogowski coil with low permeability core | EATON INTELLIGENT POWER LIMITED |
11094447 | Chip inductor and method for manufacturing the same | ROHM CO., LTD. |
11094448 | Inductor and inductor module having the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11094449 | Methods and apparatus for isolation barrier with integrated magnetics for high power modules | TEXAS INSTRUMENTS INCORPORATED |
11094450 | Core component | EPCOS AG |
11094451 | Electronic component and method for manufacturing electronic component | SUMIDA CORPORATION |
11094452 | Power converter | MITSUBISHI ELECTRIC CORPORATION |
11094453 | Electronic device and method for manufacturing electronic device | TDK CORPORATION |
11094454 | Noise reduction unit | YAZAKI CORPORATION |
11094455 | Module with reversely coupled inductors and magnetic molded compound (MMC) | TEXAS INSTRUMENTS INCORPORATED |
11094456 | Wireless power transmission device | TYCO ELECTRONICS (SHANGHAI) CO. LTD. |
11094457 | Method for manufacturing laminated iron core | MITSUI HIGH-TEC, INC. |
11094458 | Coil component and method for manufacturing the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11094459 | Substrates with integrated three dimensional inductors with via columns | QORVO US, INC. |
11094460 | Capacitor component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11094461 | Composite electronic component and board having the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11094462 | Multilayer ceramic electronic component | MURATA MANUFACTURING CO., LTD. |
11094463 | Method for manufacturing spherical ceramic-glass nanocomposite dielectrics for multilayer ceramic capacitor applications | AEGIS TECHNOLOGY INC. |
11094464 | Electronic component | MURATA MANUFACTURING CO., LTD. |
11094465 | Electronic component with external electrodes including conductive resin layer | TDK CORPORATION |
11094466 | Multi-layered ceramic electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11094467 | Multilayer ceramic capacitor and board having the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11094468 | Multilayer ceramic electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11094469 | Multilayer capacitor | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11094470 | Multilayer ceramic capacitor | MURATA MANUFACTURING CO., LTD. |
11094471 | Electrolytic capacitor | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11094472 | Method for producing electrode for aluminum electrolytic capacitor | NIPPON LIGHT METAL COMPANY, LTD. |
11094473 | All-day solar cell system integrating high capacity photochromic storage and discharge | UNIVERSITY OF MASSACHUSETTS |
11094474 | Photoelectric conversion element, dye-sensitized solar cell, metal complex dye, dye composition, and oxide semiconductor electrode | FUJIFILM CORPORATION |
11094475 | Separator for electrochemical element and electrochemical element | NIPPON KODOSHI CORPORATION |
11094476 | Structural super-capacitor composite and method of making same | VACTRONIX SCIENTIFIC LLC |
11094477 | Tensioning gear mechanism for tensioning a stored-energy spring of a spring-type stored-energy drive | SIEMENS ENERGY GLOBAL GMBH & CO. KG |
11094478 | Clad material for electric contacts and method for producing the clad material | TANAKA KIKINZOKU KOGYO K.K. |
11094479 | Key structure | -- |
11094480 | Keyboard device | -- |
11094481 | Keyswitch capable of identifying keycap change | -- |
11094482 | Control devices having independently suspended buttons for controlled actuation | LUTRON ELECTRONICS CO., INC. |
11094483 | Keyboard with adjustable feedback | APPLE INC. |
11094484 | Insulating medium for an electric energy transmission device | SIEMENS AKTIENGESELLSCHAFT |
11094485 | Medium voltage contactor | ABB SCHWEIZ AG |
11094486 | Magnetic trigger arrangement | COGNEX CORPORATION |
11094487 | Current interrupt device based on thermal activation of frangible glass bulb | 24M TECHNOLOGIES, INC. |
11094488 | Port connection circuit, port connection control method and electronic device | TOSHIBA CLIENT SOLUTIONS CO., LTD. |
11094489 | Seal housing for an electrical device and sealed relay using the seal housing | TYCO ELECTRONICS COMPONENTES ELECTROMECANICOS LDA. |
11094490 | Method and apparatus for automatic engagement of arc reduction technology | EATON INTELLLIGENT POWER LIMITED |
11094492 | Fuses, vehicle circuit for electric vehicle and electric vehicle | COOPER XI'AN FUSE CO., LTD. |
11094493 | Emitter structures for enhanced thermionic emission | LOCKHEED MARTIN CORPORATION |
11094494 | Window member for an x-ray device | OXFORD INSTRUMENTS X-RAY TECHNOLOGY INC. |
11094495 | Alkali semi-metal films and method and apparatus for fabricating them | RADIATION MONITORING DEVICES, INC. |
11094496 | Device for controlling electron flow and method for manufacturing said device | EVINCE TECHNOLOGY LIMITED |
11094497 | X-ray source target | GENERAL ELECTRIC COMPANY |
11094498 | Monochromator and charged particle beam system | JEOL LTD. |
11094499 | Apparatus of charged-particle beam such as electron microscope comprising sliding specimen table within objective lens | BORRIES PTE. LTD. |
11094500 | Discharge control apparatus and method | NGK SPARK PLUG CO., LTD. |
11094501 | Secondary charged particle imaging system | ICT INTEGRATED CIRCUIT TESTING GESELLSCHAFT FüR HALBLEITERPRüFTECHNIK MBH |
11094502 | Method and apparatus for inspection | ASML NETHERLANDS B.V. |
11094503 | Method of preparing thin film sample piece and charged particle beam apparatus | HITACHI HIGH-TECH SCIENCE CORPORATION |
11094504 | Resonator coil having an asymmetrical profile | APPLIED MATERIALS, INC. |
11094505 | Substrate processing apparatus, storage medium and substrate processing method | ASM IP HOLDING B.V. |
11094506 | Barrier film or sheet and laminated packaging material comprising the film or sheet and packaging container made therefrom | TETRA LAVAL HOLDINGS & FINANCE S.A. |
11094507 | Power generation systems and methods for plasma stability and control | TOKYO ELECTRON LIMITED |
11094508 | Film stress control for plasma enhanced chemical vapor deposition | APPLIED MATERIALS, INC. |
11094509 | Plasma processing apparatus | HITACHI HIGH-TECH CORPORATION |
11094510 | ECR ion source and method for operating an ECR ion source | DREEBIT GMBH |
11094511 | Processing chamber with substrate edge enhancement processing | APPLIED MATERIALS, INC. |
11094512 | Plasma processing apparatus and plasma processing method | HITACHI HIGH-TECH CORPORATION |
11094513 | Sputtering apparatus including cathode with rotatable targets, and related methods | GUARDIAN EUROPE S.À R.L. |
11094514 | Rotatable sputtering target | -- |
11094515 | Sputtering apparatus and sputtering method | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11094516 | Mass spectrometer, mass spectrometry method, and mass spectrometry program | SHIMADZU CORPORATION |
11094517 | Method and device for preparing measurement sample for MALDI mass spectrometry, measurement sample for MALDI mass spectrometry, MALDI mass spectrometry method, and non-transitory recording medium for preparing measurement sample for MALDI mass spectrometry | RICOH COMPANY, LTD. |
11094518 | Devices and methods for deep UV laser ablation | BOARD OF SUPERVISORS OF LOUISIANA STATE UNIVERSITY |
11094519 | Collision surface for improved ionisation | MICROMASS UK LIMITED |
11094520 | Multiple gas flow ionizer | PERKINELMER HEALTH SCIENCES CANADA, INC. |
11094521 | Dual mode mass spectrometer | MICROMASS UK LIMITED |
11094522 | Multiturn time-of-flight mass spectrometer and method for producing the same | SHIMADZU CORPORATION |
11094550 | Etching method and etching apparatus | TOKYO ELECTRON LIMITED |
11094551 | Plasma processing method and plasma processing apparatus | TOKYO ELECTRON LIMITED |
11094560 | Encapsulated semiconductor package | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11094563 | Fluid control system | ICHOR SYSTEMS, INC. |
11094574 | Substrate supporting device and plasma processing apparatus | TOSHIBA MEMORY CORPORATION |
11094605 | Systems and methods for supporting a component | BALL AEROSPACE & TECHNOLOGIES CORP. |
11094607 | Heatsink retainer assembly | RADIAN THERMAL PRODUCTS, INC. |
11094642 | Package structure | -- |
11094652 | Configurable radio transceiver and method thereof | -- |
11094663 | Method for transient liquid-phase bonding between metal materials using a magnetic force | RESEARCH AND BUSINESS FOUNDATION SUNGKYUNKWAN UNIVERSITY |
11094679 | White light source system | KABUSHIKI KAISHA TOSHIBA |
11094776 | Structure and formation method of semiconductor device with magnetic element covered by polymer material | -- |
11094777 | Functional contactor | AMOTECH CO., LTD. |
11094778 | Capacitor with high work function interface layer | SK HYNIX INC. |
11094858 | Tape, encapsulating process and optical device | -- |
11094878 | Short circuit reduction in magnetic tunnel junctions | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11094898 | Supporting structure and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11094927 | Positive electrode active material particle and manufacturing method of positive electrode active material particle | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11094944 | Electrically conductive material and electrode material | SAKAI CHEMICAL INDUSTRY CO., LTD. |
11094964 | Rechargeable electrochemical cell | BOARD OF TRUSTEES OF MICHIGAN STATE UNIVERSITY |
11094970 | Cooling for battery-integrated power electronics system | DR. ING. H.C. F. PORSCHE AKTIENGESELLSCHAFT |
11094995 | Membranes, separators, batteries, and methods | CELGARD, LLC |
11095009 | Partial dielectric loaded septum polarizer | VIASAT, INC. |
11095010 | Bandpass filter with induced transmission zeros | TELEDYNE DEFENSE ELECTRONICS, LLC |
11095011 | RF stripline circulator devices and methods | -- |
11095012 | Methods for conductively coating millimeter waveguides | INTEL CORPORATION |
11095013 | Integrated Tera-Hertz slide screw tuner | -- |
11095014 | Waveguide antenna with integrated temperature management | APTIV TECHNOLOGIES LIMITED |
11095015 | Locking of a roof antenna of a vehicle by means of a star wheel | HIRSHMANN CAR COMMUNICATION GMBH |
11095016 | Vehicle roof having conductive coating for wireless communication | HYUNDAI MOTOR COMPANY |
11095017 | Electronic device having angle of arrival detection capabilities | APPLE INC. |
11095018 | Multiple phase shifter for electromagnetic waves operating in particular in a three-dimensional manner | -- |
11095019 | Radio communication device and board | FUJIKURA LTD. |
11095020 | Combination antenna for mobile services for vehicles | FUBA AUTOMOTIVE ELECTRONICS GMBH |
11095021 | Wearable device including mutli-band antenna | SAMSUNG ELECTRONICS CO., LTD. |
11095022 | Planar antenna and wireless module | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11095023 | Laser-induced graphene/graphite antenna | SAVANNAH RIVER NUCLEAR SOLUTIONS, LLC |
11095024 | Radome for base station antenna | COMMSCOPE TECHNOLOGIES LLC |
11095025 | Radome wall for communication applications | LUFTHANSA TECHNIK AG |
11095026 | Communication device with extended grounding structure to enhance antenna performance | SERNET (SUZHOU) TECHNOLOGIES CORPORATION |
11095027 | Compressed closed circuit circularly polarized omni-directional antenna | VIDEO AERIAL SYSTEMS, LLC |
11095028 | Frequency tunable antenna and method of manufacturing the same, display panel | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD |
11095029 | Antenna device | -- |
11095030 | Receiver for a phased array antenna | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11095031 | Lossy antenna arrays with frequency-independent beamwidth | UNITED STATES OF AMERICA AS REPRESENTED BY THE SECRETARY OF THE AIR FORCE |
11095032 | Antenna structure | -- |
11095033 | Antenna apparatus and terminal | HUAWEI TECHNOLOGIES CO., LTD. |
11095034 | Antenna, peripheral circuit, antenna system, and signal processing method | HUAWEI TECHNOLOGIES CO., LTD. |
11095035 | Broad band dipole antenna | AEROANTENNA TECHNOLOGY, INC. |
11095036 | Coupled-slot airfoil antenna | BALL AEROSPACE & TECHNOLOGIES CORP. |
11095037 | Antenna module | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11095038 | Polarization control plate | NEC CORPORATION |
11095039 | Communication apparatus | NEC CORPORATION |
11095040 | Antenna and mimo antenna | AGC INC. |
11095041 | Collinear antenna assembly and series-fed omnidirectional collinear antenna array | NORSAT INTERNATIONAL INC. |
11095042 | Periodic tapered structure | THE BOEING COMPANY |
11095043 | Electronically-controlled polarization of antenna arrays | -- |
11095044 | Combined omnidirectional and directional antennas | NOKIA SHANGHAI BELL CO., LTD. |
11095045 | Slow wave structure for millimeter wave antennas | INTEL CORPORATION |
11095046 | Antenna structure | -- |
11095047 | Multiple wideband or broadband antennas | -- |
11095048 | Multiple band antenna structures | FITBIT, INC. |
11095049 | Aluminum electric wire crimping terminal, crimping device and crimping method | YAZAKI CORPORATION |
11095050 | Cable connector | -- |
11095051 | Clamp with a conductive bridge mechanism | -- |
11095052 | Wiring terminal | -- |
11095053 | Tool-less terminal block | -- |
11095054 | Conductor terminal and method of assembling a conductor terminal | WAGO VERWALTUNGSGESELLSCHAFT MBH |
11095055 | Terminal block and terminal block assembly for medium to high voltage applications | RAYTHEON COMPANY |
11095056 | Electrical connector with reduce distance between electrical terminals | DONGGUAN LUXSHARE TECHNOLOGIES CO., LTD. |
11095057 | Contact with a press-fit fastener | INTERPLEX INDUSTRIES, INC. |
11095058 | Multichannel connector and assembly thereof | ELECTRIC CONNECTOR TECHNOLOGY CO., LTD. |
11095059 | Connector | MOLEX, LLC |
11095060 | Bottom layer mount for USB connector | ARRIS ENTERPRISES LLC |
11095061 | Electrical plug connector | MICRO-EPSILON MESSTECHNIK GMBH & CO. KG |
11095062 | Connector assembly | WESTINGHOUSE AIR BRAKE TECHNOLOGIES CORPORATION |
11095063 | Terminal metal fitting and engagement structure of terminal metal fitting and housing | YAZAKI CORPORATION |
11095064 | Connector structure | YAZAKI CORPORATION |
11095065 | Combination structure of socket of power supply device | -- |
11095066 | Electrical apparatus | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11095067 | Electrical connector with waterproof structure | -- |
11095068 | Water resistant connector for noninvasive patient monitor | MASIMO CORPORATION |
11095069 | Coupling member for electrical connection | SIEMENS AKTIENGESELLSCHAFT |
11095070 | Electrical connector | J.S.T. MFG. CO., LTD. |
11095071 | Connector, electronic component, and electronic device | HUAWEI TECHNOLOGIES CO., LTD. |
11095072 | Coaxial connector having torque-limiting compression ring | PPC BROADBAND, INC. |
11095073 | Locking clip | HARTING ELECTRIC GMBH & CO. KG |
11095074 | Electric connection plug with locking function | -- |
11095075 | Electrical device with a plug connector having a flexible section | TE CONNECTIVITY SERVICES GMBH |
11095076 | Cable connector | TE CONNECTIVITY SERVICES GMBH |
11095077 | Electrical equipment comprising an LED for detecting a connector | SAGEMCOM ENERGY & TELECOM SAS |
11095078 | Powered tree construction with rotation limiting | POLYGROUP MACAU LIMITED (BVI) |
11095079 | Electrical connector and method for assembling an electrical connector | ROSENBERGER HOCHFREQUENZTECHNIK GMBH |
11095080 | Multifunctional power board | JIANDE HUNLEE ELECTRICAL APPLIANCE CO., LTD. |
11095081 | Power distribution unit system incorporating smart cables and adapters | SERVER TECHNOLOGY, INC. |
11095082 | Wearable power cord | -- |
11095083 | Connector electrical contact re-setting tool | RAYTHEON COMPANY |
11095084 | Laser system with isolated optical cavity | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11095085 | System and method for laser system having non-planar thin disc gain media | LAWRENCE LIVERMORE NATIONAL SECURITY, LLC |
11095086 | Amplification optical fiber, fiber laser device, and optical resonator | FUJIKURA LTD. |
11095087 | Picosecond laser apparatus and methods for treating target tissues with same | CYNOSURE, LLC |
11095088 | Multi-pass coaxial molecular gas laser | ZOYKA LLC |
11095089 | Ultrafast pulse laser system utilizing intensity pulse shape correction | -- |
11095090 | Laser module and laser projection device | QINGDAO HISENSE LASER DISPLAY CO., LTD. |
11095091 | Packages for high-power laser devices | TERADIODE, INC. |
11095092 | Switch circuit and laser irradiation device | ASAHI KASEI MICRODEVICES CORPORATION |
11095093 | Laser driver with high-speed and high-current and current modulating method thereof | ANHUI TRANSILICA MICROELECTRONICS CO., LTD. |
11095094 | Compact wavelength-swept single longitudinal mode laser for optical frequency domain reflectometry | U.S.A. AS REPRESENTED BY THE ADMINISTRATOR OF THE NATIONAL AERONAUTICS AND SPACE ADMINISTRATION |
11095095 | Low cost external cavity diode lasers | ARIZONA BOARD OF REGENTS ON BEHALF OF THE UNIVERSITY OF ARIZONA |
11095096 | Method for a GaN vertical microcavity surface emitting laser (VCSEL) | YALE UNIVERSITY |
11095097 | Integrated semiconductor optical amplifier and laser diode at visible wavelength | KING ABDULLAH UNIVERSITY OF SCIENCE AND TECHNOLOGY |
11095098 | Power supply cabinet | DELTA ELECTRONICS (SHANGHAI) CO., LTD. |
11095099 | Gas-insulated switching device | MITSUBISHI ELECTRIC CORPORATION |
11095103 | Disintegrating binders for multi-member cable | COMMSCOPE TECHNOLOGIES LLC |
11095106 | Configurable electrical receptacles | SWIDGET CORP. |
11095108 | Point of use protective wiring device | PASS & SEYMOUR, INC. |
11095109 | Device for recognizing an arcing fault, and electrical switchgear | SIEMENS AKTIENGESELLSCHAFT |
11095110 | Energy harvesting from fault currents | SMART WIRES INC. |
11095113 | Systems and methods for lightning protection in power distribution modules | HAMILTON SUNDSTRAND CORPORATION |
11095115 | System, method, and apparatus for power distribution in an electric mobile application using a combined breaker and relay | EATON INTELLIGENT POWER LIMITED |
11095116 | Fuse life management in an electric mobile application | EATON INTELLIGENT POWER LIMITED |
11095117 | DC-DC converters having DIN rail mounts | VERTIV CORPORATION |
11095119 | Corrosion mitigation for an external connector of an electronic device | APPLE INC. |
11095125 | Device and method for harvesting energy from a power line magnetic field | ACLARA TECHNOLOGIES LLC |
11095129 | Capacitor based power system and unmanned vehicle with the capacitor based power system thereof | CAPACITOR SCIENCES INCORPORATED |
11095134 | Method of producing a modular battery storage system, modular battery storage system, and battery management system therefor | VARTA MICROBATTERY GMBH |
11095137 | Wireless power transmission device for vehicle | AMOSENSE CO., LTD. |
11095163 | Non-contact power reception apparatus for non-contact charging and electronic settlement performed in a single portable terminal | GE HYBRID TECHNOLOGIES, LLC |
11095165 | RF power harvester | DRAYSON TECHNOLOGIES (EUROPE) LIMITED |
11095167 | Power transmission device | MAXELL, LTD. |
11095169 | SWIPT signal receiver and SWIPT signal receiving method | RESEARCH AND BUSINESS FOUNDATION SUNGKYUNKWAN UNIVERSITY |
11095200 | Actuator | NIDEC SANKYO CORPORATION |
11095210 | Mitigation of touch current in handheld electrical tools | HUSQVARNA AB |
11095239 | Battery pack | BLACK & DECKER INC. |
11095280 | Efficient IGBT switching | EAGLE HARBOR TECHNOLOGIES, INC. |
11095318 | Transceiver using active device array and antenna module including the same | SAMSUNG ELECTRONICS CO., LTD. |
11095322 | Multiplexed antenna and method for multiplexing antenna | VIVO MOBILE COMMUNICATION CO., LTD. |
11095332 | Signal amplifier of multi-antenna system | SHENZHEN DACHI COMMUNICATION CO., LTD |
11095341 | Method and device for transmitting signal | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
11095349 | Electronic device for selecting antenna to support designated radio communication among plurality of antennas | SAMSUNG ELECTRONICS CO., LTD. |
11095358 | System and method for beam switching and reporting | QUALCOMM INCORPORATED |
11095362 | Mitigating interference in radio systems | VERIZON PATENT AND LICENSING INC. |
11095365 | Wide-angle illuminator module | LUMENTUM OPERATIONS LLC |
11095369 | Device and method for launching tranverse magnetic waves | ARRIS ENTERPRISES LLC |
11095374 | Out-of-band communication channel for sub-carrier-based optical communication systems | INFINERA CORPORATION |
11095392 | Handheld portable countermeasure device against unmanned systems | DEDRONE DEFENSE, INC. |
11096252 | Resistive bypass for series lighting circuit | SEASONAL SPECIALTIES, LLC |
11096253 | Method and circuitry to configure multiple drivers simultaneously | UNIVERSAL LIGHTING TECHNOLOGIES, INC. |
11096264 | Intelligent wall panel switch | SHENZHEN MANKA IOT ELECTRONICS CO., LTD. |
11096268 | Motor power pack with overmolded printed circuit board integrated connector | -- |
11096269 | Printed circuit board assembly | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11096274 | Flexible display device | SAMSUNG ELECTRONICS CO., LTD. |
11096280 | Relay | OMRON CORPORATION |
11096284 | Compact semiconductor chip system and method | INTEL CORPORATION |
11096292 | Display apparatus and method for manufacturing display apparatus | SAKAI DISPLAY PRODUCTS CORPORATION |
11096293 | Electronic device and display device applied to the same | SAMSUNG DISPLAY CO., LTD. |
11096294 | Portable information device and display assembly | LENOVO (SINGAPORE) PTE. LTD. |
11096295 | Electric control unit | MANDO CORPORATION |
11096296 | Information display product | JORDAN MICHAEL DWIGHT GAIETTO |
11096297 | Housing for electronic components | VEONEER SWEDEN AB |
11096298 | Power distribution bus bar for distributing power to surface mount connectors | KRAMBU INC. |
11096299 | Electronic device | INVENTEC (PUDONG) TECHNOLOGY CORPORATION |
11096300 | Bus bar and bus bar fixation structure | YAZAKI CORPORATION |
11096301 | Vehicular radar sensor with mechanical coupling of sensor housing | MAGNA ELECTRONICS INC. |
11096302 | Server | HONGFUJIN PRECISION ELECTRONICS (TIANJIN) CO., LTD. |
11096303 | Direct current connector, alternating current/direct current input device, and alternating current/direct current input system | HUAWEI TECHNOLOGIES CO., LTD. |
11096304 | Cages for electronic components | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11096305 | Server | INVENTEC (PUDONG) TECHNOLOGY CORPORATION |
11096306 | Server | INVENTEC (PUDONG) TECHNOLOGY CORPORATION |
11096307 | Members and locks for securing devices and/or slots in a computer rack | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11096308 | Processing assembly | INVENTEC (PUDONG) TECHNOLOGY CORPORATION |
11096309 | Chassis and method for manufacturing the same | EMC IP HOLDING COMPANY LLC |
11096310 | Support rail fastening means | EATON INTELLIGENT POWER LTD. |
11096311 | Thermal management system | CISCO TECHNOLOGY, INC. |
11096312 | Heat dissipation apparatus with fan | AIC INC. |
11096313 | Heat sink, heat sink arrangement and module for liquid immersion cooling | ICEOTOPE GROUP LIMITED |
11096314 | Front accessible fan tray with front-to-back cooling in a modular electronic system | CISCO TECHNOLOGY, INC. |
11096315 | Multifunction coolant manifold structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11096316 | Discrete set-point-based datacenter cooling based on evaporative cooling status | AMAZON TECHNOLOGIES, INC. |
11096317 | Display assembly with loopback cooling | MANUFACTURING RESOURCES INTERNATIONAL, INC. |
11096318 | Ferrite laminate and noise suppression sheet | TODA KOGYO CORP. |
11096319 | Method of manufacturing electronic device using large-scale transferring method | EWHA UNIVERSITY-INDUSTRY COLLABORATION FOUNDATION |
11096320 | Component mounter and nozzle imaging method | YAMAHA HATSUDOKI KABUSHIKI KAISHA |
11096321 | Component supply device and component mounting machine equipped with same | YAMAHA HATSUDOKI KABUSHIKI KAISHA |
11096429 | System and method for wireless charging of smart garments | ANALOG DEVICES, INC. |
11096605 | Modular coil assembly | MEDTRONIC NAVIGATION, INC. |
11096631 | Modular multi-parameter patient monitoring device | MASIMO CORPORATION |
11097121 | Modular defibrillator architecture | AVIVE SOLUTIONS, INC. |
11097310 | Paint hardening device and paint hardening method | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11097347 | Method of producing atomized powder and method of manufacturing magnetic core | HITACHI METALS, LTD. |
11097366 | Method for manufacturing electrical component and electrical component | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11097425 | Automated switch activation system | LOCKHEED MARTIN CORPORATION |
11097499 | Polyaniline/carbon nanotube sheet nanocomposites | UNITED STATES OF AMERICA AS REPRESENTED BY THE ADMINISTRATOR OF NASA |
11097514 | Method of improving optical quality of curved glass structures | CORNING INCORPORATED |
11097608 | Method for manufacturing a solenoid-armature ram composite and a solenoid-armature ram composite for a linear actuator | RAPA AUTOMOTIVE GMBH & CO. KG |
11097616 | Operating device for a vehicle component | BEHR-HELLA THERMOCONTROL GMBH |
11097622 | Inter-vehicle transmission system and transmission device | MITSUBISHI ELECTRIC CORPORATION |
11097628 | Energy transmission and control system and communications device | CONDUCTIX, INC. |
11097752 | Heat sink cover and electrically operated vehicle | SIEMENS MOBILITY GMBH |
11097956 | Surface-modified iron-based oxide magnetic particle powder | DOWA ELECTRONICS MATERIALS CO., LTD. |
11098190 | Polybutylene terephthalate resin composition and molded article | MITSUBISHI ENGINEERING-PLASTICS CORPORATION |
11098201 | Carbon black for batteries, conductive composition for electrodes, electrode for batteries, and battery | DENKA COMPANY LIMITED |
11098227 | Conductive adhesive | TATSUTA ELECTRIC WIRE & CABLE CO., LTD. |
11098397 | Yttrium fluoride spray material, yttrium oxyfluoride-deposited article, and making methods | SHIN-ETSU CHEMICAL CO., LTD. |
11098398 | Yttrium fluoride spray material, yttrium oxyfluoride-deposited article, and making methods | SHIN-ETSU CHEMICAL CO., LTD. |
11098400 | Machine for coating an optical article with an anti-soiling coating composition and method for using the machine | ESSILOR INTERNATIONAL |
11098401 | Method of forming wiring on side portion of substrate | TETOS CO., LTD. |
11098405 | Film forming apparatus and film forming method | TOSHIBA MEMORY CORPORATION |
11098406 | Substrate support unit and deposition apparatus including the same | SAMSUNG ELECTRONICS CO., LTD. |
11098416 | Doped gallium oxide crystalline material and preparation method and application thereof | SHANGHAI INSTITUTE OF OPTICS AND FINE MECHANICS, CHINESE ACADEMY OF SCIENCES |
11098526 | System and method for installing a transparent organic lighting diode (TOLED) display with transparency control on architectural glass | LUSID, LLC |
11098755 | Hydrodynamic bearing system and method for operating said hydrodynamic bearing system | GE PRECISION HEALTHCARE LLC |
11098788 | Curvature-adjustable backboard assembly and curved display device | TCL CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11098849 | Detection systems for power tools with active injury mitigation technology | SAWSTOP HOLDING LLC |
11098872 | Vehicle luminaire and vehicle lamp | TOSHIBA LIGHTING & TECHNOLOGY CORPORATION |
11098880 | Luminaire with improved assembly, installation, and wireless functionality | ABL IP HOLDING LLC |
11098885 | Lighting apparatus | XIAMEN LEEDARSON LIGHTING CO., LTD |
11098897 | Hot surface igniters and methods of making same | SPECIALIZED COMPONENT PARTS LIMITED, INC. |
11098949 | Refrigerator appliance having USB features | HAIER US APPLIANCE SOLUTIONS, INC. |
11098955 | Micro-scale wireless heater and fabrication method and applications thereof | -- |
11098959 | Electronic device having heat collection/diffusion structure | SAMSUNG ELECTRONICS CO., LTD. |
11099050 | Waveguide coupling for a fill level radar | VEGA GRIESHABER KG |
11099062 | Electronic device | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11099081 | Current generating circuits capable of generating currents with different temperature coefficients and flexibly adjusting slope of the temperature coefficient | -- |
11099116 | Sample analysis for mass cytometry | FLUIDIGM CANADA INC. |
11099126 | Upconversion system comprising a glow discharge device (GDD) for imaging and communication | B. G. NEGEV TECHNOLOGIES AND APPLICATIONS LTD., AT BEN-GURION UNIVERSITY |
11099133 | Flexible paper-based surface-enhanced Raman scattering substrate and method for preparing same | TSINGHUA UNIVERSITY |
11099153 | Counterflow uniform-field ion mobility spectrometer | THERMO FINNIGAN LLC |
11099154 | Method and device for separating metabolites or stereoisomers | ROCHE DIAGNOSTICS OPERATIONS, INC. |
11099161 | Ionizer and mass spectrometer | SHIMADZU CORPORATION |
11099198 | Quantitation of insulin by mass spectrometry of insulin A chain | QUEST DIAGNOSTICS INVESTMENTS INCORPORATED |
11099215 | Sensor with discrete impedance elements for high voltage connectors | 3M INNOVATIVE PROPERTIES COMPANY |
11099245 | Cell for optically pumped magnetic sensor | HAMAMATSU PHOTONICS K.K. |
11099255 | Radar and antenna built in radar | BITSENSING INC. |
11099257 | Radar system having a clearing device | GEOPRAEVENT AG |
11099296 | Omnidirectional identification sensor for a deeply buried non-metal polymer tube | ELYDAN S.A.S. |
11099482 | Adapting the duration of exposure slots in multi-beam writers | IMS NANOFABRICATION GMBH |
11099592 | Current self-checking regulation circuit based on voltage calibration | AMPLIPHY TECHNOLOGIES LIMITED |
11099608 | Display device | LG DISPLAY CO., LTD. |
11099609 | Cosmetic co-removal of material for electronic device surfaces | APPLE INC. |
11099614 | Function expansion device and electronic device including the same | -- |
11099616 | Heat dissipating housing and pluggable electronic device having the same | -- |
11099617 | Combination information handling resource carrier and airflow blank | DELL PRODUCTS L.P. |
11099618 | Compact portable data storage device | SEAGATE TECHNOLOGY LLC |
11099649 | Device having integrated interface system | APPLE INC. |
11099656 | Low-height key structure | -- |
11099665 | Control knob for controlling operation of a machine | DEFOND ELECTECH CO., LTD. |
11099667 | Roller module for a mouse device having a movable magnet | -- |
11099680 | Matrix sensor with receive isolation | TACTUAL LABS CO. |
11100022 | Interface adapter device and mobile terminal | VIVO MOBILE COMMUNICATION CO., LTD. |
11100035 | Hot-pluggable barrel jack connection system for data communication and power | ERP POWER, LLC |
11100038 | Method and apparatus for providing interface | SAMSUNG ELECTRONICS CO., LTD. |
11100223 | Core shell liquid metal encapsulates comprising multi-functional ligands and networks comprising same | UNITED STATES OF AMERICA AS REPRESENTED BY THE SECRETARY OF THE AIR FORCE |
11100378 | Packaging paperboard and method for manufacturing same | MURATA MANUFACTURING CO., LTD. |
11100382 | Card-type wireless communication device | MURATA MANUFACTURING CO., LTD. |
11100441 | Management device | FUJI CORPORATION |
11100810 | Drone encroachment avoidance monitor | -- |
11100852 | Display device | LG ELECTRONICS INC. |
11101052 | Conductive material, connection structure and method for producing connection structure | SEKISUI CHEMICAL CO., LTD. |
11101053 | System, composition and method of application of same for reducing the coefficient of friction and required pulling force during installation of wire or cable | ENCORE WIRE CORPORATION |
11101054 | Core wire for multi-core cables and multi-core cable | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11101055 | Wiring member | SUMITOMO WIRING SYSTEMS, LTD. |
11101056 | Low-profile cable armor | AFC CABLE SYSTEMS. INC. |
11101057 | Highly thermostable rare-earth permanent magnetic material, preparation method thereof and magnet containing the same | GRIREM ADVANCED MATERIALS CO., LTD. |
11101058 | Compact, electromagnetic component, and method for producing compact | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11101059 | Quench detection in superconducting magnets | TOKAMAK ENERGY LTD |
11101060 | Partially-insulated HTS coils | TOKAMAK ENERGY LTD |
11101061 | Method of making slow wave inductive structure | -- |
11101062 | Coil component | TAIYO YUDEN CO, , LTD. |
11101063 | Planar transformer for energy transfer | PHOENIX CONTACT GMBH & CO. KG |
11101064 | Pulse transformer | TDK CORPORATION |
11101065 | Electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11101066 | Electromagnetic coil | SENSATA TECHNOLOGIES, INC. |
11101067 | Wireless power transmitter with shielding structure | TEXAS INSTRUMENTS INCORPORATED |
11101068 | Integrated barrier for protecting the coil of air core reactor from projectile attack | TRENCH LIMITED—TRENCH GROUP CANADA |
11101069 | Electronic component | MURATA MANUFACTURING CO., LTD. |
11101070 | Printing plate for gravure printing and method for manufacturing multilayer ceramic electronic component using the same | MURATA MANUFACTURING CO., LTD. |
11101071 | Chip capacitor and manufacturing method thereof | ROHM CO., LTD. |
11101072 | Capacitor with limited substrate capacitance | MURATA MANUFACTURING CO., LTD. |
11101073 | Dielectric ceramic composition and multilayer ceramic capacitor comprising the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11101074 | Ceramic electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11101075 | Multilayer ceramic electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11101076 | Capacitor for resonant circuits in power applications | POLITECNICO DI TORINO |
11101077 | Conductive polymer dispersion for improved reliability | KEMET ELECTRONICS CORPORATION |
11101078 | Energy storage apparatus | GS YUASA INTERNATIONAL LTD. |
11101079 | Solar cell and solar cell manufacturing method | SEKISUI CHEMICAL CO., LTD. |
11101080 | Photoelectric conversion element and solar cell | RICOH COMPANY, LTD. |
11101081 | Composite photovoltaic structure and manufacturing method thereof | -- |
11101082 | On-chip supercapacitor with silicon nanostructure | UNIVERSITY OF SOUTH-EASTERN NORWAY |
11101083 | Electrical switch | ABB SCHWEIZ AG |
11101084 | Switching assembly with secure attachment solution for emergency stop device | SCHNEIDER ELECTRIC INDUSTRIES SAS |
11101085 | Key module | -- |
11101086 | Electrical switch | LISA DRAXLMAIER GMBH |
11101087 | Control device for vacuum bottle contacts of an electrical switching device | SCHNEIDER ELECTRIC INDUSTRIES SAS |
11101088 | Methods for controlling the power supply of a vacuum cleaner motor | EMERSON ELECTRIC CO. |
11101089 | Independent pressure monitoring switch element | SIEMENS AKTIENGESELLSCHAFT |
11101090 | Digital signal output device that outputs a digital signal in accordance with operation switch | FANUC CORPORATION |
11101091 | Modular safety relay circuit for the safe switching on and/or off of at least one machine | SICK AG |
11101092 | Electromagnetic relay | OMRON CORPORATION |
11101093 | Fuses and methods of forming fuses | LITTELFUSE, INC. |
11101094 | Safety system | ZENNER-NETWORKS LTD. |
11101095 | Devices having an electron emitting structure | NANO-X IMAGING LTD. |
11101096 | High dose output, through transmission and relective target X-ray system and methods of use | RAD SOURCE TECHNOLOGIES, INC. |
11101097 | Compact source for generating ionizing radiation, assembly comprising a plurality of sources and process for producing the source | THALES |
11101098 | X-ray generation apparatus with electron passage | -- |
11101099 | Charged particle blocking element, exposure apparatus comprising such an element, and method for using such an exposure apparatus | ASML NETHERLANDS B.V. |
11101101 | Laser-based phase plate image contrast manipulation | FEI COMPANY |
11101102 | Photoabsorption microscopy using electron analysis | THE BOARD OF TRUSTEES OF THE LELAND STANFORD JUNIOR UNIVERSITY |
11101103 | Multiple electron beam inspection apparatus and multiple electron beam inspection method | NUFLARE TECHNOLOGY, INC. |
11101104 | Multi modal cryo compatible GUID grid | FEI COMPANY |
11101105 | Noise reduction of a high voltage supply voltage | APPLIED MATERIALS ISRAEL LTD. |
11101106 | Exposure device | ADVANTEST CORPORATION |
11101107 | Ceramic layer for electrostatic chuck including embedded faraday cage for RF delivery and associated methods | LAM RESEARCH CORPORATION |
11101108 | Nanosecond pulser ADC system | EAGLE HARBOR TECHNOLOGIES INC. |
11101109 | Impedance matching device and impedance matching method | DAIHEN CORPORATION |
11101110 | Impedance matching network and method | RENO TECHNOLOGIES, INC. |
11101111 | Substrate processing apparatus, method of manufacturing semiconductor device, and baffle structure of the substrate processing apparatus | KOKUSAI ELECTRIC CORPORATION |
11101112 | Plasma processing device and plasma processing method | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11101113 | Ion-ion plasma atomic layer etch process | APPLIED MATERIALS, INC. |
11101114 | Plasma processing apparatus | TOKYO ELECTRON LIMITED |
11101115 | Ring removal from processing chamber | APPLIED MATERIALS, INC. |
11101116 | Target and process for producing a target | PLANSEE SE |
11101117 | Methods and apparatus for co-sputtering multiple targets | APPLIED MATERIALS, INC. |
11101118 | Cobalt, iron, boron, and/or nickel alloy-containing articles and methods for making same | MATERION CORPORATION |
11101119 | Usage and temperature compensation of performance parameters for night vision device | ELBIT SYSTEMS OF AMERICA, LLC |
11101120 | Fast pressure sensing system | SRI INTERNATIONAL |
11101121 | Sample fragmentation device using heating and pressure regulation between sample injector and separation column | HITACHI HIGH-TECH CORPORATION |
11101122 | Laser desorption/ionization method and mass spectrometry method | HAMAMATSU PHOTONICS K.K. |
11101123 | Extraction system for charged secondary particles for use in a mass spectrometer or other charged particle device | LUXEMBOURG INSTITUTE OF SCIENCE AND TECHNOLOGY (LIST) |
11101124 | Laser desorption/ionization method, mass spectrometry method, sample support body, and production method for sample support body | HAMAMATSU PHOTONICS K.K. |
11101125 | Sample plate for PESI ion source and mass spectrometer using the same | SHIMADZU CORPORATION |
11101126 | Method and system for measuring inert gas by ion probe | INSTITUTE OF GEOLOGY AND GEOPHYSICS, CHINESE ACADEMY OF SCIENCES |
11101127 | Time-of-flight mass spectrometer | SHIMADZU CORPORATION |
11101129 | Ultrathin atomic layer deposition film accuracy thickness control | LAM RESEARCH CORPORATION |
11101134 | Method and apparatus to eliminate contaminant particles from an accelerated neutral atom beam and thereby protect a beam target | EXOGENESIS CORPORATION |
11101136 | Process window widening using coated parts in plasma etch processes | APPLIED MATERIALS, INC. |
11101138 | Etching method | TOKYO ELECTRON LIMITED |
11101164 | Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition | LAM RESEARCH CORPORATION |
11101173 | Self-aware and correcting heterogenous platform incorporating integrated semiconductor processing modules and method for using same | TOKYO ELECTRON LIMITED |
11101193 | Power electronics modules including integrated jet cooling | TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC. |
11101194 | Heat sinks and methods for fabricating a heat sink | AGENCY FOR SCIENCE, TECHNOLOGY AND RESEARCH |
11101202 | Lead pin and wiring board having lead pin | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
11101227 | Coupled line structures for wideband applications | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11101246 | Semiconductor device having chips attached to support members through silver sintered bodies with particles | DENSO CORPORATION |
11101319 | Semiconductor storage device including variable resistance elements | TOSHIBA MEMORY CORPORATION |
11101320 | System and method for efficient enhancement of an on/off ratio of a bitcell based on 3T2R binary weight cell with spin orbit torque MJTs (SOT-MTJs) | SAMSUNG ELECTRONICS CO., LTD. |
11101328 | Vapor deposition apparatus, deposition method, and method of manufacturing organic light-emitting display apparatus by using the same | SAMSUNG DISPLAY CO., LTD. |
11101396 | Complementary conjugated polyelectrolyte complexes as electronic energy relays | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
11101421 | Nano-scale energy conversion device | BIRMINGHAM TECHNOLOGIES, INC. |
11101530 | Polarization separation circuit | MITSUBISHI ELECTRIC CORPORATION |
11101531 | Transmission line with tunable frequency response | SMITHS INTERCONNECT, INC. |
11101532 | RF circulator | 3D GLASS SOLUTIONS, INC. |
11101533 | Radio frequency device | -- |
11101534 | Guiding set of radio-electric waves and antenna comprising such a set | THALES |
11101535 | Transmission line-waveguide transition device comprising a waveguide having a ridge connected to the transmission line at a reduced width ground transition area | KMW INC. |
11101536 | Device that transitions between a metal signal line and a waveguide including a dielectric layer with a pair of openings formed therein | -- |
11101537 | Dual-circular polarized antenna system | VIASAT, INC. |
11101538 | Modular deployable antenna mechanism based on symmetrically structural tetrahedron combination unit | YANSHAN UNIVERSITY |
11101539 | Method and system for automatic antenna alignment | TRILLIANT NETWORKS, INC. |
11101540 | Semiconductor devices and methods of manufacturing semiconductor devices | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11101541 | Semiconductor assembly and method for manufacturing the same | -- |
11101542 | Integrated radio package having a built-in multi directional antenna array | NXP USA, INC. |
11101543 | Wireless communication device | YAMAHA CORPORATION |
11101544 | Control module for a lighting fixture | LUTRON TECHNOLOGY COMPANY LLC |
11101546 | Electronical device | LENOVO (BEIJING) CO., LTD. |
11101547 | Antenna apparatus and wireless communication device using same | -- |
11101548 | Antenna and wireless communication device using the same | -- |
11101549 | Antenna module including insulator, and base station including same antenna module | SAMSUNG ELECTRONICS CO., LTD. |
11101550 | Base station antenna | ACE TECHNOLOGIES CORPORATION |
11101551 | Antenna device | KMW INC. |
11101552 | Antenna device | DENSO CORPORATION |
11101553 | Antenna system with active array on tracking pedestal | SEA TEL, INC. |
11101554 | Dual antiphase antenna for better signal transmission into human body or signal reception from human body | NEVA ELECTROMAGNETICS, LLC |
11101555 | Structure, antenna structure, radio wave shielding structure, and touch panel including mesh-like transparent conductor | NIHON DENGYO KOSAKU CO., LTD. |
11101556 | Antenna | CANON KABUSHIKI KAISHA |
11101557 | Mechanically steered and horizontally polarized antenna for aerial vehicles, and associated systems and methods | INSITU, INC. |
11101558 | Apparatus for a phase shifter and a method of manufacture of an apparatus for a phase shifter | NOKIA SOLUTIONS AND NETWORKS OY |
11101559 | System and method for receive diversity combining | WAFER LLC |
11101560 | Antenna structure | -- |
11101561 | Dual band compatible antenna device | MURATA MANUFACTURING CO., LTD. |
11101562 | Multi-band dual-polarized antenna structure and wireless communication device using the same | -- |
11101563 | Antenna | JAPAN AVIATION ELECTRONICS INDUSTRY, LIMITED |
11101564 | Electronic device including antenna structure installable in narrow space | SAMSUNG ELECTRONICS CO., LTD. |
11101565 | Low-profile antenna | NEPTUNE TECHNOLOGY GROUP INC. |
11101566 | Method for fabricating electronic package | -- |
11101567 | Miniaturized planar inverted folded antenna (PIFA) for mountable UHF tags design | CHECKPOINT SYSTEMS, INC. |
11101568 | Antenna with directional gain | -- |
11101569 | Toroidal compact antenna test range | -- |
11101570 | Projected geometry antenna array | MICROSOFT TECHNOLOGY LICENSING, LLC |
11101571 | Antenna device having circular array structure | ACE TECHNOLOGIES CORPORATION |
11101572 | Antenna array having a different beam-steering resolution in one dimension than in another dimension | ECHODYNE CORP. |
11101573 | Open ended waveguide antenna for one-dimensional active arrays | SEA TEL, INC. |
11101574 | Antenna structure | -- |
11101575 | Wearable device, and antenna signal processing circuit and method therefor | GOERIEK INC. |
11101576 | Method for joining two or more electrical conductors, device for joining two or more electrical conductors, and electrical connection between two or more conductors | TE CONNECTIVITY GERMANY GMBH |
11101577 | Method for connecting a crimp terminal to an electric wire | OPTIMAL VENTURES LLC |
11101578 | In-line switch assembly | HONG KONG |
11101579 | Spring biased female terminal | AUTONETWORKS TECHNOLOGIES, LTD. |
11101580 | Remote disconnection and monitoring of AC mitigation systems employed with buried conductive structures | OMNIMETRIX, LLC |
11101581 | Cover for high voltage electrical connector | BURNDY LLC |
11101582 | Methods and apparatus for installing sleeve on cable using active dimensional analysis | THE BOEING COMPANY |
11101583 | Terminal block displaying connection state | OMRON CORPORATION |
11101584 | Data cable | DONGGUAN YUANCHUANG ELECTRONIC TECHNOLOGY CO., LTD |
11101585 | Electrical connector assembly with pitch surfaces on the plug and receptacle | JAPAN AVIATION ELECTRONICS INDUSTRY, LTD. |
11101586 | Printed circuit board biosensing garment connector | HONEYWELL SAFETY PRODUCTS USA, INC. |
11101588 | Terminal including an insulation portion | YAZAKI CORPORATION |
11101589 | Connecting plug and socket with lamella basket | ODU GMBH & CO. KG |
11101590 | Connector, jig and method for assembling terminal to connector | AUTONETWORKS TECHNOLOGIES, LTD. |
11101591 | Connector having a terminal with cylindrical portion, a base insulator holding the terminal having holding hole, and a front insulator attached to the base insulator with passing hole in front of holding hole and smaller than cylindrical portion | JAPAN AVIATION ELECTRONICS INDUSTRY, LIMITED |
11101592 | Connector holding structure | AUTONETWORKS TECHNOLOGIES, LTD. |
11101593 | Wall device | -- |
11101594 | Connector | AUTONETWORKS TECHNOLOGIES, LTD. |
11101595 | Mobile terminal | LG ELECTRONICS INC. |
11101596 | Waterproof enhanced RF connector | -- |
11101597 | Vented electrical connector | LEAR CORPORATION |
11101598 | Dry mate rotatable connector | SMITHS INTERCONNECT AMERICAS, INC. |
11101599 | Plug connector assembly | KOSTAL KONTAKT SYSTEME GMBH |
11101600 | Connector mounting mechanism | MAINTEK COMPUTER (SUZHOU) CO., LTD |
11101601 | Connector | JAPAN AVIATION ELECTRONICS INDUSTRY, LIMITED |
11101602 | Connector system for accommodating either UTP or STP connection terminals | AUTONETWORKS TECHNOLOGIES, LTD. |
11101603 | Printed circuit board connector with a shield element | HARTING ELECTRONICS GMBH |
11101604 | Connector | YAZAKI CORPORATION |
11101605 | Male plug, female socket and board-to-board RF connector | ELECTRIC CONNECTOR TECHNOLOGY CO., LTD. |
11101606 | Charging inlet | SUMITOMO WIRING SYSTEMS, LTD. |
11101607 | Connector and method for charging control with the connector | MATRIXED REALITY TECHNOLOGY CO., LTD. |
11101608 | Conductor assemblies having filter cores | HAMILTON SUNDSTRAND CORPORATION |
11101609 | Custom power outlet socket that has integrated wireless functionality | SAPIENT INDUSTRIES, INC. |
11101610 | Connector converter | GUANGDONG GOPOD GROUP HOLDING CO., LTD. |
11101611 | I/O connector configured for cabled connection to the midboard | FCI USA LLC |
11101612 | Method of manufacturing electrical connector | -- |
11101613 | Laser device and processing device | MITSUBISHI HEAVY INDUSTRIES, LTD. |
11101614 | Second-harmonic generation crystal | COHERENT LASERSYSTEMS GMBH & CO. KG |
11101615 | Use of electronically controlled polarization elements for the initiation and optimization of laser mode-locking | THORLABS, INC. |
11101616 | Brillouin laser | YALE UNIVERSITY |
11101617 | Wafer-level handle replacement | AYAR LABS, INC. |
11101618 | Laser device for dynamic white light | KYOCERA SLD LASER, INC. |
11101619 | System and method for creating and utilizing multivariate paths for ongoing simultaneous multi-dimensional control to attain single mode sweep operation in an electromagnetic radiation source | INSIGHT PHOTONIC SOLUTIONS, INC. |
11101620 | Response shaping by multiple injection in a ring-type structure | RAMOT AT TEL-AVIV UNIVERSITY LTD. |
11101621 | Semiconductor laser source and method for emitting with this laser source | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11101622 | Charging unit for a particle monitoring apparatus, and a particle monitoring apparatus | DEKATI OY |
11101624 | Switching device comprising lashing points | SIEMENS AKTIENGESELLSCHAFT |
11101630 | Busbar assembly for immersion cooling | LIQUIDSTACK HOLDING B.V. |
11101634 | Prevention and detection of overheating from component short circuits | APPLE INC. |
11101637 | Whip and loop interrupter combination | HUBBELL INCORPORATED |
11101639 | Inverter with quick connect cooling coupling for an electric mobile application | EATON INTELLIGENT POWER LIMITED |
11101646 | Circuit breaker system with an internal voltage limiter | ABB POWER GRIDS SWITZERLAND AG |
11101655 | Plug-in power adapters and methods of implementing a plug-in power adapter | SMART POWER PARTNERS LLC |
11101661 | Device for suppressing potential induced degradation and system | SUNGROW POWER SUPPLY CO., LTD. |
11101676 | Multi-function portable electronic device | -- |
11101692 | Method for producing a system for inductively transmitting energy to a mobile part, and device for carrying out the method | SEW-EURODRIVE GMBH & CO. KG |
11101693 | Wireless power transfer system with open loop reactance matching circuitry | GLOWOL INC. |
11101697 | Power reception device and contactless power transmission system | MITSUBISHI ELECTRIC CORPORATION |
11101701 | Simplified wireless power receiver architecture | OSSIA INC. |
11101702 | Power receiving device, electric circuit, and power supply device | SONY CORPORATION |
11101707 | Rare-earth permanent magnet and rotary machine including rare-earth permanent magnet | NITTO DENKO CORPORATION |
11101712 | Motor, end cap and manufacturing method thereof | JOHNSON ELECTRIC INTERNATIONAL AG |
11101717 | Magnet structure, rotational angle detector, and electric power steering device | TDK CORPORATION |
11101745 | Electrostatic actuator | -- |
11101788 | Electronically tuned RF termination | SKYWORKS SOLUTIONS, INC. |
11101827 | Electronic device comprising antenna and method for transmitting or receiving signal | SAMSUNG ELECTRONICS CO., LTD. |
11101828 | Scalable mm-wave arrays with large aperture realized by mm-wave dielectric waveguides | THE BOARD OF TRUSTEES OF THE LELAND STANFORD JUNIOR UNIVERSITY |
11101834 | Apparatus and method for detecting lateral electromagnetic exposure and device grip for controlling signal transmission | QUALCOMM INCORPORATED |
11101835 | Modular communications systems with dynamically positionable antenna elements | VORBECK MATERIALS CORP. |
11101840 | Chip radio frequency package and radio frequency module | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11101846 | Partitioned wireless communication system with redundant data links and power lines | APTIV TECHNOLOGIES LIMITED |
11101849 | Antenna array reconfiguration at both ends of a millimeter wave link | QUALCOMM INCORPORATED |
11101869 | System and method for beam switching and reporting | QUALCOMM INCORPORATED |
11101872 | High gain single lens repeater platform | AMPHENOL ANTENNA SOLUTIONS, INC. |
11101873 | Control device, program, control method, and flight vehicle | HAPSMOBILE INC. |
11101877 | Access node farm for end-to-end beamforming | VIASAT, INC. |
11101880 | Wide/multiband waveguide adapter for communications systems | AMAZON TECHNOLOGIES, INC. |
11101885 | Supervisory signal paths for an optical transport system | ALCATEL SUBMARINE NETWORKS |
11101898 | Base station and antenna installation including internet protocol addressable antenna line devices and methods of operating the same | COMMSCOPE TECHNOLOGIES LLC |
11102339 | Display device | SAMSUNG DISPLAY CO., LTD. |
11102442 | Electronic device | FUNAI ELECTRIC CO., LTD. |
11102443 | Tuner module and reception apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11102563 | Attachment mechanism for eartips | APPLE INC. |
11102564 | Wireless sound device | LG ELECTRONICS INC. |
11102573 | High-water pressure waterproof microspeaker | EM-TECH CO., LTD. |
11102719 | Method and apparatus for multiple radio access technology antenna front end controller integration | DELL PRODUCTS L.P. |
11102848 | Variable pitch resistance coil heater | WATLOW ELECTRIC MANUFACTURING COMPANY |
11102874 | Controllable light source | LUTRON TECHNOLOGY COMPANY LLC |
11102875 | Battery-powered retrofit remote control device | LUTRON TECHNOLOGY COMPANY LLC |
11102884 | Optical module | CIG PHOTONICS JAPAN LIMITED |
11102886 | Printed circuit board | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11102887 | Electrical connection device | AUTONETWORKS TECHNOLOGIES, LTD. |
11102890 | Electronic package and method for manufacturing the same | -- |
11102893 | Display device | SAMSUNG DISPLAY CO., LTD. |
11102894 | Saddle type electrical enclosure | THE BOEING COMPANY |
11102895 | Electrical junction box | SUMITOMO WIRING SYSTEMS, LTD. |
11102896 | Anti-impact LED display screen | SHENZHEN GLOSHINE TECHNOLOGY CO., LTD. |
11102897 | Hinged cable management system | ORACLE INTERNATIONAL CORPORATION |
11102898 | Display module and display device | SAMSUNG DISPLAY CO., LTD. |
11102899 | Electronic device including waterproof structure | SAMSUNG ELECTRONICS CO., LTD. |
11102900 | Electrical power delivery system | TRANSPORTATION IP HOLDINGS, LLC |
11102901 | Electronics module mounting system | ROCKWELL AUTOMATION TECHNOLOGIES, INC. |
11102902 | Data storage system connectors with parallel array of dense memory cards and high airflow | INTEL CORPORATION |
11102903 | Formed enclosure part and electronic subassembly | ELLENBERGER & POENSGEN GMBH |
11102904 | Electronic component assembly, combination of electronic component assembly and adherend, and method for mounting electronic component | HOSIDEN CORPORATION |
11102905 | Structure for mounting servers, subassembly for rapid disassembly, and server cabinet with the subassembly | HONGFUJIN PRECISION ELECTRONICS (TIANJIN) CO., LTD. |
11102906 | Computer component holding apparatus | -- |
11102907 | Serviceability of a networking device with orthogonal switch bars | CISCO TECHNOLOGY, INC. |
11102908 | Storage apparatus | HITACHI, LTD. |
11102909 | Front panel air duct | -- |
11102910 | Flexible service air baffle | DELL PRODUCTS L.P. |
11102911 | Inverter device | MITSUBISHI ELECTRIC CORPORATION |
11102912 | Liquid immersion cooling platform | TMGCORE, LLC |
11102913 | Heat dissipating assembly and main board module | -- |
11102914 | Hybrid control and cooling module with independently removable cooling section for a network device | CIENA CORPORATION |
11102915 | Self-sustained, scalable, efficient data center facility and method | NAUTILUS TRUE, LLC |
11102916 | Electric power inverter | MAHLE INTERNATIONAL GMBH |
11102917 | Casing for housing electronic components | PREH GMBH |
11102918 | Electromagnetic pulse/high altitude electromagnetic pulse (EMP/HEMP) filter system | TECHNICAL SALES SOLUTIONS, LLC |
11102919 | Management apparatus, mount substrate manufacturing system, and mount substrate manufacturing method | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11102920 | Component mounting device and position recognition method | FUJI CORPORATION |
11102921 | Electrically testing cleanliness of a panel having an electronic assembly | IEC ELECTRONICS CORP. |
11103185 | Sensor module | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
11103207 | Double-pulsed X-ray source and applications | RADIATION MONITORNG DEVICES, INC. |
11103308 | Reusable transmission network for dividing energy and monitoring signals between surgical devices | COVIDIEN LP |
11103382 | Systems and methods for preforming an intraocular procedure for treating an eye condition | ELT SIGHT, INC. |
11103398 | Flexible overhead arm | HILL-ROM SERVICES, INC. |
11103712 | Connector assemblies with novel spacers for electrical stimulation systems and methods of making and using same | BOSTON SCIENTIFIC NEUROMODULATION CORPORATION |
11103713 | 3D printed ceramic to metal assemblies for electric feedthroughs in implantable medical devices | MED-EL ELEKTROMEDIZINISCHE GERAETE GMBH |
11103716 | Systems and methods for making and using a low-profile control module for an electrical stimulation system | BOSTON SCIENTIFIC NEUROMODULATION CORPORATION |
11103764 | Digital patch for discrete signaling, a baseball glove including same, and related method of manufacture | LIFEWARE LABS, LLC |
11103801 | Magnetic toy block | GEOMAGWORLD S.A. |
11103922 | Fe—Co alloy powder and method for producing the same, and antenna, inductor and EMI filter | DOWA ELECTRONICS MATERIALS CO., LTD. |
11103925 | Additively manufactured antenna | THE BOEING COMPANY |
11103989 | Interchangeable and interconnectable tool organizing device | -- |
11104037 | Method for preparing high performance polymer-based conductive composites by space-limited micro-nano precision assembly method | BEIJING UNIVERSITY OF CHEMICAL TECHNOLOGY |
11104099 | Thermally conductive resin sheet having light transmission and method for producing the same | SHIN-ETSU CHEMICAL CO., LTD. |
11104110 | Laminating devices and laminating methods for curved cover plates with irregular shape and flexible screen | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
11104114 | Method for producing a multi-layered structural element, and a multi-layered structural element produced according to said method | EPCOS AG |
11104240 | Electrified vehicle | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11104277 | Vehicle-mounted display device | HONDA MOTOR CO., LTD. |
11104278 | Control panel for motor vehicle | APTIV TECHNOLOGIES LIMITED |
11104280 | Vehicular electronic accessory module with enhanced grounding contact | MAGNA ELECTRONICS INC. |
11104282 | Circuit assembly | AUTONETWORKS TECHNOLOGIES, LTD. |
11104404 | Stokes drifter | THE FLORIDA STATE UNIVERSITY RESEARCH FOUNDATION, INC. |
11104563 | Flexible conductive platform liner | ALTEC INDUSTRIES, INC. |
11104572 | Planar cavity MEMS and related structures, methods of manufacture and design structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11104602 | Glass with high surface strength | CORNING INCORPORATED |
11104615 | Oxide sintered body and sputtering target | KOBELCO RESEARCH INSTITUTE, INC. |
11104640 | Process for preparation of stable dianionic complex useful in designing the white light emitting devices | COUNCIL OF SCIENTIFIC & INDUSTRIAL RESEARCH |
11104647 | Multi-functional, stimuli-responsive materials, methods of preparation, methods of use, and uses thereof | ADA FOUNDATION |
11104813 | Dispersion | ASAHI KASEI KABUSHIKI KAISHA |
11104815 | Hydrophylic semiconducting single-walled carbon nanotube inks | NATIONAL RESEARCH COUNCIL OF CANADA |
11104834 | Heat dissipation sheet | TEIJIN LIMITED |
11104973 | Method for producing non-oriented electrical steel sheet, method for producing motor core, and motor core | JFE STEEEL CORPORATION |
11104977 | Copper alloy for electronic/electric device, copper alloy sheet/strip material for electronic/electric device, component for electronic/electric device, terminal, and busbar | MITSUBISHI MATERIALS CORPORATION |
11104982 | Fe-based nanocrystalline alloy and electronic component using the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11105159 | Torsion resistant gap sub | EVOLUTION ENGINEERING INC. |
11105160 | Low profile, pressure balanced, oil expansion compensated downhole electrical connector system | ACCESSESP UK LIMITED |
11105257 | Liquid-cooled power electronics assembly for electrically-actuated turbochargers | BORGWARNER INC. |
11105308 | Battery pack for selectively providing electrical power to power equipment | BRIGGS & STRATTON, LLC |
11105437 | Combined inlet and outlet check valve seat | CONTINENTAL AUTOMOTIVE SYSTEMS, INC. |
11105461 | Assembled support for installing communications device and structure combining assembled support and communications device | HUAWEI TECHNOLOGIES CO., LTD. |
11105479 | Electric lighting devices | L&L CANDLE COMPANY, LLC |
11105480 | Electronic lighting device and method for manufacturing same | L&L CANDLE COMPANY, LLC |
11105481 | Kinetic flame device | L&L CANDLE COMPANY, LLC |
11105540 | Superconducting magnet device and cryogenic refrigerator system | SUMITOMO HEAVY INDUSTRIES, LTD. |
11105625 | Multi-use level that illuminates when level | -- |
11105659 | Dual Brillouin distributed optical fiber sensor and sensing method using Brillouin scattering which allow high-speed event detection and precise measurement | KOREA INSTITUTE OF SCIENCE AND TECHNOLOGY |
11105687 | Apparatus and method for in-line measurement of laser pulses with time-dependent polarization | UNIVERSIDAD DE SALAMANCA |
11105717 | Method for preparing analytical sample, analysis method, and kit for preparing analytical sample | SHIMADZU CORPORATION |
11105744 | Method and system incorporating beam shaping optics and beam stabilization | INGURAN, LLC |
11105751 | Methods for spectroscopic analysis of residue | THE BOEING COMPANY |
11105821 | Vitamin D metabolite determination utilizing mass spectrometry following derivatization | QUEST DIAGNOSTICS INVESTMENTS INCORPORATED |
11105832 | High-fidelity voltage measurement using a capacitance-coupled voltage transformer | SCHWEITZER ENGINEERING LABORATORIES, INC. |
11105833 | Current sensors and associated measurement systems | SCHNEIDER ELECTRIC INDUSTRIES SAS |
11105868 | Integrated data bus RF signature extraction and amplification | -- |
11105882 | Orientation independent antennas with direction finding for remote keyless entry | ANTENUM, INC. |
11105891 | Multi-input downconversion mixer | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11105899 | Beam shaping for ultra-small vertical cavity surface emitting laser (VCSEL) arrays | SENSE PHOTONICS, INC. |
11105918 | Nodal metamaterial antenna system | -- |
11105936 | Gas drift detector | HEIKKI SIPILA OY |
11105954 | Diffusion safety system | LASERMOTIVE, INC. |
11105959 | Electroconductive particle, visible light transmitting particle-dispersed electrical conductor and manufacturing method thereof, transparent electroconductive thin film and manufacturing method thereof, transparent electroconductive article that uses the same, and infrared-shielding article | SUMITOMO METAL MINING CO., LTD. |
11105961 | Apparatus for generating a line-shaped intensity distribution of a laser radiation | LIMO DISPLAY GMBH |
11105971 | Light guide assembly, method for manufacturing the same, and total reflective display device | BOE TECHNOLOGY GROUP CO., LTD. |
11105976 | Flexible ceramic waveguides for terahertz applications and use as on-board interconnects | CORNING INCORPORATED |
11105979 | Graphene microcavity frequency combs and related methods of manufacturing | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
11105988 | Dense wavelength division multiplexing (DWDM) photonic integration platform | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11106046 | Splice with cladding mode light stripping | NLIGHT, INC. |
11106068 | Method of manufacturing display device, and device for manufacturing display device | SAMSUNG DISPLAY CO., LTD. |
11106107 | Ultra-flexible and robust silver nanowire films for controlling light transmission and method of making the same | ZHEJIANG JINGYI NEW MATERIAL TECHNOLOGY CO., LTD |
11106121 | Laser light source incorporating an angular distribution control element, and related wavelength conversion light source, light combining light source, and projection system | APPOTRONICS CORPORATION LIMITED |
11106126 | Method of manufacturing EUV photo masks | -- |
11106241 | Display device | -- |
11106249 | Accessory device with hinge assembly having layered friction elements | APPLE INC. |
11106252 | Retainers with movable hooks | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11106253 | Electronic device and frame module thereof | -- |
11106256 | Heat dissipation using airflow diversion with cooling fins | INTEL CORPORATION |
11106285 | Keyboard containment system | PIONEER SQUARE BRANDS, INC. |
11106323 | Input device | MINEBEA MITSUMI INC. |
11106965 | Radio frequency identification tag and manufacturing method thereof | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
11107309 | Method for providing security for a transfer point | STREETSCOOTER GMBH |
11107513 | Spin-orbit torque magnetic memory device using alternating current | KOREA UNIVERSITY RESEARCH AND BUSINESS FOUNDATION |
11107594 | Passive electrical component for safety system shutdown using Gauss' Law | GE-HITACHI NUCLEAR ENERGY AMERICAS LLC |
11107601 | Elastic conductor, paste for forming elastic conductor, and method for producing elastic conductor | JAPAN SCIENCE AND TECHNOLOGY AGENCY |
11107602 | Electric wire conductor, covered electric wire, and wiring harness | AUTONETWORKS TECHNOLOGIES, LTD. |
11107603 | Multi-core flat cable for vehicle | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11107604 | Cable or flexible pipe with improved tensile elements | PRYSMIAN S.P.A |
11107605 | Cable for power-over-ethernet having an extended usable length | PAIGE ELECTRIC COMPANY, LP |
11107606 | Contamination protection for high-voltage insulators | BAYERNWERK NETZ GMBH |
11107607 | Foamed polycarbonate separators and cables thereof | GENERAL CABLE TECHNOLOGIES CORPORATION |
11107608 | Corona protection device | HUBBELL INCORPORATED |
11107609 | Contamination protection for high-voltage insulators | BAYERNWERK NETZ GMBH |
11107610 | Thick film resistors having customizable resistances and methods of manufacture | RAYTHEON COMPANY |
11107611 | Thermistor element and method for producing same | MITSUBISHI MATERIALS CORPORATION |
11107612 | Overheat protection device and varistor | DONGGUAN LITTELFUSE ELECTRONICSCOMPANY LIMITED |
11107613 | On-chip resistor trimming to compensate for process variation | STMICROELECTRONICS INTERNATIONAL N.V. |
11107614 | Coil electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11107615 | Magnetization rotational element, magnetoresistance effect element, and memory device | TDK CORPORATION |
11107616 | Coil component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11107617 | Transformer | HITACHI, LTD. |
11107618 | Core body and reactor | FANUC CORPORATION |
11107619 | Inductor | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11107620 | Coil component | SUMIDA CORPORATION |
11107621 | Coil component and method for manufacturing the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11107622 | Coil component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11107623 | Inductor | MURATA MANUFACTURING CO., LTD. |
11107624 | Electromagnetic device | FANUC CORPORATION |
11107625 | Ignition coil device for internal combustion engine | MITSUBISHI ELECTRIC CORPORATION |
11107626 | Intravascular blood pump comprising corrosion resistant permanent magnet | ABIOMED EUROPE GMBH |
11107627 | Method and an apparatus for manufacturing an R-Fe-B sintered magnet | YANTAI SHOUGANG MAGNETIC MATERIALS INC. |
11107628 | Coil and electrical strip or electrical sheet | VOESTALPINE STAHL GMBH |
11107629 | Mold apparatus for manufacturing a coil component | SUMIDA CORPORATION |
11107630 | Integration scheme for breakdown voltage enhancement of a piezoelectric metal-insulator-metal device | -- |
11107631 | Ceramic electronic device and manufacturing method of ceramic electronic device | TAIYO YUDEN CO., LTD. |
11107632 | Multilayer ceramic electronic component | TDK CORPORATION |
11107633 | Ceramic electronic component having external electrode including electrode layer and conductive resin layer | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11107634 | Method of manufacturing capacitor component having external electrodes with reduced thickness | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11107635 | Capacitor component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11107636 | Multilayer capacitor and board having the same mounted thereon | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11107637 | Variable capacitance element | MURATA MANUFACTURING CO., LTD. |
11107638 | Dye-sensitized solar cell and method of manufacturing the same | TAIYO YUDEN CO., LTD. |
11107639 | Positive electrode precursor | ASAHI KASEI KABUSHIKI KAISHA |
11107640 | Electrolyte formulations for energy storage devices | MAXWELL TECHNOLOGIES, INC. |
11107641 | Miniature electric switch of the normally closed type comprising an open contact locking position | C&K COMPONENTS S.A.S. |
11107642 | Electrical switch | ABB SCHWEIZ AG |
11107643 | Contact press-on assembly | SIEMENS AKTIENGESELLSCHAFT |
11107644 | Keyswitch device | -- |
11107645 | Functionality change based on stress-engineered components | PALO ALTO RESEARCH CENTER INCORPORATED |
11107646 | Circuit breakers with handle bearing pins | EATON INTELLIGENT POWER LIMITED |
11107647 | Solid state circuit interrupter with interlock | EATON INTELLIGENT POWER LIMITED |
11107648 | Button with illumination ring | GOOGLE LLC |
11107649 | Keyboard device | -- |
11107650 | Limit switch | OMRON CORPORATION |
11107651 | Base for a retrofit remote control device | LUTRON TECHNOLOGY COMPANY LLC |
11107652 | Circuit breaker for gas insulated switchgear | NUVENTURA GMBH |
11107653 | Dual-action switching mechanism and pole unit for circuit breaker | EATON INTELLIGENT POWER LIMITED |
11107654 | Electrical connection box | SUMITOMO WIRING SYSTEMS, LTD. |
11107655 | Charged particle beam device | HITACHI HIGH-TECHNOLOGIES CORPORATION |
11107656 | Charged particle beam device | HITACHI HIGH-TECH CORPORATION |
11107657 | Apparatus of plural charged-particle beams | ASML NETHERLANDS B.V. |
11107658 | Fill pattern to enhance e-beam process margin | INTEL CORPORATION |
11107659 | Ion generator and ion implanter | SUMITOMO HEAVY INDUSTRIES ION TECHNOLOGY CO., LTD. |
11107660 | Multi-charged particle beam image acquisition apparatus and multi-charged particle beam image acquisition method | NUFLARE TECHNOLOGY, INC. |
11107661 | Hybrid matching network topology | COMET TECHNOLOGIES USA, INC. |
11107662 | Reactor system coupled to an energy emitter control circuit | LYTEN, INC. |
11107663 | Plasma processing system and plasma processing method | TOKYO ELECTRON LIMITED |
11107664 | Plasma processing apparatus and prediction apparatus of the condition of plasma processing apparatus | HITACHI HIGH-TECH CORPORATION |
11107665 | Feeding structure, upper electrode assembly, and physical vapor deposition chamber and device | BEIJING NAURA MICROELECTRONICS EQUIPMENT CO., LTD. |
11107666 | Systems and methods for using variable mass selection window widths in tandem mass spectrometry | DH TECHNOLOGIES DEVELOPMENT PTE. LTD. |
11107667 | Dual polarity ion management | THERMO FISHER SCIENTIFIC |
11107668 | Mass spectrometer | SHIMADZU CORPORATION |
11107669 | Sub-atmospheric pressure laser ionization source using an ion funnel | SCIENCE AND ENGINEERING SERVICES, LLC |
11107670 | Method for analyzing a gas by mass spectrometry, and mass spectrometer | LEYBOLD GMBH |
11107683 | Selective growth of metal-containing hardmask thin films | LAM RESEARCH CORPORATION |
11107693 | Method for high aspect ratio photoresist removal in pure reducing plasma | BEIJING E-TOWN SEMICONDUCTOR TECHNOLOGY CO., LTD. |
11107694 | Method for releasing sample and plasma processing apparatus using same | HITACHI HIGH-TECH CORPORATION |
11107695 | Surface smoothing of workpieces | BEIJING E-TOWN SEMICONDUCTOR TECHNOLOGY CO., LTD. |
11107703 | Monolithic, biocompatible feedthrough for hermetically sealed electronics and methods of manufacture | NEURALINK CORP. |
11107705 | Cleaning solution production systems and methods, and plasma reaction tanks | SAMSUNG ELECTRONICS CO., LTD. |
11107757 | Integrated circuit structures in package substrates | INTEL CORPORATION |
11107804 | IC with test structures and e-beam pads embedded within a contiguous standard cell area | PDF SOLUTIONS, INC. |
11107878 | High resistivity iron-based, thermally stable magnetic material for on-chip integrated inductors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11107934 | Composition for forming solar cell electrode and solar cell electrode prepared using the same | CHANGZHOU FUSION NEW MATERIAL CO. LTD |
11107974 | Magnetic tunnel junction devices including a free magnetic trench layer and a planar reference magnetic layer | SPIN MEMORY, INC. |
11107975 | Magnetic tunnel junction structures and related methods | -- |
11107977 | Seed layer for multilayer magnetic materials | -- |
11107978 | Methods of manufacturing three-dimensional arrays with MTJ devices including a free magnetic trench layer and a planar reference magnetic layer | SPIN MEMORY, INC. |
11107980 | MRAM fabrication and device | -- |
11107998 | Transparent electrode and electronic device | KONICA MINOLTA, INC. |
11108011 | Flexible display and electronic device including the same | LG DISPLAY CO., LTD. |
11108036 | Stable electrochemically active cojugate including electrochemical moiety covalently linked to conductive polymer and electrochemical storage cell using the same | THE RESEARCH FOUNDATION FOR THE STATE UNIVERSITY OF NEW YORK |
11108047 | Conductive polymer material for solid-state battery | NISSAN NORTH AMERICA, INC. |
11108049 | Electrode for solid-state batteries and solid-state battery | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11108050 | Conductive material dispersed liquid and secondary battery manufactured using the same | LG CHEM, LTD. |
11108071 | Method for producing polymer electrolyte molded article, polymer electrolyte material, polymer electrolyte membrane, and polymer electrolyte fuel cell | TORAY INDUSTRIES, INC. |
11108119 | Fusible link design for lithium-ion 18650 cells | THE BOEING COMPANY |
11108120 | DC-capable cryogenic microwave filter with reduced Kapitza resistance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11108121 | Cavity-type radio frequency filter | KMW INC. |
11108122 | TM mode dielectric resonator including a resonant dielectric rod soldered to a fixing base within a housing baseplate, for forming a filter and a communications device | HUAWEI TECHNOLOGIES CO., LTD. |
11108123 | Triple-mode dielectric resonator filter, method for manufacturing the same, and band pass filter using dielectric resonator and NRN stub | ACE TECHNOLOGIES CORPORATION |
11108124 | Filter antenna | AAC TECHNOLOGIES PTE. LTD. |
11108125 | High-efficiency integrated circulator/isolator | SHENZHEN HUAYANG TECHNOLOGY DEVELOPMENT CO., LTD. |
11108126 | Multi-arm dielectric coupling system and methods for use therewith | AT&T INTELLECTUAL PROPERTY I, L.P. |
11108127 | Rectangular waveguide communication between memory and processor | MICRON TECHNOLOGY, INC. |
11108128 | Circuit board for HF applications including an integrated broadband antenna | AIRBUS DEFENCE AND SPACE GMBH |
11108129 | Antenna assembly | CITY UNIVERSITY OF HONG KONG |
11108130 | Electronic device slot antennas | APPLE INC. |
11108131 | Semiconductor device package and method of manufacturing the same | -- |
11108132 | Antenna system and mobile terminal using same | AAC TECHNOLOGIES PTE. LTD. |
11108133 | Antenna system and mobile terminal implemented with the antenna system | AAC TECHNOLOGIES PTE. LTD. |
11108134 | Wireless communication device and method carried out by wireless communication device | RICOH COMPANY, LTD. |
11108135 | Base station antennas having parasitic coupling units | COMMSCOPE TECHNOLOGIES LLC |
11108136 | Beam steering system configured for multi-client network | ETHERTRONICS, INC. |
11108137 | Compact omnidirectional antennas having stacked reflector structures | COMMSCOPE TECHNOLOGIES LLC |
11108138 | Antenna system and assembly method thereof, and base-station array antenna | AAC TECHNOLOGIES PTE. LTD. |
11108139 | Electronic devices having antenna grounding rings | APPLE INC. |
11108140 | Antenna and attachment method for rechargeable implantable medical device | PACESETTER, INC. |
11108141 | Embedded patch antennas, systems and methods | TAOGLAS GROUP HOLDINGS LIMITED |
11108142 | Antenna, transmitting antenna, receiving antenna and wireless communication device | TYCO ELECTRONICS (SHANGHAI) CO. LTD. |
11108143 | Antenna and related communication device | CITY UNIVERSITY OF HONG KONG |
11108144 | Antenna structure | -- |
11108145 | Antenna module and communication device provided with the same | MURATA MANUFACTURING CO., LTD. |
11108146 | Antenna shields | HALLIBURTON ENERGY SERVICES, INC. |
11108147 | Antenna protector | HONDA MOTOR CO., LTD. |
11108148 | Antenna device and electronic device including same | SAMSUNG ELECTRONICS CO., LTD. |
11108149 | Radome, reflector, and feed assemblies for microwave antennas | COMMSCOPE TECHNOLOGIES LLC |
11108150 | Radome for vehicles | ZANINI AUTO GRUP, S.A. |
11108151 | Device and method for managing communications | ENLIGHTED, INC. |
11108152 | Antenna-integrated wireless module and method for manufacturing antenna-integrated wireless module | MURATA MANUFACTURING CO., LTD. |
11108153 | Antenna systems and devices and methods of manufacture thereof | -- |
11108154 | Compact antenna phase shifter with simplified drive mechanism | JOHN MEZZALINGUA ASSOCIATES, LLC |
11108155 | Electronic devices having distributed millimeter wave antennas | APPLE INC. |
11108156 | Differential on-chip loop antenna | INTEL CORPORATION |
11108157 | Antenna module, communication device equipped with the same, and manufacturing method of antenna module | MURATA MANUFACTURING CO., LTD. |
11108158 | Millimeter wave filter array | KNOWLES CAZENOVIA, INC. |
11108159 | Dielectric resonator antenna system | ROGERS CORPORATION |
11108160 | Antenna module including dielectric material and electronic device including antenna module | SAMSUNG ELECTRONICS CO., LTD. |
11108161 | Device and method for folded deployable waveguide | NSL COMM LTD |
11108163 | Narrowband leaky wave antenna for sensing objects in relation to a vehicle | IEE INTERNATIONAL ELECTRONICS & ENGINEERING S.A. |
11108164 | Antenna module and mobile terminal | AAC TECHNOLOGIES PTE. LTD. |
11108165 | Radio frequency front end for full duplex wireless communications | THE REGENTS OF THE UNIVERSITY OF MICHIGAN |
11108166 | Antenna device | FUJIKURA LTD. |
11108167 | Waveguide antenna element-based beam forming phased array antenna system for millimeter wave communication | SILICON VALLEY BANK |
11108168 | Antenna system for portable communication device for millimeter wave communication | SILICON VALLEY BANK |
11108169 | Base station antenna | COMMSCOPE TECHNOLOGIES LLC |
11108170 | Multi-band millimeter-wave (MMW) antenna array and radio-frequency integrated circuit (RFIC) module | QUALCOMM INCORPORATED |
11108171 | Terminal, and terminal-attached cable and wire harness with the terminal | YAZAKI CORPORATION |
11108172 | Methods and devices for impedance multiplication | PSIQUANTUM CORP. |
11108173 | Coaxial connector and coaxial connector incorporating coaxial cables | MURATA MANUFACTURING CO., LTD. |
11108174 | Stack-type wire mount wafer connector and connector assembly | 3M INNOVATIVE PROPERTIES COMPANY |
11108175 | Terminal-free connectors and circuits comprising terminal-free connectors | CELLINK CORPORATION |
11108176 | Routing assembly and system using same | MOLEX, LLC |
11108177 | Card connector with frame-like tongue for protecting cantilevered contacts | FOXCONN (KUNSHAN) COMPUTER CONNECTOR CO., LTD. |
11108178 | Electronic device with housing storing electronic component | KABUSHIKI KAISHA TOSHIBA |
11108179 | Electrical connector with plated signal contacts | TE CONNECTIVITY SERVICES GMBH |
11108180 | Electrical connector having contact elements with superelastic material and associated methods | AMPHENOL CORPORATION |
11108181 | Vibration resistant high-power electrical connector | CARLISLE INTERCONNECT TECHNOLOGIES, INC. |
11108182 | Electrical connector assembly with locking arms and locking planes | FOXCONN (KUNSHAN) COMPUTER CONNECTOR CO., LTD. |
11108183 | Electrical contact for connector | FUDING PRECISION COMPONENTS (SHENZHEN) CO., LTD. |
11108184 | Electical connector housing with guide portion for a retainer that locks in terminals | YAZAKI CORPORATION |
11108185 | Busbar adapter with shielded terminals | ROCKWELL AUTOMATION SWITZERLAND GMBH |
11108186 | Internal shutters and lock mechanisms for safety electrical receptacles | -- |
11108187 | Connector part with a shielding sleeve | PHOENIX CONTACT GMBH & CO. KG |
11108188 | Connector | SUMITOMO WIRING SYSTEMS, LTD. |
11108189 | Connector with cover | SUMITOMO WIRING SYSTEMS, LTD. |
11108190 | Electrical connector mating port enclosed by inner sleeve and outer cover | FOXCONN (KUNSHAN) COMPUTER CONNECTOR CO., LTD. |
11108191 | Connector with cover to suppress deformation of sealing members and maintain waterproofing | SUMITOMO WIRING SYSTEMS, LTD. |
11108192 | Bayonet-type bundled RF connector assembly | COMMSCOPE TECHNOLOGIES LLC |
11108193 | Connector and connector device | SUMITOMO WIRING SYSTEMS, LTD. |
11108194 | Electrical connector including shielding net connected to conductive body | STARCONN ELECTRONIC (SU ZHOU) CO., LTD |
11108195 | Shield terminal and shield connector | SUMITOMO WIRING SYSTEMS, LTD. |
11108196 | Cable connector assembly and assembling method of the same | NEW OCEAN PRECISION COMPONENT (JIANGXI) CO., LTD. |
11108197 | Power cable assembly | -- |
11108198 | Coaxial connector comprising a shunt | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11108199 | Coaxial connector | ROSENBERGER HOCHFREQUENZTECHNIK GMBH & CO. KG |
11108200 | Socket connector and connector assembly | TYCO ELECTRONICS (SHANGHAI) CO. LTD. |
11108201 | Modular system, comprising electrical consuming units and an electrical connection unit | HARBOUR STAR INTERNATIONAL LTD. |
11108202 | Relay socket and relay assembly comprising a relay socket | CONNECTEURS ELECTRIQUES DEUTSCH |
11108203 | Electrical contact for a plug connector, having rotatable rolling contact bodies, and electrical plug-in connection with such a contact | TE CONNECTIVITY GERMANY GMBH |
11108204 | Apparatus for terminating wires | COMMSCOPE TELECOMMUNICATIONS (SHANGHAI) CO. LTD. |
11108205 | Dieless crimping tool | AIRLANE TECHNOLOGIES—SARL |
11108206 | Room temperature masing using spin-defect centres | IMPERIAL COLLEGE OF SCIENCE, TECHNOLOGY AND MEDICINE |
11108207 | Pulse laser system that is temporally variable in terms of rhythm and/or amplitude | AMPLITUDE SYSTEMES |
11108208 | Optical amplification system and optical amplification method | NIPPON TELEGRAPH AND TELEPHONE CORPORATION |
11108209 | Single-frequency fiber amplifier with distal cladding stripper | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11108210 | Optical member, light emitting device, and method of manufacturing optical member | NICHIA CORPORATION |
11108211 | Optical injection-locked laser | HRL LABORATORIES, LLC |
11108212 | Optical interference light source device of current-temperature controlled semiconductor laser and measurement system including the same | GUANGDONG UNIVERSITY OF TECHNOLOGY |
11108213 | Light modulation element, optical device including the light modulation element, and electronic device including the optical device | SAMSUNG ELECTRONICS CO., LTD. |
11108214 | Wavelength combining laser apparatus | MITSUBISHI ELECTRIC CORPORATION |
11108225 | System, method, and apparatus for power distribution in an electric mobile application using a combined breaker and relay | EATON INTELLIGENT POWER LIMITED |
11108228 | Electrical protective circuit arrangement | EATON INTELLIGENT POWER LIMITED |
11108240 | System and method for managing the power output of a photovoltaic cell | SOLARLYTICS, INC. |
11108254 | Cordless appliance, such as a surface cleaning apparatus and a charging unit therefor | OMACHRON INTELLECTUAL PROPERTY INC. |
11108271 | Electronic device including multiple coils | SAMSUNG ELECTRONICS CO., LTD. |
11108272 | Electronic apparatus | SAMSUNG ELECTRONICS CO., LTD. |
11108276 | High-performance shielding sheet and preparation method thereof and coil module comprising the same | SUNLINE PRINTING (SHANGHAI) ELECTRONICS CO., LTD. |
11108295 | Contact ring for a stator of an electric motor | VALEO SIEMENS EAUTOMOTIVE GERMANY GMBH |
11108296 | Actuator | NIDEC SANKYO CORPORATION |
11108304 | Brushless motor assembly | -- |
11108306 | Ground terminal, cover assembly and motor comprising same | LG INNOTEK CO., LTD. |
11108317 | Breathing assistance device with linear actuated gas regulating valve | RESMED PARIS SAS |
11108320 | Method and voltage multiplier for converting an input voltage, and disconnector | ELLENBERGER & POENSGEN GMBH |
11108336 | Power converter | HAMILTON SUNDSTRAND CORPORATION |
11108343 | Flexible and soft smart driving device | ZHEJIANG UNIVERSITY |
11108350 | Electric power steering apparatus | MITSUBISHI ELECTRIC CORPORATION |
11108356 | Integration of microinverter with photovoltaic module | ENPHASE ENERGY, INC. |
11108394 | System and method for integrating components of an electro-permanent magnet key switch assembly | DELL PRODUCTS L.P. |
11108427 | Electronic device and antenna switching method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11108441 | System and method for beam switching and reporting | QUALCOMM INCORPORATED |
11108457 | Spatial energy rank detector and high-speed alarm | BAE SYSTEMS INFORMATION AND ELECTRONIC SYSTEMS INTEGRATION INC. |
11108602 | Systems and methods for suppressing radiofrequency noise | PPC BROADBAND, INC. |
11108906 | Terminal control method and terminal based on antenna and noise interference feedback | ZTE CORPORATION |
11109120 | Communication node | MOLEX, LLC |
11109140 | Terminal device, wireless headset and electronic device component | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11109243 | Non-line-of-sight (NLOS) coverage for millimeter wave communication | SILICON VALLEY BANK |
11109320 | Control of power to radio equipment using gravity enhanced level | COMMSCOPE DESIGN & INTEGRATION UK LIMITED |
11109428 | Blade computing system with wireless communication between blades within a blade enclosure | INTEL CORPORATION |
11109451 | Internal heater for RF apertures | KYMETA CORPORATION |
11109473 | X-ray source and x-ray imaging apparatus | KONINKLIJKE PHILIPS N.V. |
11109477 | Heat sink fastening mechanism for use with electrical connector | FUDING PRECISION COMPONENTS (SHENZHEN) CO., LTD. |
11109482 | Electronic device | KABUSHIKI KAISHA TOSHIBA |
11109483 | Circuit board and electronic device including same | SAMSUNG ELECTRONICS CO., LTD. |
11109484 | Smart key for vehicle | CONTINENTAL AUTOMOTIVE GMBH |
11109486 | High-speed, flexible integrated circuits and methods for making high-speed, flexible integrated circuits | WISCONSIN ALUMNI RESEARCH FOUNDATION |
11109487 | Electronic device | -- |
11109494 | Display device | FANUC CORPORATION |
11109495 | Banner display | DAKTRONICS, INC. |
11109496 | Phone and tablet case | LUBBOCK |
11109497 | Backward-compatible extended size node lid to provide additional real estate for future functionality | ARRIS ENTERPRISES LLC |
11109498 | Systems and methods for modular cabinet cable pass-through | JPMORGAN CHASE BANK, N.A. |
11109499 | Integration device for integrating function modules | -- |
11109500 | Textured glass component for an electronic device enclosure | APPLE INC. |
11109502 | Connector assembly with retainer for CPU | FUDING PRECISION COMPONENTS (SHENZHEN) CO., LTD. |
11109503 | Data connection apparatus and supporting apparatus | EATON INTELLIGENT POWER LIMITED |
11109504 | Power distribution unit with interior busbars | EATON INTELLIGENT POWER LIMITED |
11109505 | Locating device | -- |
11109506 | Fiber management sliding tray system | CIENA CORPORATION |
11109507 | Cooling system and cooling method | ABB POWER GNIDS SWITZERLAND AG |
11109508 | Enclosure assembly for enhanced cooling of direct drive unit and related methods | BJ ENERGY SOLUTIONS, LLC |
11109509 | Cooling module with blower system having dual opposite outlets for information handling systems | DELL PRODUCTS L.P. |
11109510 | Cooling apparatus | NIDEC CORPORATION |
11109511 | Cooling device and method of manufacturing cooling device | SANOH INDUSTRIAL CO., LTD. |
11109512 | Memory subsystem for a cryogenic digital system | RAMBUS INC. |
11109513 | Heat conductive sheet and multilayered heat conductive sheet | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11109514 | Electronic device with a heat dissipating function and heat dissipating module thereof | -- |
11109515 | Heatsink for co-packaged optical switch rack package | INPHI CORPORATION |
11109516 | Liquid-cooled server chassis | BEIJING BAIDU NETCOM SCIENCE AND TECHNOLOGY CO., LTD. |
11109517 | Cooling electronic devices in a data center | GOOGLE LLC |
11109518 | Water-cooled motor controller | HEFEI JEE POWER SYSTEMS, CO., LTD. |
11109519 | Mission configurable shelter | HDT EXPEDITIONARY SYSTEMS, INC. |
11109520 | Rotary head type component mounter | FUJI CORPORATION |
11109521 | Production management system of component mounting line | FUJI CORPORATION |
11109693 | Shelf lighting system, a laminate equipped with the lighting system and a shelf thereto | SELF ELECTRONICS CO., LTD. |
11109774 | Flat location pad using nonconcentric coils | BIOSENSE WEBSTER (ISRAEL) LTD. |
11109866 | Method for circular stapler control algorithm adjustment based on situational awareness | CILAG GMBH INTERNATIONAL |
11110188 | Electron beam irradiation device | HAMAMATSU PHOTONICS K.K. |
11110189 | Disinfecting device | HYDRO LLC |
11110337 | Processor-controlled snow sport boot binding | STOP RIVER DEVELOPMENT LLC |
11110381 | Vent filter and radio remote unit | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11110425 | Gas distribution plate for thermal deposition | APPLIED MATERIALS, INC. |
11110468 | Separation of a mixture using magnetic carrier particles | BASF SE |
11110487 | Apparatuses and methods for producing optical effect layers | SICPA HOLDING SA |
11110555 | Pressing structure | -- |
11110589 | Interchangeable and interconnectable tool organizing device | -- |
11110810 | Inductive coupling gap compensation | PLUGLESS POWER, INC. |
11111136 | Use of a reactive, or reducing gas as a method to increase contact lifetime in micro contact MEMS switch devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11111138 | Planar cavity mems and related structures, methods of manufacture and design structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11111139 | Planar cavity MEMS and related structures, methods of manufacture and design structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11111173 | Lithium containing glasses | CORNING INCORPORATED |
11111396 | Transparent films with control of light hue using nanoscale colorants | C3 NANO, INC. |
11111557 | Non-oriented electrical steel sheet and manufacturing method therefor | POSCO |
11111567 | Non-oriented electrical steel sheet | NIPPON STEEL CORPORATION |
11111577 | Film-forming apparatus and film-forming method | ULVAC, INC. |
11111578 | Atomic layer deposition of fluoride thin films | UCHICAGO ARGONNE, LLC |
11111581 | Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region | LAM RESEARCH CORPORATION |
11111586 | Self-organized and electrically conducting PEDOT polymer matrix for applications in sensors and energy generation and storage | SOUTH DAKOTA BOARD OF REGENTS |
11111736 | Connector ring | HALLIBURTON ENERGY SERVICES, INC. |
11111935 | Control device | HYDAC SYSTEMS & SERVICES GMBH |
11112034 | Cable keeper | GLOBALMEDIA GROUP, LLC |
11112069 | Light emitting device | NICHIA CORPORATION |
11112087 | Infrared source for airport runway light applications | SURFACE IGNITER, LLC |
11112107 | Display apparatus | SAMSUNG ELECTRONICS CO., LTD. |
11112109 | Plasma heating apparatus, system and method | AUREON ENERGY LTD. |
11112130 | Refrigeration cycle apparatus | MITSUBISHI ELECTRIC CORPORATION |
11112147 | Cooling system with controlled biphase mixing of refrigerant | M.D, MECHANICAL DEVICES LTD. |
11112171 | Refrigerator having a transparent door | LG ELECTRONICS INC. |
11112233 | Self-mixing particulate matter sensors using VCSELs with extrinsic photodiodes | APPLE INC. |
11112244 | System and method for providing a simple and reliable inertia measurement unit (IMU) | SZ DJI TECHNOLOGY CO., LTD. |
11112310 | Dual-comb spectroscopy | DUBLIN CITY UNIVERSITY |
11112384 | Methane gas sensor | APPLIED NANOTECH, INC. |
11112391 | Mass spectrometric data processing device for qualitative analysis | SHIMADZU CORPORATION |
11112442 | Quantum power sensor and method of measuring power in transmission lines | NPL MANAGEMENT LIMITED |
11112448 | Low insertion force connector assembly and semiconductor component test apparatus | KEMITEC INC |
11112454 | Antenna-coupled radio frequency (RF) probe with a replaceable tip | OHIO STATE INNOVATION FOUNDATION |
11112466 | Equipment failure detection in an electric power system | SCHWEITZER ENGINEERING LABORATORIES, INC. |
11112487 | Method for performing imaging polarimetry, transponder, and system for performing imaging polarimetry | SYMEO GMBH |
11112488 | Method for operating a radar sensor device and radar sensor device | ROBERT BOSCH GMBH |
11112491 | Optical scanner and detector | ROCKLEY PHOTONICS LIMITED |
11112536 | Thermal emission source | OSAKA GAS CO., LTD. |
11112569 | Fiber photon engine comprising cylindrically arranged planar ring of diodes coupled into a capillary/shell fiber | NANJING CASELA TECHNOLOGES CORPORATION LIMITED |
11112572 | Network cabinet module | CISCO TECHNOLOGY, INC. |
11112618 | Beam splitting apparatus | ASML NETHERLANDS B.V. |
11112825 | Portable computer unified top case | APPLE INC. |
11112833 | Method for measuring fracture toughness of glass | CORNING INCORPORATED |
11112836 | Portable electronic device | -- |
11112839 | Additively manufactured cooling assemblies for thermal and/or mechanical systems, and methods for manufacturing the assemblies | GENERAL ELECTRIC COMPANY |
11112840 | Electronics chassis with oscillating heat pipe (OHP) | ABACO SYSTEMS, INC. |
11112841 | 5G mmWave cooling through PCB | INTEL CORPORATION |
11112870 | Electromagnetic feedback actuator for an operating element and arrangement having at least one electromagnetic feedback actuator | KOSTAL AUTOMOBIL ELEKTRIK GMBH & CO. KG |
11112876 | Operation input device | KABUSHIKI KAISHA TOKAI RIKA DENKI SEISAKUSHO |
11112885 | Rotary input device | -- |
11112915 | Electronic devices with covers | APPLE INC. |
11113046 | Integration and remote control of a pre-assembled computer system into a server for a virtualization service | AMAZON TECHNOLOGIES, INC. |
11113228 | Portable computing system and portable computer for use with same | ARNOUSE DIGITAL DEVICES CORPORATION |
11113232 | Disaggregated computer system | SUPER MICRO COMPUTER, INC. |
11113483 | Radio frequency identification (RFID) system | -- |
11113594 | Microwave diagnostics and passive sensors for pipeline, well-bore, and boiler-tube monitoring | U.S. DEPARTMENT OF ENERGY |
11113889 | Adjustable waveguide assembly and augmented reality eyewear with adjustable waveguide assembly | SNAP INC. |
11113933 | Visual indication system for feedback controller | THERM-OMEGA-TECH, INC. |
11113994 | Label clip | GO!FOTON HOLDINGS, INC. |
11114081 | Device agnostic audio path sealing | PPIP LLC |
11114120 | Plural heat-sink layers for an On-Wafer Laser of a heat-assisted magnetic recording device | SEAGATE TECHNOLOGY LLC |
11114126 | Disk drive server | SPECTRA LOGIC CORPORATION |
11114134 | Storage device and its holding bracket | -- |
11114146 | Nanosecond non-destructively erasable magnetoresistive random-access memory | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11114213 | Self-recharging direct conversion electrical energy storage device and method | -- |
11114214 | Aluminium conductors | LAMIFIL, N.V. |
11114215 | Core electric wire for multi-core cable and multi-core cable | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11114216 | Aluminum-resin composite, insulated aluminum wire, flat cable and processes for producing the same | FURUKAWA ELECTRIC CO., LTD. |
11114217 | Shield conduction path | SUMITOMO WIRING SYSTEMS, LTD. |
11114218 | Mechanical stress isolation conductors in lead frames | VITESCO TECHNOLOGIES USA, LLC |
11114219 | Strand leadthrough device | BIMED TEKNIK ALETLER SANAYI VE TICARET A.S. |
11114220 | Hollow insulator and method for production thereof | SIEMENS AKTIENGESELLSCHAFT |
11114221 | Interlocking insulator and conductor cover for electrical distribution systems | ECO ELECTRICAL SYSTEMS |
11114222 | Resistive element and method of manufacturing the same | FUJI ELECTRIC CO., LTD. |
11114223 | Three-dimensional thermistor platform and a method for manufacturing the same | TRONICS MEMS, INC. |
11114224 | Magnet material, permanent magnet, motor, and power generator | KABUSHIKI KAISHA TOSHIBA |
11114225 | Rare earth thin film magnet and production method thereof | JX NIPPON MINING & METALS CORPORATION |
11114226 | Ultra-low cobalt iron-cobalt magnetic alloys | CARPENTER TECHNOLOGY CORPORATION |
11114227 | Non-oriented electrical steel sheet and method for manufacturing non-oriented electrical steel sheet | JFE STEEL CORPORATION |
11114228 | Magnetic powder composite, antenna and electronic device, and method for producing the same | DOWA ELECTRONICS MATERIALS CO., LTD. |
11114229 | Coil component | TAIYO YUDEN CO., LTD. |
11114230 | Monitoring device for cryogenic device | TOKAMAK ENERGY LTD. |
11114231 | Rotary solenoid | TAKANO CO., LTD. |
11114232 | Inductor assemblies | RAYCAP IP DEVELOPMENT LTD |
11114233 | AC/DC converter | THALES |
11114234 | Transformer arrangement and method for controlling pressure in a liquid-filled transformer | ABB POWER GRIDS SWITZERLAND AG |
11114235 | Magnetic coupling coil component | TAIYO YUDEN CO., LTD. |
11114236 | Band feeding process and system as well as plant for the production of laminated cores for transformers | L.A.E. LUGHESE ATTREZZATURE PER L'ELETTROMECCANICA |
11114237 | Method of improving the coercivity of Nd—Fe—B magnets | YANTAI SHOUGANG MAGNETIC MATERIALS INC. |
11114238 | Multilayer substrate, structure of multilayer substrate mounted on circuit board, method for mounting multilayer substrate, and method for manufacturing multilayer substrate | MURATA MANUFACTURING CO., LTD. |
11114239 | Electronic device, device package, and method of fabrication | NXP B.V. |
11114240 | Multilayer electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11114241 | Multilayer ceramic electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11114242 | Capacitor having an oxide film on a surface of a conductive metal base material | MURATA MANUFACTURING CO., LTD. |
11114243 | Multilayer ceramic capacitor and method for producing the same | MURATA MANUFACTURING CO., LTD. |
11114244 | Dielectric composition and electronic component | TDK CORPORATION |
11114245 | Ceramic dielectric and method of manufacturing the same and ceramic electronic component and electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11114246 | Multilayer ceramic electronic component | TDK CORPORATION |
11114247 | Multilayer ceramic capacitor having a capacitor element body inculding a dielectric layer and an internal electrode layer | TDK CORPORATION |
11114248 | Thin film capacitor and manufacturing method thereof | TDK CORPORATION |
11114249 | Thin-film capacitor | TDK CORPORATION |
11114250 | Solid electrolytic capacitor formed from conductive polymer particles | AVX CORPORATION |
11114251 | Polymer, method for preparing the same and solar cell comprising the polymer | BOE TECHNOLOGY GROUP CO., LTD. |
11114252 | Method for manufacturing perovskite solar cell module and perovskite solar cell module | -- |
11114253 | Additive material for an electrode of an electrochemical cell, double layer capacitor and production method for such an electrode | HERAEUS BATTERY TECHNOLOGY GMBH |
11114254 | Silver-graphene tungsten material electrical contact tips of a low voltage circuit breaker | SIEMENS INDUSTRY, INC. |
11114255 | Anti-rebounding lever within a switching device | SCHNEIDER ELECTRIC INDUSTRIES SAS |
11114256 | Switching apparatus and associated switch | ABB SCHWEIZ AG |
11114257 | Methods and apparatus for DC arc detection/suppression | YAZAKI NORTH AMERICA, INC. |
11114258 | Switching apparatus for carrying and disconnecting electric currents, and switchgear having a switching apparatus of this kind | EATON INTELLIGENT POWER LIMITED |
11114259 | Switch body | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11114260 | Scissor-leg structural key and its switch device, and a keyboard applying the key | -- |
11114261 | Electrical connector for igniter for exothermic welding | ERICO INTERNATIONAL CORPORATION |
11114262 | Contact system for electrical current conduction and bus transfer switching in a switchgear | ABB POWER GRIDS SWITZERLAND AG |
11114263 | Magnetic electrical switch | EATON INTELLIGENT POWER LIMITED |
11114264 | Insertion structure between static spring and bobbin | XIAMEN HONGFA AUTOMOTIVE ELECTRONICS CO., LTD. |
11114265 | Thermal management in high power RF MEMS switches | CAVENDISH KINETICS, INC. |
11114266 | Element sub-structure | LITTELFUSE, INC. |
11114267 | Single hand controller | MEASUREMENT SYSTEMS, INC. |
11114268 | X-ray generating tube, X-ray generating apparatus, and radiography system | CANON KABUSHIKI KAISHA |
11114269 | Bremsstrahlung target for radiation therapy system | ACCURAY INCORPORATED |
11114270 | Scanning magnet design with enhanced efficiency | AXCELIS TECHNOLOGIES, INC. |
11114271 | Sixth-order and above corrected STEM multipole correctors | FEI COMPANY |
11114272 | Pulsed CFE electron source with fast blanker for ultrafast TEM applications | FEI COMPANY |
11114273 | Screening method and apparatus for detecting an object of interest | -- |
11114274 | Method and system for testing an integrated circuit | CARL ZEISS SMT GMBH |
11114275 | Methods and systems for acquiring electron backscatter diffraction patterns | FEI COMPANY |
11114276 | Apparatus, method, and program for processing and observing cross section, and method of measuring shape | HITACHI HIGH-TECH SCIENCE CORPORATION |
11114277 | Dual cathode ion source | VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC. |
11114278 | Power supply device for plasma, plasma device, and method for controlling power supply device for plasma | FUJI CORPORATION |
11114279 | Arc suppression device for plasma processing equipment | COMET TECHNOLOGIES USA, INC. |
11114280 | Impedance matching with multi-level power setpoint | RENO TECHNOLOGIES, INC. |
11114281 | Method and device for radio frequency impedance matching, and semiconductor processing apparatus | BEIJING NAURA MICROELECTRONICS EQUIPMENT CO., LTD. |
11114282 | Phased array modular high-frequency source | APPLIED MATERIALS, INC. |
11114283 | Reactor, system including the reactor, and methods of manufacturing and using same | ASM IP HOLDING B.V. |
11114284 | Plasma reactor with electrode array in ceiling | APPLIED MATERIALS, INC. |
11114285 | Apparatus for exhaust cooling | APPLIED MATERIALS, INC. |
11114286 | In-situ optical chamber surface and process sensor | APPLIED MATERIALS, INC. |
11114287 | Radical output monitor for a remote plasma source and method of use | MKS INSTRUMENTS, INC. |
11114288 | Physical vapor deposition apparatus | APPLIED MATERIALS, INC. |
11114289 | Non-disappearing anode for use with dielectric deposition | APPLIED MATERIALS, INC. |
11114290 | Ion funnels and systems incorporating ion funnels | THERMO FINNIGAN LLC |
11114291 | Method of separating different ions having similar mass to charge ratios | MICROMASS UK LIMITED |
11114292 | Segmented linear ion trap for enhanced ion activation and storage | FASMATECH SCIENCE & TECHNOLOGY LTD. |
11114293 | Space-time buffer for ion processing pipelines | THERMO FINNIGAN LLC |
11114306 | Methods for depositing dielectric material | APPLIED MATERIALS, INC. |
11114321 | Apparatus and method for real-time sensing of properties in industrial manufacturing equipment | TOKYO ELECTRON LIMITED |
11114324 | Defect candidate generation for inspection | KLA CORP. |
11114326 | Substrate chucking and dechucking methods | APPLIED MATERIALS, INC. |
11114327 | ESC substrate support with chucking force control | APPLIED MATERIALS, INC. |
11114365 | Electronic element mounting substrate, electronic device, and electronic module | KYOCERA CORPORATION |
11114377 | Transformer, transformer manufacturing method and semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11114391 | Antenna package structure and antenna packaging method | SJ SEMICONDUCTOR (JIANGYIN) CORPORATION |
11114392 | Wireless communication device | MURATA MANUFACTURING CO., LTD. |
11114393 | Electronic package and method for fabricating the same | -- |
11114394 | Signal routing carrier | INTEL CORPORATION |
11114425 | Packaging of radiation detectors in an image sensor | SHENZHEN XPECTVISION TECHNOLOGY CO., LTD. |
11114479 | Optoelectronics and CMOS integration on GOI substrate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11114573 | Optoelectronic module assembly and manufacturing method | AMS SENSORS SINGAPORE PTE. LTD. |
11114584 | Optoelectronic component | OSRAM OLED GMBH |
11114612 | Magnetoresistive random access memory and method for fabricating the same | -- |
11114619 | Conjugated polymer for a photoactive layer, a coating composition including the conjugated polymer, and an organic solar cell including the photoactive layer | KOREA INSTITUTE OF SCIENCE AND TECHNOLOGY |
11114665 | Energy storage device and method for producing same | GS YUASA INTERNATIONAL LTD. |
11114668 | Electrode materials and processes for their preparation | HYDRO-QUÉBEC |
11114690 | Method of manufacturing solid electrolyte for all-solid cells, solid electrolyte manufactured using the method, and all-solid cell including the solid electrolyte | HYUNDAI MOTOR COMPANY |
11114695 | Electrolyte for electrochemical device, electrolytic solution, and electrochemical device | OTSUKA CHEMICAL CO., LTD. |
11114715 | Enclosure assemblies with improved electromagnetic compatibility | FORD GLOBAL TECHNOLOGIES, LLC |
11114718 | Energy storage apparatus and method of manufacturing energy storage apparatus | GS YUASA INTERNATIONAL LTD. |
11114727 | Power storage device | MURATA MANUFACTURING CO., LTD. |
11114729 | Energy storage device and method of manufacturing energy storage device | GS YUASA INTERNATIONAL LTD. |
11114732 | Waveguide non-reflective terminator and waveguide circuit | MITSUBISHI ELECTRIC CORPORATION |
11114733 | Waveguide interconnect transitions and related sensor assemblies | VEONEER US, INC. |
11114734 | Waveguide to planar surface integrated waveguide and planar stripline transitions | THE BOEING COMPANY |
11114735 | Coaxial to waveguide transducer including an L shape waveguide having an obliquely arranged conductor and method of forming the same | NEC CORPORATION |
11114736 | Multi-channel power combiner with phase adjustment | GLOBALFOUNDRIES INC. |
11114737 | Simplification of complex waveguide networks | THE BOEING COMPANY |
11114738 | Tunable resonant circuit comprising a RF resonator geometry disposed on an active material layer such that resonance changes when photon energy is applied | UNITED STATES OF AMERICA AS REPRESENTED BY THE SECRETARY OF THE AIR FORCE |
11114739 | Mitigating wind damage to wind exposed devices | DISH NETWORK L.L.C. |
11114740 | Coupling mechanism, coupling mechanism group, and antenna device | FUJIKURA LTD. |
11114741 | Antenna module and electronic device comprising same | SAMSUNG ELECTRONICS CO., LTD. |
11114742 | Window antennas | VIEW, INC. |
11114743 | Electronic devices with antennas | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11114744 | Antenna having single non-conductive portion and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11114745 | Antenna package for signal transmission | -- |
11114746 | Terminal | HUAWEI TECHNOLOGIES CO., LTD. |
11114747 | Antenna including conductive pattern and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11114748 | Flexible printed circuit structures for electronic device antennas | APPLE INC. |
11114749 | Communication apparatus and method, antenna apparatus, and communication system | SONY CORPORATION |
11114750 | Satellite antenna having fiducial devices for compensating physical distortion and associated methods | EAGLE TECHNOLOGY, LLC |
11114751 | Small cell installation structure | EASYSTREET SYSTEMS, INC. |
11114752 | Three-dimensional antenna apparatus having at least one additional radiator | FRAUNHOFER-GESELLSCHAFT ZUR FOERDERUNG DER ANGEWANDTEN FORSCHUNG E.V. |
11114753 | Antenna windows for base covers | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11114754 | Radar antenna device and method for shielding a radar antenna device | TMT TAPPING-MEASURING-TECHNOLOGY GMBH |
11114755 | Antenna device with radome | DENSO TEN LIMITED |
11114756 | Antenna system | -- |
11114757 | Embedded antenna array metrology systems and methods | ROCKWELL COLLINS, INC. |
11114758 | Methods and systems for using a beam-forming network in conjunction with maximal-ratio-combining techniques | GO NET SYSTEMS LTD |
11114759 | Beamforming circuit for multiple antennas | QUALCOMM INCORPORATED |
11114760 | Magnetic shutter antenna | THE REGENTS OF THE UNIVERSITY OF COLORADO, A BODY CORPORATE |
11114761 | Antenna with partially saturated dispersive ferromagnetic substrate | TDF |
11114762 | Method of outputting a signal using an antenna disposed adjacent to a conductive member of a connector and an electronic device using the same | SAMSUNG ELECTRONICS CO., LTD. |
11114764 | Antenna module | AMOTECH CO., LTD. |
11114765 | Dipole antenna structure | SHENZHEN ANTOP TECHNOLOGY CO. LTD. |
11114766 | Tapered slot antenna | IXI TECHNOLOGY HOLDINGS, INC. |
11114767 | Tiled reflector for fixed wireless applications | -- |
11114768 | Multibeam antenna designs and operation | COHERE TECHNOLOGIES, INC. |
11114770 | Antenna structure and wireless communication device using the same | SHENZHEN NEXT GENERATION COMMUNICATIONS LIMITED |
11114771 | Antenna device and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11114772 | Dual polarized omni-directional antenna and base station including same | KMW INC. |
11114773 | Devices, systems, and methods for directional antennas that protect sensitive zones | FLEX LTD. |
11114774 | Display device and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11114775 | Contacting device for contacting a shielding conductor of an electrical line with a grounding section | PHOENIX CONTACT GMBH & CO. KG |
11114776 | Method for connecting conductive fabric to wire | JOYSON SAFETY SYSTEMS ACQUISITION LLC |
11114777 | Battery clamp device | THE NOCO COMPANY |
11114778 | Cable connector to PCB interconnect | TEKTRONIX, INC. |
11114779 | Terminal base and electrical connection structure of devices | YAZAKI CORPORATION |
11114780 | Electronic module with an electrically conductive press-fit terminal having a press-fit section | INFINEON TECHNOLOGIES AG |
11114781 | Sealed flexible printed circuit connector | APPLE INC. |
11114782 | Method of manufacturing circuit board structure | -- |
11114783 | System and method for incorporating an inline inductor into a connector | ROCKWELL AUTOMATION TECHNOLOGIES, INC. |
11114784 | Electrical connector structure | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11114785 | Connector | DONGGUAN LUXSHARE TECHNOLOGIES CO., LTD |
11114786 | PCB direct connector | LG CHEM, LTD. |
11114787 | Terminal for connector mounted to printed circuit board and connector supporting said terminal | LG CHEM, LTD. |
11114788 | PCB direct connector having two-row terminal structure | LG CHEM, LTD. |
11114789 | Electrical connector with rotationally restricted cover member | -- |
11114790 | Reversible dual-position electric connector | -- |
11114791 | Connector | -- |
11114792 | Contact and connector | TYCO ELECTRONICS JAPAN G.K. |
11114793 | Electrical installation for connecting male terminals to female terminals | GJM, S.A. |
11114794 | Connector on electric vehicle for charging the electric vehicle | SUMITOMO WIRING SYSTEMS, LTD. |
11114795 | Male terminal, male connector, jig and method for assembling male connector | AUTONETWORKS TECHNOLOGIES, LTD. |
11114796 | Electrical connector with modular housing for accommodating various contact layouts | CARLISLE INTERCONNECT TECHNOLOGIES, INC. |
11114797 | Cage, electrical equipment and partition assembly | TYCO ELECTRONICS (SHANGHAI) CO. LTD. |
11114798 | Connector | SUMITOMO WIRING SYSTEMS, LTD. |
11114799 | Housing for a plug comprising a display unit | TE CONNECTIVITY GERMANY GMBH |
11114800 | Release with tab and connector | DONGGUAN LUXSHARE TECHNOLOGIES CO., LTD |
11114801 | Connector | SUMITOMO WIRING SYSTEMS, LTD. |
11114802 | Electrical connector and locking member | -- |
11114803 | Connector system with wafers | MOLEX, LLC |
11114804 | Shielded-cable pass-through assembly with boundry contact | APTIV TECHNOLOGIES LIMITED |
11114805 | Marine shore power component | -- |
11114806 | Coaxial connector device having main connector to which cable is connected and board connector to which main connector is connected | CANARE ELECTRIC CO., LTD. |
11114807 | Circuit board bypass assemblies and components therefor | MOLEX, LLC |
11114808 | Connector assembly | -- |
11114809 | Fiber optic device operational monitoring | LUMENTUM OPERATIONS LLC |
11114810 | Laser device | HAMAMATSU PHOTONICS K.K. |
11114811 | Multimode fiber, optical amplifier, and fiber laser | FUJIKURA LTD. |
11114812 | Optical tube waveguide lasing medium and related method | CORNING INCORPORATED |
11114813 | Integrated pumplight homogenizer and signal injector for high-power laser system | RAYTHEON COMPANY |
11114814 | Relative phase measurement for coherent combining of laser beams | TRUMPF SCIENTIFIC LASERS GMBH + CO. KG |
11114815 | Rare-earth ion-doped waveguide amplifiers | ACACIA COMMUNICATIONS, INC. |
11114816 | Diffractive optical element with off-axis incidence in a structured light application | LUMENTUM OPERATIONS LLC |
11114817 | Semiconductor laser device | USHIO DENKI KABUSHIKI KAISHA |
11114818 | Photonic chip passed through by a via | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11114819 | Laser carrier-on-chip device | FINISAR SWEDEN AB |
11114820 | Push-pull circuit with driving assisted by asymmetric charge sharing | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11114821 | Semiconductor laser wafer and semiconductor laser | KABUSHIKI KAISHA TOSHIBA |
11114822 | Optical semiconductor element | KABUSHIKI KAISHA TOSHIBA |
11114823 | Non-rotationally symmetrical spark gap, in particular horn spark gap with deion chamber | DEHN SE + CO KG |
11114825 | Joining method of electric wires | YAZAKI CORPORATION |
11114830 | Networking enclosure assembly with magnetic alignment and interlocking, adaptable to be installed in different locations and positions | PRIMEX MANUFACTURING LTD. |
11114833 | Wire exterior body and exterior-covered wire harness | FURUKAWA ELECTRIC CO., LTD. |
11114835 | Composite lightning strike protection system | TOUCHSTONE RESEARCH LABORATORY, LTD. |
11114837 | Ground overcurrent control system and methods of using same | MOLEX, LLC |
11114840 | System, method, and apparatus for power distribution in an electric mobile application using a combined breaker and relay | EATON INTELLIGENT POWER LIMITED |
11114851 | Energy conserving (stand-by mode) power saving design for battery chargers and power supplies with a control signal | CHEMTRON RESEARCH LLC |
11114856 | Method and apparatus for protecting electrical components from a transient electromagnetic disturbance | ADVANCED FUSION SYSTEMS LLC |
11114865 | Desk top item with LED means has USB-units or USB- module to charge other electric or digital data devices | -- |
11114867 | Capacitor discharge tool | DISH NETWORK L.L.C. |
11114868 | Supplemental capacitor based battery charging system | BBY SOLUTIONS, INC. |
11114869 | Jump starter auto safety jumper module | VECTOR PRODUCTS, INC. |
11114871 | Smart wearable device and charger thereof | JRD COMMUNICATION INC. |
11114875 | Integrated charger and remote control | -- |
11114884 | Sensing coil system | INTEL CORPORATION |
11114886 | Powering or charging small-volume or small-surface receivers or devices | MOJO MOBILITY, INC. |
11114895 | Pinless power coupling | POWERMAT TECHNOLOGIES, LTD. |
11114896 | Wireless power system modules | WITRICITY CORPORATION |
11114897 | Wireless power transmission system enabling bidirectional energy flow | WITRICITY CORPORATION |
11114898 | Device and method for supporting improved communication speed in wireless power transmission system | LG ELECTRONICS INC. |
11114926 | Electromagnetic energy converter | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11114946 | Voltage regulator module | -- |
11114956 | Magnetic levitator | SINGAPORE UNIVERSITY OF TECHNOLOGY AND DESIGN |
11114992 | Motor drive with a filter including a three-phase differential mode reactor with common mode damping | SCHAFFNER EMV AG |
11115001 | Receiving filter, multiplexer, and communication apparatus | KYOCERA CORPORATION |
11115025 | Universal transceiver container | INTEL CORPORATION |
11115074 | Wearable device antenna | SNAP INC. |
11115077 | Wireless communication method for modulating data signals in a chirp spread spectrum communication system | KING ABDULAZIZ UNIVERSITY |
11115082 | Wireless power transmission/reception device and method used in electronic apparatus | SAMSUNG ELECTRONICS CO., LTD. |
11115089 | Radio apparatuses for long-range communication of radio-frequency information | UBIQUITI INC. |
11115093 | Electronic device supporting thermal mitigating and a control method of thereof | LG ELECTRONICS INC. |
11115102 | Wireless signal transmitting antenna, wireless signal receiving antenna, wireless signal transmitting system, wireless signal transmitting method, and wireless signal receiving method | NEC CORPORATION |
11115127 | Laser communication system and laser communication method | MITSUBISHI HEAVY INDUSTRIES, LTD. |
11115136 | Method for calibrating an array antenna in a wireless communication system and apparatus thereof | LG ELECTRONICS INC. |
11115138 | Method for antenna occlusion detection | APPLE INC. |
11115247 | Methods and circuits for asymmetric distribution of channel equalization between devices | RAMBUS INC. |
11115509 | Electronic device with metal frame antenna | SAMSUNG ELECTRONICS CO., LTD. |
11115567 | Image capture assembly and aerial photographing aerial vehicle | SZ DJI TECHNOLOGY CO., LTD. |
11115733 | Distributor strip | VERTIV INTEGRATED SYSTEMS GMBH |
11115754 | Actuator | EM-TECH. CO., LTD. |
11115792 | Vehicular high-speed network system | -- |
11115836 | Smart antenna controlling method and smart antenna system | INVENTEC (PUDONG) TECHNOLOGY CORPORATION |
11115983 | Data and control channels in synchronization bursts for millimeter wave new radio | QUALCOMM INCORPORATED |
11116043 | High temperature heater lamp | ARIZONA BOARD OF REGENTS ON BEHALF OF ARIZONA STATE UNIVERSITY |
11116045 | Variable pitch resistance coil heater | WATLOW ELECTRIC MANUFACTURING COMPANY |
11116062 | Streetlight-based power tap | UBICQUIA, INC. |
11116073 | Connector-cable module | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11116078 | Prepregs and laminates having homogeneous dielectric properties | -- |
11116081 | Laminated magnetic core inductor with magnetic flux closure path parallel to easy axes of magnetization of magnetic layers | FERRIC INC. |
11116084 | Method, device and system for providing etched metallization structures | INTEL CORPORATION |
11116085 | Display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11116086 | Electronic apparatus | SAMSUNG DISPLAY CO., LTD. |
11116087 | Display module and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11116088 | Semiconductor storage device | TOSHIBA MEMORY CORPORATION |
11116089 | Component assembly including a connection between two components | ROBERT BOSCH GMBH |
11116090 | Electronic devices incorporating flexible component layers with interlocking devices | 3M INNOVATIVE PROPERTIES COMPANY |
11116091 | Flexible display screen and display device | -- |
11116092 | Electronic housing assembly for surface mounted circulators and isolators | JQL TECHNOLOGIES CORPORATION |
11116093 | Wing hinge for a field device housing and field device housing with wing hinge | ENDRESS+HAUSER CONDUCTA GMBH+CO. KG |
11116094 | Supporting device | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
11116095 | Waterproof card holder, card seat, metal casing and mobile terminal | SHENZHEN EVERWIN PRECISION TECHNOLOGY CO LTD |
11116096 | Medium for binding components in an assembly of an electronic device, a method of preparing the same, a display assembly of an electronic device, and a system for simulating mechanical behaviours of the electronic device and the medium | CITY UNIVERSITY OF HONG KONG |
11116097 | Damping covers for power inverter modules and integrated power electronics modules utilizing the same | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
11116098 | Dynamically bendable automotive interior display systems | CORNING INCORPORATED |
11116099 | Riveted metal middle frame and electronic device | GUANGDONG EVERWIN PRECISION TECHNOLOGY CO., LTD. |
11116100 | Implementation module for stacked connection between isolated circuit components and the circuit thereof | SHENZHEN XILONG TOY COMPANY LIMITED |
11116101 | Movable standoffs with posts | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11116102 | Display device | K-TRONICS (SUZHOU) TECHNOLOGY CO., LTD. |
11116103 | Multi-floor data center cooling system | BAIDU USA LLC |
11116104 | Server chassis | -- |
11116105 | Liquid-cooling termination structure having temperature sensing function | SHANGHAI LUYAO ENERGY TECHNOLOGY CO., LTD. |
11116106 | Cooling device, cooling system, and method of cooling | FUJITSU LIMITED |
11116107 | Flexible screen | BOE TECHNOLOGY GROUP CO., LTD. |
11116108 | Electronic device with removable module | SAMSUNG ELECTRONICS CO., LTD. |
11116109 | Electrically insulating thermal connector having a low thermal resistivity | AVX CORPORATION |
11116110 | Computer server | BEIJING TUSEN WEILAI TECHNOLOGY CO., LTD. |
11116111 | Method and arrangement for air-conditioning a cold aisle | WEISS KLIMATECHNIK GMBH |
11116112 | Cold energy recovery apparatus for a self-powered data centre | KEPPEL OFFSHORE & MARINE TECHNOLOGY CENTRE PTE LTD |
11116113 | Cooling electronic devices in a data center | GOOGLE LLC |
11116114 | Cooling system design for data centers | BAIDU USA LLC |
11116115 | High power density power supply | -- |
11116116 | Interference limiting enclosure for power flow devices | SMART WIRES INC. |
11116117 | System and method for elecromagnetic interference mitigation for an antenna element and speaker co-located within a cavity formed behind a speaker grill | DELL PRODUCTS L.P. |
11116118 | Tape feeder set-up system | FUJI CORPORATION |
11116119 | Conveyance device and mounting-related device | FUJI CORPORATION |
11116120 | Positioning module and electronic device | -- |
11116121 | Mounting target working device | YAMAHA HATSUDOKI KABUSHIKI KAISHA |
11116316 | Supporting device for rail member | -- |
11116462 | X-ray system and method for generating x-ray image in color | KING FAHD UNIVERSITY OF PETROLEUM AND MINERALS |
11116573 | System for tissue ablation using pulsed laser | EXIMO MEDICAL LTD |
11116598 | Apparatus and methods for monitoring objects in a surgical field | STRYKER CORPORATION |
11116984 | Extended length antenna assembly for use within a multi-component system | ADVANCED BIONICS AG |
11116987 | Electrical contact component | BIOTRONIK SE & CO. KG |
11116996 | High-intensity external ion injector | ANTAYA SCIENCE & TECHNOLOGY |
11117181 | Method of manufacturing laminated iron core | JFE STEEL CORPORATION |
11117229 | Automatic assembly machine for combined control button | DONGGUAN UNIVERSITY OF TECHNOLOGY |
11117349 | Conductive film, and method for preparing the same | LG CHEM, LTD. |
11117478 | Charging inlet with thermal sensor | TE CONNECTIVITY CORPORATION |
11117479 | Temperature-monitored charging system for transmitting electric charge currents | PHOENIX CONTACT E-MOBILITY GMBH |
11117734 | Puffer device | SUTERRA, LLC |
11117801 | Transparent electrode with a composite layer of a graphene layer and nanoparticles | IMAM ABDULRAHMAN BIN FAISAL UNIVERSITY |
11118014 | Polymer compound, intermediate composition, negative electrode, electricity storage device, and method for producing polymer compound | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11118039 | Conductive articles produced from a composite material and process to produce such articles | TOTAL RESEARCH & TECHNOLOGY FELUY |
11118073 | Cellular graphene films | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
11118078 | Metal alloys from molecular inks | LIQUID X PRINTED METALS, INC. |
11118082 | Composition, insulating material, and method for preparing an insulating material | -- |
11118089 | Thermally-conductive and electrically-conductive adhesive composition | TANAKA KIKINZOKU KOGYO K.K. |
11118241 | Method of fabricating an article for magnetic heat exchange | VACUUMSCHMELZE GMBH & CO. KG |
11118261 | Method of coating a substrate and coating apparatus for coating a substrate | APPLIED MATERIALS, INC. |
11118262 | Substrate processing apparatus having a gas-mixing manifold | ASM IP HOLDING B.V. |
11118312 | Poly alpha-1,3-glucan fibrids and uses thereof and processes to make poly alpha-1,3-glucan fibrids | NUTRITION & BIOSCIENCES USA 4, INC. |
11118393 | Modular shielded enclosures and related methods using glidable shielded walls | INTECH DEFENSE, LLC |
11118429 | Control system for hydrocarbon recovery tools | WEATHERFORD TECHNOLOGY HOLDINGS, LLC |
11118436 | Pressure bulkhead | HUNTING TITAN, INC. |
11118602 | Adaptable thin section liquid pump for electronics cooling systems or other systems | RAYTHEON COMPANY |
11118702 | Valve with energy-saving electrodynamic actuator | BUERKERT WERKE GMBH & CO. KG |
11118742 | Detachable electrical connection for flat lighting module | OLEDWORKS LLC |
11118770 | Low voltage power supply for a merchandise display system | RTC INDUSTRIES, INC. |
11118771 | Flameless candle with multi-purpose flame element | STERNO HOME INC. |
11118844 | Preparation method for heat pipe | LG CHEM, LTD. |
11118939 | Conductive cladding for waveguides | APPLE INC. |
11118969 | Electron tube comprising a focusing electrode part having a light passage portion and an electron passage portion | HAMAMATSU PHOTONICS K.K. |
11118984 | Use of actuator as sensor for input | GOOGLE LLC |
11119053 | Wireless sensing devices including stable near-field antenna | 3M INNOVATIVE PROPERTIES COMPANY |
11119060 | Defect location accuracy using shape based grouping guided defect centering | KLA-TENCOR CORPORATION |
11119069 | Device and method to manipulate ions in multi level system | BATTELLE MEMORIAL INSTITUTE |
11119070 | Systems and methods for ion mobility separation using a lens array | THERMO FINNIGAN LLC |
11119081 | Ion generation using modified wetted porous materials | PURDUE RESEARCH FOUNDATION |
11119084 | Methods and devices for detecting mercury isotopes in natural gas | PETROCHINA COMPANY LIMITED |
11119125 | Toroidal fluxgate current transducer | LEM INTERNATIONAL SA |
11119133 | Multiple antennas on meter enclosure | LANDIS+GYR INNOVATIONS, INC. |
11119139 | Integrated circuit with antenna in package testing apparatus | -- |
11119142 | Automated cable assessment and control | AMAZON TECHNOLOGIES, INC. |
11119156 | Monitoring arrangement for monitoring a safety sensor and method for monitoring a safety sensor | TRUMPF SCHWEIZ AG |
11119203 | Radar device, signal processor, and signal processing method | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11119220 | Systems and methods for providing a health coaching message | ADIDAS AG |
11119288 | Fiber distribution hub | COMMSCOPE TECHNOLOGIES LLC |
11119310 | Laser array sidelobe suppression | LOCKHEED MARTIN CORPORATION |
11119320 | Fringe mitigation using short pulsed laser diodes | MICROSOFT TECHNOLOGY LICENSING, LLC |
11119356 | Flexible backlight, method for manufacturing the same, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11119364 | Liquid crystal phase shifter, method for operating the same, liquid crystal antenna, and communication apparatus | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11119384 | Hermetic sealing of a nonlinear crystal for use in a laser system | KLA-TENCOR CORPORATION |
11119386 | Truncated nonlinear interferometer-based atomic force microscopes | UT-BATTELLE, LLC |
11119450 | Electronic timepiece | SEIKO EPSON CORPORATION |
11119517 | Method of dynamic thermal management of electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11119532 | Methods and apparatus to implement microphones in thin form factor electronic devices | INTEL CORPORATION |
11119535 | Opening force control for foldable electronic devices | MICROSOFT TECHNOLOGY LICENSING, LLC |
11119541 | Portable electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11119543 | Closed loop hybrid cooling | CISCO TECHNOLOGY, INC. |
11119544 | Mobile hardware heat dissipating and protection device | -- |
11119550 | USB device and operation method thereof | -- |
11119588 | Wireless mouse and switch module applied therein | -- |
11119617 | Transparent electrode member, multilayer transparent electrode member, and capacitive sensor | ALPS ALPINE CO., LTD. |
11119620 | Electronic device | -- |
11119960 | Adapter to concatenate connectors | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11120239 | Electronic device management in a datacenter | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11120255 | Systems and methods for a multifactor user identification and authentication framework for in-air-handwriting with hand geometry and deep hashing | ARIZONA BOARD OF REGENTS ON BEHALF OF ARIZONA STATE UNIVERSITY |
11120324 | Planar conductive device that forms a coil for an RFID tag when folded | AVERY DENNISON RETAIL INFORMATION SERVICES, LLC |
11120696 | Control device, program, control method, and flight vehicle | HAPSMOBILE INC. |
11120923 | Wiring member | SUMITOMO WIRING SYSTEMS, LTD. |
11120924 | Cable and a combined cable | TYCO ELECTRONICS (SHANGHAI) CO. LTD. |
11120925 | Induction heating extension cables including control conductors | ILLINOIS TOOL WORKS INC. |
11120926 | Unified power and data cable | CISCO TECHNOLOGY, INC. |
11120927 | Diffusion barriers for metallic superconducting wires | H.C. STARCK INC. |
11120928 | Apparatus for installing a sleeve on a cable | THE BOEING COMPANY |
11120929 | Surge arrester and method for installing a surge arrester | SIEMENS AKTIENGESELLSCHAFT |
11120930 | Method for manufacturing high-sensitivity piezoresistive sensor using multi-level structure design | SOOCHOW UNIVERSITY |
11120931 | R-T-B based permanent magnet | TDK CORPORATION |
11120932 | R-T-B-based rare earth magnet particles, and bonded magnets containing R-T-B-based rare earth magnet particles | TODA KOGYO CORP. |
11120933 | Stack cap with a non-magnetic layer including ferromagnetic elements | SEAGATE TECHNOLOGY LLC |
11120934 | Electronic component and method for manufacturing electronic component | MURATA MANUFACTURING CO., LTD. |
11120936 | Magnetic component module | -- |
11120937 | High current, low equivalent series resistance printed circuit board coil for power transfer application | FUTUREWEI TECHNOLOGIES, INC. |
11120938 | Current transformer apparatus that is mountable to a circuit board | EATON INTELLIGENT POWER LIMITED |
11120939 | Ten-phase auto transformer rectifier unit | THE BOEING COMPANY |
11120940 | EMI rejection for near field links | ANALOG DEVICES, INC. |
11120941 | Methods of forming capacitors | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11120942 | Process for production of multilayer electronic component | TDK CORPORATION |
11120943 | Method for manufacturing ceramic electronic component | MURATA MANUFACTURING CO., LTD. |
11120944 | Ceramic electronic component including ceramic nanosheets having multimodal lateral size distribution and method of manufacturing the same and electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11120945 | Multilayer ceramic capacitor | MURATA MANUFACTURING CO., LTD. |
11120946 | Micro-electronic electrode assembly | TECHNISCHE UNIVERSITÄT DARMSTADT |
11120947 | Connection structure of power storage elements and power storage module | AUTONETWORKS TECHNOLOGIES, LTD. |
11120948 | Electrolyte for aluminum electrolytic capacitor and aluminum electrolytic capacitor using electrolyte | -- |
11120949 | Wire to anode connection | KEMET ELECTRONICS CORPORATION |
11120950 | Self-charging device for energy harvesting and storage | TSINGHUA UNIVERSITY |
11120951 | Electrode foil, winding capacitor, electrode foil manufacturing method, and winding capacitor manufacturing method | NIPPON CHEMI-CON CORPORATION |
11120952 | Supercapacitor having a high volumetric energy density | NANOTEK INSTRUMENTS GROUP, LLC |
11120953 | Power contact end-of-life (EoL) predictor apparatus and method | ARC SUPPRESSION TECHNOLOGIES |
11120954 | Integrated switch | KEDU ELECTRIC CO., LTD. |
11120955 | Low-voltage circuit breaker device with multiple-breaking switch | EATON INTELLIGENT POWER LIMITED |
11120956 | Keyboard device | -- |
11120957 | Electrical interruption switching element with a tubular separating element with varying wall thickness | -- |
11120958 | Relay holding circuit and battery management system | CONTEMPORARY AMPEREX TECHNOLOGY CO., LIMITED |
11120959 | System and method for quick and low noise relay switching operation | TIKO ENERGY SOLUTIONS AG |
11120960 | Modular contactor arrangement | PHOENIX CONTACT GMBH & CO. KG |
11120961 | Electromagnetic relay and coil terminal | FUJITSU COMPONENT LIMITED |
11120962 | Load transfer switch for an on-load tap changer and continuous main switch and disconnecting switch for same | MASCHINENFABRIK REINHAUSEN GMBH |
11120963 | Double breaker switch | TE CONNECTIVITY GERMANY GMBH |
11120964 | Method of plating manufacturing a temperature-triggered fuse device | MANUFACTRING NETWORKS INCORPORATED (MNI) |
11120965 | Beam blanking device for a multi-beamlet charged particle beam apparatus | ICT INTEGRATED CIRCUIT TESTING GESELLSCHAFT FüR HALBLEITERPRüFTECHNIK MBH |
11120966 | System and method for improved beam current from an ion source | APPLIED MATERIALS, INC. |
11120967 | Charged particle beam apparatus and sample observation method using superimposed comparison image display | HITACHI HIGH-TECH CORPORATION |
11120968 | High speed/low dose multi-objective autonomous scanning materials imaging | NORTHWESTERN UNIVERSITY |
11120969 | Method and system for charged particle microscopy with improved image beam stabilization and interrogation | KLA CORPORATION |
11120970 | Ion implantation system | SHANGHAI IC R&D CENTER CO., LTD |
11120971 | Diagnostics for impedance matching network | RENO TECHNOLOGIES, INC. |
11120972 | Elementary device for producing a plasma, having a coaxial applicator | SAIREM SOCIETE POUR L'APPLICATION INDUSTRIELLE DE LA RECHERCHE EN ELECTRONIQUE ET MICRO ONDES |
11120973 | Plasma processing apparatus and techniques | APPLIED MATERIALS, INC. |
11120974 | Semiconductor device | -- |
11120975 | Ion beam etching apparatus | RESEARCH AND BUSINESS FOUNDATION SUNGKYUNKWAN UNIVERSITY |
11120976 | Apparatus and methods for removing contaminant particles in a plasma process | APPLIED MATERIALS, INC. |
11120977 | Conductive oxide-coated electrodes via nano- or micro-structured materials | MODERN ELECTRON, INC. |
11120978 | System and method to increase surface friction across a hydrophobic, anti-fouling, and oleophobic coated substrate | QUANTUM INNOVATIONS, INC. |
11120979 | Time-of-flight mass spectrometer and time-of-flight mass spectrometry method | KIOXIA CORPORATION |
11120980 | Method of preparing specimen of poorly water-soluble material for MALDI mass spectrometry and sample plate used therein | LG CHEM, LTD. |
11120981 | Laser desorption/ionization method and mass spectrometry method | HAMAMATSU PHOTONICS K.K. |
11120982 | Monitoring method based on the detection of human ingested substance | XIAMEN LISI TECH SERVICE CO., LTD. |
11120983 | Methods, apparatus, and system for mass spectrometry | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11120984 | Ion traps that apply an inverse Mathieu q scan | PURDUE RESEARCH FOUNDATION |
11120999 | Plasma etching method | TOKYO ELECTRON LIMITED |
11121009 | Power feeding mechanism and method for controlling temperature of a stage | TOKYO ELECTRON LIMITED |
11121010 | Plasma processing apparatus | TOKYO ELECTRON LIMITED |
11121053 | Die heat dissipation structure | ASIA VITAL COMPONENTS (CHINA) CO., LTD. |
11121055 | Leadframe spacer for double-sided power module | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11121059 | Power module and method for manufacturing power module | MITSUBISHI ELECTRIC CORPORATION |
11121074 | Packaged die stacks with stacked capacitors and methods of assembling same | INTEL CORPORATION |
11121091 | Method for arranging two substrates | EV GROUP E. THALLNER GMBH |
11121178 | Electronic device and method for fabricating electronic device | SK HYNIX INC. |
11121299 | Semiconductor device and method | -- |
11121314 | Large height tree-like sub 30nm vias to reduce conductive material re-deposition for sub 60nm MRAM devices | -- |
11121321 | High resolution shadow mask with tapered pixel openings | EMAGIN CORPORATION |
11121324 | Dihetero amines in electrically conductive polymer compositions | LG CHEM, LTD. |
11121334 | 3D graphene transistor | TRUSTEES OF TUFTS COLLEGE |
11121352 | Methods and apparatuses for energy storage device electrode fabrication | MAXWELL TECHNOLOGIES, INC. |
11121356 | Battery electrode plate preparation method | NANTONG VOLTA MATERIALS LTD. |
11121359 | Production process for graphene-enabled bi-polar electrode and battery containing same | GLOBAL GRAPHENE GROUP, INC. |
11121360 | Supercritical fluid production of graphene-based supercapacitor electrode from coke or coal | NANOTEK INSTRUMENTS GROUP, LLC |
11121373 | Method for manufacturing electrochemical device, and electrochemical device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11121378 | Mixed conductor, electrochemical device including the same, and method of preparing mixed conductor | SAMSUNG ELECTRONICS CO., LTD. |
11121392 | Thin-film electrochemical device, method of making a thin-film electrochemical device, and energy converting device | KING ABDULLAH UNIVERSITY OF SCIENCE AND TECHNOLOGY |
11121409 | Electrochemical energy storage | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11121425 | Packaging material for power storage devices, and method of producing packaging material for power storage devices | TOPPAN PRIMING CO., LTD. |
11121433 | Wiring module and power storage module | AUTONETWORKS TECHNOLOGIES, LTD. |
11121441 | Surface integrated waveguide including radiating elements disposed between curved sections and phase shift elements defined by spaced apart vias | KING ABDULAZIZ UNIVERSITY |
11121442 | Waveguide for changing frequency range by using sectional variable of waveguide and frequency range changing method | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
11121443 | Bandpass filter | FUJIKURA LTD. |
11121444 | Directional coupler | MURATA MANUFACTURING CO., LTD. |
11121445 | Resonator for radio frequency signals | NOKIA SOLUTIONS AND NETWORKS OY |
11121446 | Antenna for underwater radio communications | INSEC TEC—INSTITUTO DE ENGENHARIA DE SISTEMAS E COMPUTADORES, TECNOLOGIA E CIÊNCIA |
11121447 | Dielectric covers for antennas | APPLE INC. |
11121448 | Apparatuses for mounting an antenna assembly | VIASAT, INC. |
11121449 | Electronic device | -- |
11121450 | Electronic apparatus | LENOVO (SINGAPORE) PTE. LTD. |
11121451 | Antenna device and router unit with antenna | YAZAKI CORPORATION |
11121452 | Antenna and wireless communication device using the same | -- |
11121453 | Antenna and electronic device | -- |
11121454 | Antenna for device and foldable device | ZTE CORPORATION |
11121455 | Space-independent coupling antenna | VOLKSWAGEN AG |
11121456 | Antenna system loaded in vehicle and vehicle having the same | LG ELECTRONICS INC. |
11121458 | Antenna structure | -- |
11121459 | IoT gateway/cellular base station assemblies | COMMSCOPE TECHNOLOGIES LLC |
11121460 | Antenna component and electronic device | LENOVO (BEIJING) CO., LTD. |
11121461 | Antenna device | DENSO CORPORATION |
11121462 | Passive electronically scanned array (PESA) | ANTENNA RESEARCH ASSOCIATES |
11121463 | Van Atta antenna array with patch elements and substrate integrated waveguide | SOUTHWEST RESEARCH INSTITUTE |
11121464 | Phased array correction and testing method and correction and testing apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
11121465 | Steerable beam antenna with controllably variable polarization | SIERRA NEVADA CORPORATION |
11121466 | Antenna system with dielectric antenna and methods for use therewith | AT&T INTELLECTUAL PROPERTY I, L.P. |
11121467 | Semiconductor package with compact antenna formed using three-dimensional additive manufacturing process | NXP USA, INC. |
11121468 | Antenna modules and communication devices | INTEL CORPORATION |
11121469 | Millimeter wave antennas having continuously stacked radiating elements | APPLE INC. |
11121470 | Film antenna | YAZAKI CORPORATION |
11121471 | Dual directional log-periodic antenna and an antenna arrangement | SAAB AB |
11121472 | Front-shielded, coplanar waveguide, direct-fed, cavity-backed slot antenna | MOTOROLA MOBILITY LLC |
11121473 | Compact cavity-backed discone array | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11121474 | Additive manufacturing technology (AMT) low profile radiator | RAYTHEON COMPANY |
11121475 | Phased array antenna | GAPWAVES AB |
11121476 | Chip antenna module | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11121477 | Antenna apparatus | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11121478 | Crimp contact with structured region for preventing conductor slippage during crimping | TE CONNECTIVITY GERMANY GMBH |
11121479 | Connector and connecting method | JAPAN AVIATION ELECTRONICS INDUSTRY, LIMITED |
11121480 | Connection enclosure assemblies, connector systems and methods for forming an enclosed connection between conductors | -- |
11121481 | High contact density electrical connector | TE CONNECTIVITY ITALIA DISTRIBUTION S.R.L. |
11121482 | Electrically-conductive corrosion-protective covering | SHORE ACRES ENTERPRISES INC. |
11121483 | Terminal holder, wire harness, and fixing structure | SUMITOMO WIRING SYSTEMS, LTD. |
11121484 | Assembly for clamping and grounding objects | IRONRIDGE, INC. |
11121485 | Battery clamp device | THE NOCO COMPANY |
11121486 | Conductor terminal and set formed of the conductor terminal and an actuation tool | WAGO VERWALTUNGSGESELLSCHAFT MBH |
11121487 | Car window glass assembly | CENTRAL GLASS COMPANY, LIMITED |
11121488 | Connector assembly | TE CONNECTIVITY INDIA PRIVATE LIMITED |
11121489 | Electrical connector with flexible circuit and stiffener | SEAGATE TECHNOLOGY LLC |
11121490 | Circuit board fixing structure | INVENTEC (PUDONG) TECHNOLOGY CORPORATION |
11121491 | Receptacle connector for detecting connection states | SEIKO EPSON CORPORATION |
11121492 | High-current connector | ROBERT BOSCH GMBH |
11121493 | Replaceable pin for terminal of charging inlet assembly | TE CONNECTIVITY CORPORATION |
11121494 | Contact | KITAGAWA INDUSTRIES CO., LTD. |
11121495 | Contact pin for connecting electrical conductors made of copper and aluminum | TE CONNECTIVITY GERMANY GMBH |
11121496 | Connection device for charging a battery device on a vehicle | DR. ING. H.C. F. PORSCHE AKTIENGESELLSCHAFT |
11121497 | Connector | YAZAKI CORPORATION |
11121498 | Water resistant electrical devices | HUBBELL INCORPORATED |
11121499 | Cover system and method | JAGUAR LAND ROVER LIMITED |
11121500 | Connector | AUTONETWORKS TECHNOLOGIES, LTD. |
11121501 | Connector having structure for separating connector from connection target | YAZAKI CORPORATION |
11121502 | Magnetic connectors | APPLE INC. |
11121503 | Quickly assembled cable connector | -- |
11121504 | Circuit board separation mechanism | ROCKWELL COLLINS, INC. |
11121505 | Locking device for a plug connection | HARTING ELECTRIC GMBH & CO. KG |
11121506 | Grounding sheet and connector | -- |
11121507 | Electrical connector with the tail segment of the second terminal of the shielding plate and the tail segments of the first terminals arranged in a same row | -- |
11121508 | Coaxial cable male connector for transmitting super-high frequency signals | SENSORVIEW INCORPORATED |
11121509 | Electrical connector | FCI CONNECTORS DONGGUAN LTD. |
11121510 | Connector | YAZAKI CORPORATION |
11121511 | Electrical connector with shielding gasket | TE CONNECTIVITY SERVICES GMBH |
11121512 | Electrical connector with partially overlapped and staggered contact arms | DRAPHO ELECTRONICS TECHNOLOGY., LTD |
11121513 | LED night light or cover light has multiple functions | -- |
11121514 | Flange mount coaxial connector system | ANRITSU COMPANY |
11121515 | Systems and methods for sensory platform interconnection | MYANT INC. |
11121516 | Crimping machine | ZOLLER & FRÖHLICH GMBH |
11121517 | Core wire deformation jig and core wire deformation method | YAZAKI CORPORATION |
11121518 | Systems and methods for laser beam expander alignment and stabilization | UNITED STATES OF AMERICA AS REPRESENTED BY THE ADMINISTRATOR OF NATIONAL AERONAUTICS AND SPACE ADMINISTRATION |
11121519 | Utilization of time and spatial division multiplexing in high power ultrafast optical amplifiers | IMRA AMERICA, INC. |
11121520 | Passive switching in integrated optical components | NORTHROP GRUMMAN SYSTEMS CORPORATION |
11121521 | System and method for pumping laser sustained plasma with interlaced pulsed illumination sources | KLA CORPORATION |
11121522 | Facet on a gallium and nitrogen containing laser diode | KYOCERA SLD LASER, INC. |
11121523 | Semiconductor devices with depleted heterojunction current blocking regions | UNIVERSITY OF CENTRAL FLORIDA RESEARCH FOUNDATION, INC. |
11121524 | Semiconductor device, semiconductor laser, and method of producing a semiconductor device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11121525 | Quantum cascade laser | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11121526 | Exchangeable laser resonator modules with angular adjustment | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11121527 | Meter socket adapter with integral automatic transfer switch | BRIGGS & STRATTON, LLC |
11121528 | Modular communications equipment support | CHARTER COMMUNICATIONS OPERATING, LLC |
11121529 | Switchgear or control gear | ABB SCHWEIZ AG |
11121535 | Composite lightning strike protection system | TOUCHSTONE RESEARCH LABORATORY, LTD. |
11121537 | System and method for locating faults and communicating network operational status to a utility crew using an intelligent fuse | ABB SCHWEIZ AG |
11121540 | System, method, and apparatus for multi-port power converter and inverter assembly | EATON INTELLIGENT POWER LIMITED |
11121546 | Protection ensemble | PHOENIX CONTACT GMBH & CO KG |
11121555 | Apparatus, system and method of providing power in an office environment | JABIL INC. |
11121557 | Power distribution system for aircraft | AURORA FLIGHT SCIENCES CORPORATION |
11121560 | Hot-pluggable dual battery with pass through charging | GOOGLE LLC |
11121581 | Voltage and current compensation in an inductive power transfer unit | BOMBARDIER PRIMOVE GMBH |
11121582 | Smart rectenna design for passive wireless power harvesting | CISCO TECHNOLOGY, INC. |
11121585 | Wireless power reception method of a wireless power receiver in which first demanded power of the wireless power receiver is adjusted within a first available power of the wireless power tansmitter | LG INNOTEK CO., LTD. |
11121586 | Systems and methods for wirelessly transmitting power and data to an implantable stimulator | ADVANCED BIONICS AG |
11121587 | Non-contact power supply device capable of performing constant voltage output operation | OMRON CORPORATION |
11121590 | Wireless power system with communications | APPLE INC. |
11121599 | Slot sealing compound, slot seal, and method for producing a slot seal | SIEMENS AKTIENGESELLSCHAFT |
11121608 | Discharging device for discharging electrical interference | SCHUNK CARBON TECHNOLOGY GMBH |
11121633 | Low common mode noise transformers and switch-mode DC-DC power converters | ASTEE INTERNATIONAL LIMITED |
11121646 | Actuator element using carbon electrode | NATIONAL INSTITUTE OF ADVANCED INDUSTRIAL SCIENCE & TECHNOLOGY |
11121649 | Electrostatic workpiece-holding method and electrostatic workpiece-holding system | CREATIVE TECHNOLOGY CORPORATION |
11121695 | Diplexer and multiplexer | FUJIKURA LTD. |
11121699 | Wideband filter with resonators and inductors | QUALCOMM INCORPORATED |
11121702 | Digital step attenuator | CHENGDU SICORE SEMICONDUCTOR CORP. LTD. |
11121731 | Digital radio head control | INTEL CORPORATION |
11121740 | Near field, full duplex data link for resonant induction wireless charging | MOMENTUM DYNAMICS CORPORATION |
11121764 | Network access management | AST & SCIENCE, LLC |
11121770 | Optical laser device | LUMENTUM OPERATIONS LLC |
11121772 | Smart laser light for a vehicle | KYOCERA SLD LASER, INC. |
11122236 | Display apparatus with movable sensor module | SAMSUNG ELECTRONICS CO., LTD. |
11122376 | Ear-worn electronic device incorporating magnetically coupled feed for an antenna | STARKEY LABORATORIES, INC. |
11122672 | Adjustable sample floor for ultrafast signal washout | ELEMENTAL SCIENTIFIC LASERS, LLC |
11122682 | Tamper-respondent sensors with liquid crystal polymer layers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11122690 | Additive manufacturing techniques for meander-line polarizers | HUGHES NETWORK SYSTEMS, LLC |
11122695 | LED display with quick maintenance structure | UNILUMIN GROUP CO., LTD. |
11122696 | Flexible display device | LG ELECTRONICS INC. |
11122697 | Method of fabricating an electronic medical device, including overmolding an assembly with thermoplastic material | MEDTRONIC MINIMED, INC. |
11122698 | Low stress electronic board retainers and assemblies | N2 IMAGING SYSTEMS, LLC |
11122699 | Input connection device | -- |
11122700 | Electrical assembly | ROBERT BOSCH GMBH |
11122701 | Wall mounted utility cabinet | HUBBELL INCORPORATED |
11122702 | Monitor support device and a display apparatus having the same | -- |
11122703 | Electronic media production system and system for use therewith | -- |
11122704 | Liquid submersion cooled electronic systems and devices | LIQUIDCOOL SOLUTIONS, INC. |
11122705 | Liquid cooled optical cages for optical modules | CISCO TECHNOLOGY, INC. |
11122706 | Heat transfer apparatus | NOKIA TECHNOLOGIES OY |
11122707 | Raised pathway heat sink | ARRIS ENTERPRISES LLC |
11122708 | Electronic device | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11122709 | Electronic device | KIOXIA CORPORATION |
11122710 | Heat dissipation structure for electronic device and electronic device | HUAWEI TECHNOLOGIES CO., LTD. |
11122711 | Electronic apparatus and electronic unit | FUJITSU LIMITED |
11122712 | Cooling device for data center and cooling system for data center | SHENZHEN FUGUI PRECISION IND. CO., LTD. |
11122713 | Differential temperature based pressure sensing and airflow control | AMAZON TECHNOLOGIES, INC. |
11122714 | Power module having metallic heat-dissipation substrate | -- |
11122715 | Conformal heat pipe assemblies | GENERAL ELECTRIC COMPANY |
11122716 | Display device | LG DISPLAY CO., LTD. |
11122717 | Electronic control device | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
11122718 | System and method for device level electromagnetic interference management | DELL PRODUCTS L.P. |
11122719 | Component mounter | FUJI CORPORATION |
11122720 | Component feeder | FUJI CORPORATION |
11122721 | Component supply system | FUJI CORPORATION |
11122934 | Heating element for a cooking appliance | REVOLUTION COOKING, LLC |
11122951 | Electrical connection for robot vacuum lid | IROBOT CORPORATION |
11123001 | Biological information measurement device | FUKUDA DENSHI CO., LTD. |
11123027 | Stationary X-ray source | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
11123134 | Actuator apparatus for operating a surgical instrument | TITAN MEDICAL INC. |
11123144 | Registration of frames of reference | BIOSENSE WEBSTER (ISRAEL) LTD. |
11123446 | Scrubbing device for cleaning, sanitizing or disinfecting | GOJO INDUSTRIES, INC. |
11123707 | Composites for removing heavy metal and method of synthesizing the same | RESEARCH & BUSINESS FOUNDATION SUNGKYUNKWAN UNIVERSITY |
11123837 | Method of removal of sharp corners from diffuser plate | APPLIED MATERIALS, INC. |
11123921 | Method and system for in situ cross-linking of materials to produce three-dimensional features via electron beams from mobile accelerators | FERMI RESEARCH ALLIANCE, LLC |
11123958 | Paper/plastic laminate and electromagnetic shielding material | CHASE CORPORATION |
11124065 | Lever input device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11124089 | Human body pressure switch, vehicle seat, vehicle and method for processing human body pressure switch | LANGFANG GOLDEN TIME TECHNOLOGY DEVELOPMENT CO., LTD. |
11124279 | Submarine vehicle and control method | THALES |
11124280 | Magnetic compensation device for a drone | SIEMENS ENERGY GLOBAL GMBH & CO. KG |
11124315 | Lightning protection system and associated nose | DASSAULT AVIATION |
11124362 | Article transport apparatus | DAIFUKU CO., LTD. |
11124390 | Pressure sensitive mat | OTIS ELEVATOR COMPANY |
11124410 | Actuator plate partitioning and control devices and methods | WISPRY, INC. |
11124415 | Nitrogen enriched carbon derived from <i>Albizia procera </i>leaves | KING FAHD UNIVERSITY OF PETROLEUM AND MINERALS |
11124418 | Activated carbons with high surface areas and methods of making same | ADVEN INDUSTRIES, INC. |
11124608 | Polybenzoxazine resins with high hydrogen content, and composites therefrom | CASE WESTERN RESERVE UNIVERSITY |
11124673 | Insulating film | MITSUBISHI MATERIALS CORPORATION |
11124677 | Method for mitigating passive intermodulation using roofing material with polymeric and metal layers | JOHNS MANVILLE |
11124734 | Traction drive fluid | FLEX-G PTY LTD |
11124854 | Non-oriented electrical steel sheet and method for manufacturing non-oriented electrical steel sheet | NIPPON STEEL CORPORATION |
11124870 | Transfer-free method for producing graphene thin film | KUK-IL GRAPHENE CO., LTD. |
11125063 | Accelerated interval communication using openholes | CONOCOPHILLIPS COMPANY |
11125107 | Rotor construction for high speed motors | INGERSOLL-RAND INDUSTRIAL U.S. INC. |
11125125 | Leaf spring sliding contact for electrically actuated rocker arm assembly | EATON INTELLIGENT POWER LIMITED |
11125201 | Ignition control system for internal combustion engine | DENSO CORPORATION |
11125271 | Non-contact magnetic steering | NOVELIS INC. |
11125415 | Infrared illumination device configured with a gallium and nitrogen containing laser source | KYOCERA SLD LASER, INC. |
11125429 | Folded sheet metal heat sink | SIGNIFY HOLDING B.V. |
11125584 | End of shaft inductive angular position sensor with a metal-ferrite complementary coupler | KSR IP HOLDINGS, LLC |
11125587 | Measurement system and method for operating a measurement system | ROHDE & SCHWARZ GMBH & CO. KG |
11125588 | Measuring device, transmitter housing and method for producing a measuring device | KROHNE MESSTECHNIK GMBH |
11125639 | Pressure sensor for measuring a pressure of a fluid and method for producing a pressure sensor for measuring a pressure of a fluid | ROBERT BOSCH GMBH |
11125645 | Method for manufacturing optical module | MITSUBISHI ELECTRIC CORPORATION |
11125657 | Sampling probe | UT-BATTELLE, LLC |
11125662 | Auto-sampling system with automatic matrix matching capability | ELEMENTAL SCIENTIFIC, INC. |
11125689 | Highly stable semiconductor lasers and sensors for III-V and silicon photonic integrated circuits | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
11125697 | Transfer state inspection system and component mounter | FUJI CORPORATION |
11125700 | Apparatus and method to measure semiconductor optical absorption using microwave charge sensing | NATIONAL TECHNOLOGY & ENGINEERING SOLUTIONS OF SANDIA, LLC |
11125706 | System and method for characterizing bioreactor fluids | DEKA PRODUCTS LIMITED PARTNERSHIP |
11125708 | Silver alloy-based sputter target | MATERION ADVANCED MATERIALS GERMANY GMBH |
11125738 | Blood sample analysis systems and methods | THERMO FINNIGAN LLC |
11125801 | Method and apparatus for monitoring the loss factor of capacitor bushings | MASCHINENFABRIK REINHAUSEN GMBH |
11125810 | Blind-mate PIM testing adapter connector and fixture | COMMSCOPE TECHNOLOGIES LLC |
11125821 | Testing device for protective relays in electric power delivery systems | SCHWEITZER ENGINEERING LABORATORIES, INC. |
11125830 | Motor driving device and detection method for detecting malfunction in heat radiation performance of heatsink | FANUC CORPORATION |
11125833 | Method for testing a disconnection point of a photovoltaic inverter, and a photovoltaic inverter of this type | FRONIUS INTERNATIONAL GMBH |
11125862 | Emitter structures for ultra-small vertical cavity surface emitting lasers (VCSELS) and arrays incorporating the same | SENSE PHOTONICS, INC. |
11125896 | Advanced thermal neutron detectors and associated methods | SILVERSIDE DETECTORS INC. |
11125902 | Antenna shields for co-located antennas | HALLIBURTON ENERGY SERVICES, INC. |
11125904 | Large scale gas electron multiplier with sealable opening | LINGACOM LTD. |
11125935 | Optical structure for imparting a distributed phase shift to an optical signal, electro-optic modulator incorporating such structure for reduced size, low signal loss, and high extinction ratio, and related system and method | HONEYWELL INTERNATIONAL INC. |
11125957 | Optical module | CIG PHOTONICS JAPAN LIMITED |
11125958 | Optical pluggable module for a communication system | TE CONNECTIVITY SERVICES GMBH |
11126017 | Driving circuit for optical device | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11126067 | Accessory, image pickup apparatus on which same is mountable, and camera system | CANON KABUSHIKI KAISHA |
11126071 | Spatial light modulating devices with cooling | BARCO N.V. |
11126085 | Bias correction for lithography | D2S, INC. |
11126147 | Portable electronic device | SEIKO EPSON CORPORATION |
11126148 | Online migration tool and use thereof | CIMA PLUS INC. |
11126165 | Vehicle computing system cooling systems | UATC, LLC |
11126227 | Electronic device | -- |
11126244 | Power line presence detection | AMAZON TECHNOLOGIES, INC. |
11126271 | Keyboard | -- |
11126804 | Systems and methods for selectively enabling the operation of a device | TECHTRONIC CORDLESS GP |
11126908 | Cards and methods of reading the same | SAN JOSE |
11127150 | Multiple target tracker and micro-electro-mechanical system (MEMS) micro-mirror array for designation, range finding, and active imaging | RAYTHEON COMPANY |
11127234 | Passive entry/passive start communication systems with selected antennas having multiple polarized axes | DENSO INTERNATIONAL AMERICA, INC. |
11127244 | Universal adjustable printer bezel | AGS LLC |
11127323 | Display device | LG ELECTRONICS INC. |
11127420 | Seed layer for spin torque oscillator in microwave assisted magnetic recording device | WESTERN DIGITAL TECHNOLOGIES, INC. |
11127445 | Magnetic device | TOSHIBA MEMORY CORPORATION |
11127509 | Graphene-copper composite structure and manufacturing method | ULTRACONDUCTIVE COPPER COMPANY INC. |
11127510 | Electroconductive composite | UNIVERSITY OF KWAZULU-NATAL |
11127511 | Cable and method for manufacturing the cable | TE CONNECTIVITY GERMANY GMBH |
11127512 | Cable for downhole tractor deployment | NEXANS |
11127513 | Conducting wire and coil member | DENSO CORPORATION |
11127514 | Superconducting wire, superconducting coil, MRI and NMR | HITACHI, LTD. |
11127515 | Nanostructure barrier for copper wire bonding | TEXAS INSTRUMENTS INCORPORATED |
11127516 | Grommet and wire harness | YAZAKI CORPORATION |
11127517 | Coil component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11127518 | Tunnel magnetoresistance (TMR) element having cobalt iron and tantalum layers | ALLEGRO MICROSYSTEMS, LLC |
11127519 | Device for demagnetizing elongated components and method for demagnetizing such components | GRüT |
11127520 | Pulse transformer | ALPHA AND OMEGA SEMICONDUCTOR (CAYMAN) LTD. |
11127521 | Polymeric tank for housing power components | SIEMENS ENERGY GLOBAL GMBH & CO. KG |
11127522 | Magnetic sheet and wireless power reception apparatus | SCRAMOGE TECHNOLOGY LIMITED |
11127523 | Inductor | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11127524 | Power converter | HONG KONG APPLIED SCIENCE AND TECHNOLOGY RESEARCH INSTITUTE COMPANY LIMITED |
11127525 | Composite magnetic material and coil component using same | MURATA MANUFACTURING CO., LTD. |
11127526 | Inductor component | MURATA MANUFACTURING CO., LTD. |
11127527 | Method for manufacturing inductor | MURATA MANUFACTURING CO., LTD. |
11127528 | Coil component | MURATA MANUFACTURING CO., LTD. |
11127529 | Method of manufacturing laminated coil component | TDK CORPORATION |
11127530 | Metallic powders for use as electrode material in multilayer ceramic capacitors and method of manufacturing and of using same | TEKNA PLASMA SYSTEMS INC. |
11127531 | Multilayer ceramic electronic component having dummy electrodes in cover layer of body thereof | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11127532 | Dielectric ceramic composition and multilayer ceramic capacitor comprising the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11127533 | Solid electrolytic capacitor and method for manufacturing same | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11127535 | Ferroelectric enhanced solar cell and preparation method thereof | HUAZHONG UNIVERSITY OF SCIENCE AND TECHNOLOGY |
11127536 | Hierarchically nanostructured films and applications thereof | THE BOARD OF TRUSTEES OF THE UNIVERSITY OF ARKANSAS |
11127537 | Wide temperature range ultracapacitor | FASTCAP SYSTEMS CORPORATION |
11127538 | Multi-cell multi-layer high voltage supercapacitor apparatus including graphene electrodes | THE RESEARCH FOUNDATION FOR THE STATE UNIVERSITY OF NEW YORK |
11127539 | High specific capacitance solid state supercapacitor and method of manufacture | UNIVERSITY OF SOUTH FLORIDA |
11127540 | Energy storage device | TSINGHUA UNIVERSITY |
11127541 | Electromagnetic switch | PHOENIX CONTACT GMBH & CO. KG |
11127542 | Electrical switching apparatus comprising an improved arc-quenching device | SCHALTBAU GMBH |
11127543 | Button assembly governed by a restrictor member | SATECO AG |
11127544 | Spring and switch | CITIZEN ELECTRONICS CO., LTD. |
11127545 | Keyboard device | -- |
11127546 | Keyboard | -- |
11127547 | Electroactive polymers for an electronic device | APPLE INC. |
11127548 | Keyboard and method for assembling thereof | LITE-ON TECHNOLOGY (CHANG ZHOU) CO., LTD. |
11127549 | Manual control device for a remotely operated source inverter | SOCOMEC |
11127550 | Contact arrangement for pre-insertion resistor | GENERAL ELECTRIC TECHNOLOGY GMBH |
11127551 | Circuit breaker and method of performing a current breaking operation | ABB POWER GRIDS SWITZERLAND AG |
11127552 | Hybrid switch assembly and circuit interrupter including the same | EATON INTELLIGENT POWER LIMITED |
11127553 | Overcurrent cutoff unit | AUTONETWORKS TECHNOLOGIES, LTD. |
11127554 | Method of forming a fuse device | LITTELFUSE, INC. |
11127555 | Fuse | TOYODA IRON WORKS CO., LTD. |
11127556 | Extraction apparatus and system for high throughput ion beam processing | VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC. |
11127557 | Ion source with single-slot tubular cathode | APPLIED MATERIALS, INC. |
11127558 | Thermally isolated captive features for ion implantation systems | APPLIED MATERIALS, INC. |
11127559 | Portable vacuum antioxidant bag | KOREA INSTITUTE OF SCIENCE AND TECHNOLOGY |
11127560 | Charged particle microscope with a manipulator device, and method of preparing a specimen with said charged particle microscope | FEI COMPANY |
11127561 | Cooling apparatus for charged particle beam device | JEOL LTD. |
11127562 | System and method for RF pulsed electron beam based STEM | FEI COMPANY |
11127563 | Method for scanning a sample by a charged particle beam system | ASML NETHERLANDS B.V. |
11127564 | Scanning electron microscope with objective lens below sample stage | KKT HOLDINGS SYNDICATE |
11127565 | Electron-beam lithography process adapted for a sample comprising at least one fragile nanostructure | CENTRE NATIONAL DE LA RECHERCHE SCIENTIFIQUE |
11127566 | Multi charged particle beam writing apparatus and multi charged particle beam writing method | NUFLARE TECHNOLOGY, INC. |
11127567 | Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity | LAM RESEARCH CORPORATION |
11127568 | Plasma etching apparatus | SPTS TECHNOLOGIES LIMITED |
11127569 | Plasma-resistant member | TOTO LTD. |
11127570 | Plasma source and plasma generation apparatus using the same | RESEARCH AND BUSINESS FOUNDATION SUNGKYUNKWAN UNIVERSITY |
11127571 | Peripheral RF feed and symmetric RF return for symmetric RF delivery | LAM RESEARCH CORPORATION |
11127572 | L-shaped plasma confinement ring for plasma chambers | SILFEX, INC. |
11127573 | Support unit, apparatus and method for treating a substrate | SEMES CO., LTD. |
11127574 | Plasma processing apparatus | SHIBAURA MECHATRONICS CORPORATION |
11127575 | Methods and apparatuses for determining the intact mass of large molecules from mass spectrographic data | PROTEIN METRICS INC. |
11127576 | Axial atmospheric pressure photo-ionization imaging source and inlet device | MICROMASS UK LIMITED |
11127577 | Laser desorption/ionization method and mass spectrometry method | HAMAMATSU PHOTONICS K.K. |
11127578 | Ion guiding device and related method | SHIMADZU CORPORATION |
11127579 | Zircon ID-TIMS Pb isotope determination method using multiple ion counters with dynamic multi-collection protocol | INSTITUTE OF GEOLOGY AND GEOPHYSICS, CHINESE ACADEMY OF SCIENCES |
11127580 | Detector system for targeted analysis by distance-of-flight mass spectrometry | UNM RAINFOREST INNOVATIONS |
11127581 | Logical operations in mass spectrometry | PURDUE RESEARCH FOUNDATION |
11127582 | Electrode for a discharge chamber | CYMER, LLC |
11127593 | Techniques and apparatus for elongation patterning using angled ion beams | VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC. |
11127599 | Methods for etching a hardmask layer | APPLIED MATERIALS, INC. |
11127602 | Method of fastening a semiconductor chip on a lead frame, and electronic component | OSRAM OLED GMBH |
11127605 | Wafer holder | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11127610 | Split chamber assembly | LAM RESEARCH CORPORATION |
11127618 | System for dynamically compensating position errors of a sample | ASML NETHERLANDS B.V. |
11127619 | Workpiece carrier for high power with enhanced edge sealing | APPLIED MATERIALS, INC. |
11127620 | Electrostatic chuck for high temperature processing chamber | APPLIED MATERIALS, INC. |
11127641 | Spin-current magnetization reversal element, magnetoresistance effect element, and magnetic memory | TDK CORPORATION |
11127649 | Electronic apparatus | TOSHIBA MEMORY CORPORATION |
11127663 | Semiconductor package having exposed heat sink for high thermal conductivity | JMJ KOREA CO., LTD. |
11127667 | Display device | SAMSUNG DISPLAY CO., LTD. |
11127698 | Method for producing conductive film, method for producing field effect transistor using same, and method for producing wireless communication device | TORAY INDUSTRIES, INC. |
11127699 | Chip package structure and manufacturing method thereof | -- |
11127781 | Method of maskless parallel pick-and-place transfer of micro-devices | APPLIED MATERIALS, INC. |
11127786 | Magnetic memory device | SAMSUNG ELECTRONICS CO., LTD. |
11127813 | Semiconductor inductors | INTEL CORPORATION |
11127865 | Integrated energy harvesting and storage device | UNIVERSITY OF CENTRAL FLORIDA RESEARCH FOUNDATION, INC. |
11127882 | Resonant optical cavity light emitting device | SILANNA UV TECHNOLOGIES PTE LTD |
11127948 | Energy storage devices | CF TRAVERSE LLC |
11127954 | Cathode material for a sodium-ion battery, preparation method therefor and application thereof | SHENZHEN UNIVERSITY |
11128019 | Energy storage device electrode, energy storage device, and method for manufacturing energy storage device electrode | GS YUASA INTERNATIONAL LTD. |
11128023 | Substrate design for efficient coupling between a package and a dielectric waveguide | TEXAS INSTRUMENTS INCORPORATED |
11128024 | Combiner-divider | HITACHI KOKUSAI ELECTRIC INC. |
11128025 | Signal transmission device | -- |
11128026 | Multi-divisional antenna | KMW INC. |
11128027 | RFID tag for harsh environment inductively coupled in double loop | PROMAR TEXTIL INDUSTRIES S.R.L. |
11128028 | Fill level radar comprising a build-up detector | VEGA GRIESHABER KG |
11128029 | Die with embedded communication cavity | INTEL CORPORATION |
11128030 | Antenna module and electronic device including the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11128031 | Chip antenna module array and chip antenna module | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11128032 | Electronic devices having multi-band antennas | APPLE INC. |
11128033 | Impact recoverable antennas | THE BOEING COMPANY |
11128034 | Mass customization of antenna assemblies using metal additive manufacturing | OPTISYS, LLC |
11128035 | Phase-selectable antenna unit and related antenna, subsystem, system, and method | ECHODYNE CORP. |
11128036 | Integrated antenna unit, multi-array antenna, transmission method and receiving method of same | TONGYU COMMUNICATION INC. |
11128037 | Radome for vehicles | ZANINI AUTO GRUP, S.A. |
11128038 | Electronic device comprising antenna | SAMSUNG ELECTRONICS CO., LTD. |
11128039 | Cavity antenna with radome | THE BOEING COMPANY |
11128040 | Method and apparatus for receiving signal in mobile communication system | SAMSUNG ELECTRONICS CO., LTD. |
11128041 | Antenna system with a beamforming data modulator | VIASAT, INC. |
11128042 | Antenna adjustment apparatus and remote electrical tilt antenna | HUAWEI TECHNOLOGIES CO., LTD. |
11128043 | Liquid crystal cell and scanning antenna | SHARP KABUSHIKI KAISHA |
11128044 | Scanning antenna and method of producing scanning antenna | SHARP KABUSHIKI KAISHA |
11128045 | Computing system | -- |
11128046 | Antenna device and electronic equipment | MURATA MANUFACTURING CO., LTD. |
11128047 | Mobile terminal and antenna of mobile terminal | HUAWEI TECHNOLOGIES CO., LTD. |
11128048 | Method of manufacturing coil component and jig used for manufacturing the coil component | SUMIDA CORPORATION |
11128049 | Patch antenna assembly | STIMWAVE TECHNOLOGIES INCORPORATED |
11128050 | Antenna structure | -- |
11128051 | Multi-frequency electromagnetic feed line | -- |
11128052 | Parasitic antenna arrays incorporating fractal metamaterials | FRACTAL ANTENNA SYSTEMS, INC. |
11128053 | Array antenna device | MITSUBISHI ELECTRIC CORPORATION |
11128054 | Antenna, rear access, line replaceable unit RF panel architecture | RAYTHEON COMPANY |
11128055 | Dual dipole omnidirectional antenna | COMMUNICATION COMPONENTS ANTENNA INC. |
11128056 | Waveguide-fed planar antenna array | THE BOEING COMPANY |
11128057 | Wireless connector | STMICROELECTRONICS SA |
11128058 | Wideband antenna array | DECAWAVE, LTD. |
11128059 | Antenna assembly having one or more cavities | THE BOEING COMPANY |
11128060 | Multi-band antenna module | -- |
11128061 | Low-, medium- and/or high-voltage installation with a bonded current path connection with long-term stability by means of nanomaterials, and method for producing said current path connection | SIEMENS AKTIENGESELLSCHAFT |
11128062 | Electronic assembly with a direct current bus connection | -- |
11128063 | Socket plastic hardware structure device | ZHENJIANG ELECTRICS (SHENZHEN) CO., LTD. |
11128064 | Connection device for connecting a shield conductor of an electric line to a grounding section | PHOENIX CONTACT GMBH & CO. KG |
11128065 | Combination terminal blade with tabs and insulation displacement slots | TE CONNECTIVITY SERVICES, GMBH |
11128066 | Conductive component structure of conductive wire connection device | -- |
11128067 | Electrical connector with adjustable alignment member | HUBBELL INCORPORATED |
11128068 | Circuit board arrangement, connection element and method for assembling at least one connection element | ROSENBERGER HOCHFREQUENZTECHNIK GMBH & CO. KG |
11128069 | Electronic device and press-fit terminal | DENSO CORPORATION |
11128070 | Electrical terminal and electrical connector thereof | DONGGUAN LUXSHARE TECHNOLOGIES CO., LTD |
11128071 | Interface for a printed circuit board assembly adapter module | SCHWEITZER ENGINEERING LABORATORIES, INC. |
11128072 | Electrical connector assembly having variable height contacts | TE CONNECTIVITY SERVICES GMBH |
11128073 | Plug connector | PHOENIX CONTACT GMBH & CO. KG |
11128074 | Electrical connector, mobile terminal, and electrical connector manufacturing method | HUAWEI TECHNOLOGIES CO., LTD. |
11128075 | Jump tab battery terminal clamp | ROYAL PRECISION PRODUCTS, LLC |
11128076 | Connector receptacle | CADWELL LABORATORIES, INC. |
11128077 | Electrical connector | -- |
11128078 | Current carrying retention clip | TE CONNECTIVITY SERVICES GMBH |
11128079 | Connector with retainer for holding obliquely wound spring | AUTONETWORKS TECHNOLOGIES, LTD. |
11128080 | Spring loaded electrical connector | AMPHENOL CORPORATION |
11128081 | Easily assembled connector | KUNSHAN AMPHENOL ZHENGRI ELECTRONICS CO., LTD. |
11128082 | Androgynous connection device | BLINK ASTRO, LLC |
11128083 | Cover for L-shaped connector | FUJI CORPORATION |
11128084 | Joint connector with a heat disspation plate mounted to the outer surface of the housing | SUMITOMO WIRING SYSTEMS, LTD. |
11128085 | Sealing system for electrical equipment | VALEO SIEMENS EAUTOMOTIVE GERMANY GMBH |
11128086 | Apparatus for contact insertion and retention testing | THE BOEING COMPANY |
11128087 | First electrical connector and electrical connector assembly | MOLEX, LLC |
11128088 | Waterproof and airtight connector | MOLEX, LLC |
11128089 | Reel-in-box jumper cables | PPC BROADBAND, INC. |
11128090 | Connector with lock arm having a forwardly open entrance space that receives tip of mating connector to shorten front-rear dimension after connection | SUMITOMO WIRING SYSTEMS, LTD. |
11128091 | Lever-type connector | YAZAKI CORPORATION |
11128092 | Robust, miniaturized electrical connector | AMPHENOL ASSEMBLETECH (XIAMEN) CO., LTD |
11128093 | Electrical connector with a stable non-soldered grounding structure | MOLEX, LLC |
11128094 | Pin encoded mode selection system | MAXIM INTEGRATED PRODUCTS, INC. |
11128095 | Method for aligning a crimper of a first tool of a crimping press relative to an anvil of a second tool of the crimping press and a crimping press device | KOMAX HOLDING AG |
11128096 | Femtosecond laser multimodality molecular imaging system | FEMTOSECOND RESEARCH CENTER CO., LTD. |
11128097 | Light source apparatus | SAMSUNG ELECTRONICS CO., LTD. |
11128098 | Characterizing an optical element | QUANTUM-SI INCORPORATED |
11128099 | Light-emitting device | NICHIA CORPORATION |
11128100 | VCSEL illuminator package including an optical structure integrated in the encapsulant | PRINCETON OPTRONICS, INC. |
11128101 | Transistor outline package with ground connection | SCHOTT AG |
11128102 | Semiconductor optical device | MITSUBISHI ELECTRIC CORPORATION |
11128103 | Modulated light source | FUJITSU LIMITED |
11128104 | Wavelength-tunable light source and wavelength control method for the same | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11128105 | Semiconductor laser device | SHARP KABUSHIKI KAISHA |
11128106 | Method of producing a laser diode bar and laser diode bar | OSRAM OLED GMBH |
11128107 | Electrical protection component having a thermal short-circuit device | EPCOS AG |
11128108 | Spark plug with drainage features in terminal | DENSO INTERNATIONAL AMERICA, INC. |
11128109 | Spark plug having a plurality of ground electrodes | NGK SPARK PLUG CO., LTD. |
11128110 | Methods and apparatus for an ignition system | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11128111 | Control system and method of mounting a control system | BECKHOFF AUTOMATION GMBH |
11128120 | Inductive load control device | DENSO CORPORATION |
11128122 | Power electronics with isolating fuse | LIEBHERR-COMPONENTS BIBERACH GMBH |
11128124 | System, method, and apparatus for power distribution in an electric mobile application during run time using configurable electrical interface ports | EATON INTELLIGENT POWER LIMITED |
11128125 | System, method, and apparatus for power distribution in an electric mobile application using a combined breaker and relay | EATON INTELLIGENT POWER LIMITED |
11128156 | Transfer power charger | -- |
11128157 | Wireless charging systems with multiple power receiving devices | APPLE INC. |
11128161 | Hybrid battery charger | SCHUMACHER ELECTRIC CORPORATION |
11128169 | Electronic device including a plurality of wireless charge coils and operating method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11128170 | Hardware and method for enhanced wireless receiver output power | STMICROELECTRONICS ASIA PACIFIC PTE LTD |
11128185 | Electric machine, method of manufacturing the electric machine, rotor and adjustment device | BROSE FAHRZEUGTEILE GMBH & CO. KOMMANDITGESELLSCHAFT, WUERZBURG |
11128186 | Electromagnetic motor or generator comprising a rotor with magnetized structures comprising individual magnets and a stator with concentric windings | WHYLOT SAS |
11128187 | Rotor for an electromagnetic motor or generator with radial flux comprising a mesh structure housing individual magnets | WHYLOT SAS |
11128190 | Rotary machine coil using a winding electric wire | MEIKO ELECTRONICS CO., LTD. |
11128193 | Compressor | HANON SYSTEMS |
11128203 | Motor | LG INNOTEK CO., LTD. |
11128221 | Galvanically isolated DC-DC circuit converter with data communication, corresponding system and corresponding method | STMICROELECTRONICS S.R.L. |
11128226 | Power conversion device | MITSUBISHI ELECTRIC CORPORATION |
11128233 | Planar converter | -- |
11128250 | Antenna for communicating with a motor | REGAL BELOIT AMERICA, INC. |
11128262 | Low voltage tube circuits | -- |
11128276 | Noise filter and wire harness | YAZAKI CORPORATION |
11128278 | Systems and methods for balancing unbalanced power cables | EXTRACT MANAGEMENT CO., LLC |
11128325 | Wearable device with antenna | ARMOUR SURVEILLANCE SECURITY EQUIPMENT AND TECHNOLOGY LTD. |
11128331 | Methods and systems for utilizing ultra-efficiency low noise configurations for phased array antennas | MAXLINEAR, INC. |
11128334 | Beam forming device and beam forming method | FUJITSU LIMITED |
11128335 | Wrist-wearable satellite communication device | LIFELINE SRL |
11128339 | Radio frequency switch based on negative-capacitance field effect transistors | -- |
11128345 | Contactless interface for mm-wave near field communication | TEXAS INSTRUMENTS INCORPORATED |
11128370 | Device and method for guiding electromagnetic waves | STEALTHCASE OY |
11128379 | Multi-band signal processing system, joint box for multi-band signal processing system, and method for accommodating multi-band signal processing system | NEC CORPORATION |
11128380 | Signal light interruption detection device, optical amplifier, optical wavelength multiplex transmission device, and optical wavelength multiplex transmission system | MITSUBISHI ELECTRIC CORPORATION |
11128384 | Fiber amplifier and gain adjustment method for fiber amplifier | HUAWEI TECHNOLOGIES CO., LTD. |
11128389 | Communication system, base station device and communication terminal device | MITSUBISHI ELECTRIC CORPORATION |
11128432 | Antenna system | HUAWEI TECHNOLOGIES CO., LTD. |
11128553 | Technologies for switching network traffic in a data center | INTEL CORPORATION |
11128743 | Slide structure of electronic device | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11128965 | Implantable medical device comprising a wireless transcutaneous link | OTICON MEDICAL A/S |
11129031 | Systems and methods for improving coverage and throughput of mobile access points in a network of moving things, for example including a network of autonomous vehicles | VENIAM, INC. |
11129251 | User interface for controlling intensity and color of a lighting load | LUTRON TECHNOLOGY COMPANY LLC |
11129264 | X-ray generator | HAMAMATSU PHOTONICS K.K. |
11129265 | Methods and systems for composite radiation shielding parts | GE PRECISION HEALTHCARE LLC |
11129267 | Active gas generation apparatus | TOSHIBA MITSUBISHI-ELECTRIC INDUSTRIAL SYSTEMS CORPORATION |
11129268 | Ignition apparatus including spark plug that generates plasma | DENSO CORPORATION |
11129270 | Control device for an electric machine | ROBERT BOSCH GMBH |
11129272 | Relay arrangement with improved heat dissipation and converter device having a relay arrangement of this kind | SMA SOLAR TECHNOLOGY AG |
11129274 | Rollable display device and electronic device including the same | SAMSUNG DISPLAY CO., LTD. |
11129276 | Socket assembly, light emitter module, and lighting system | TE CONNECTIVITY NEDERLAND BV |
11129285 | Display and electronic device | LENOVO (BEIJING) CO., LTD. |
11129286 | Power supply noise suppressor | PPC BROADBAND, INC. |
11129287 | Hinge module for foldable type device | -- |
11129288 | Packing materials for display apparatus and packing method for display apparatus | SAMSUNG ELECTRONICS CO., LTD. |
11129289 | Bearing assembly with incorporated electric line for providing multiple operating voltages | SCHAEFFLER TECHNOLOGIES AG & CO. KG |
11129290 | Power delivery module for an electronic package | TE CONNECTIVITY SERVICES GMBH |
11129291 | Extension mechanism | -- |
11129292 | Connector interface for liquid-cooled IT servers | BAIDU USA LLC |
11129293 | Apparatus for mounting processors for cluster computing | CAPITAL ONE SERVICES, LLC |
11129294 | Modular rack assembly | CFW INVESTMENTS LLC |
11129295 | Enclosure assembly for enhanced cooling of direct drive unit and related methods | BJ ENERGY SOLUTIONS, LLC |
11129296 | Staggered arrangement for server fan array | -- |
11129297 | Cold plate with porus thermal conductive structure | AEM SINGAPORE PTE. LTD. |
11129298 | Process for liquid immersion cooling | TMGCORE, INC. |
11129299 | Heat sink | TEJAS NETWORK LIMITED |
11129300 | Module and power conversion device | SHINDENGEN ELECTRIC MANUFACTURING CO., LTD. |
11129301 | Thermally conductive insulator | SIEMENS AKTIENGESELLSCHAFT |
11129302 | Apparatus, system, and method for electromagnetic interference mitigation in split heatsink technologies | JUNIPER NETWORKS, INC. |
11129303 | Cooling of server high-power devices using double-base primary and secondary heat sinks | CISCO TECHNOLOGY, INC. |
11129304 | Electronic device | FUJITSU LIMITED |
11129305 | Liquid cooling system for an electronic card with a cold plate and heat sinks connected to the cold plate by flexible connections | BULL SAS |
11129306 | Fluid distribution apparatus and fluid distribution module with choke | -- |
11129307 | System and method for managing thermal states of devices | DELL PRODUCTS L.P. |
11129308 | Server with a vibration sensing function | -- |
11129309 | Cooling device, lid-equipped cooling device, case with cooling device, and inverter | MITSUBISHI ELECTRIC CORPORATION |
11129310 | Semiconductor module, vehicle and manufacturing method | FUJI ELECTRIC CO., LTD. |
11129311 | Electromagnetic compatibility gasket and vent | CISCO TECHNOLOGY, INC. |
11129312 | Electronic module for use in an automotive vehicle | TICONA LLC |
11129313 | Electromagnetic-wave shielding film, preparation method, and use thereof | -- |
11129314 | Stepped component assembly accommodated within a stepped cavity in component carrier | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
11129315 | Component mounter | FUJI CORPORATION |
11129316 | Component supply device | FUJI CORPORATION |
11129317 | Reel holder, tape supply device, robot hand, robot, and part mounting system | FANUC CORPORATION |
11129318 | Modular system for automated portable electronic device disassembly | APPLE INC. |
11129319 | Coordinate data generation device and coordinate data generation method | FUJI CORPORATION |
11129320 | Feeder trace log saving system | FUJI CORPORATION |
11129321 | Movement error detection apparatus of mounting head, and component mounting apparatus | YAMAHA HATSUDOKI KABUSHIKI KAISHA |
11129569 | Shielding techniques for noise reduction in surface electromyography signal measurement and related systems and methods | FACEBOOK TECHNOLOGIES, LLC |
11129677 | Light based tissue treatment device | KONINKLIJKE PHILIPS N.V. |
11129996 | External charger for an implantable medical device for determining position and optimizing power transmission using resonant frequency as determined from at least one sense coil | BOSTON SCIENTIFIC NEUROMODULATION CORPORATION |
11130142 | Showerhead having a detachable gas distribution plate | APPLIED MATERIALS, INC. |
11130164 | Crimping tool | -- |
11130196 | Single-position sequential laser welding system | NIO USA, INC. |
11130262 | Resin sheet production method | CASIO COMPUTER CO., LTD. |
11130270 | Gate-all-around fin device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11130312 | Electrical wire and wire harness using the same | YAZAKI CORPORATION |
11130412 | Charge coupler and method for autonomously charging vehicle batteries | ZOOX, INC. |
11130416 | Cooling system and method for cooling a charging column system for charging electrically drivable motor vehicles | DR. ING. H.C. F. PORSCHE AKTIENGESELLSCHAFT |
11130420 | System and method for charge contactor weld check | FORD GLOBAL TECHNOLOGIES, LLC |
11130459 | Sensor protector and vehicle equipped therewith | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11130547 | Systems and methods associated with magnetically activated mechanical binding for pedals | -- |
11130632 | Stocker and stocking system | HKC CORPORATION LIMITED |
11130670 | MEMS devices with an element having varying widths | -- |
11130683 | Dielectric composition and electronic component | TDK CORPORATION |
11130705 | Glass-based articles with improved fracture resistance | CORNING INCORPORATED |
11130827 | Polyethylene compositions and articles made therefrom | EXXONMOBIL CHEMICAL PATENTS INC. |
11130837 | Organic semiconductors | -- |
11130888 | Stretchable conductor sheet, stretchable conductor sheet having adhesiveness, and method for forming electrical wiring comprising stretchable conductor on fabric | TOYOBO CO., LTD. |
11130910 | Organic-inorganic hybrid perovskite nanocrystals and methods of making the same | THE TRUSTEES OF PRINCETON UNIVERSITY |
11131016 | Coated substrate | ROLLS-ROYCE PLC |
11131019 | Apparatus for coating substrates | IHI HAUZER TECHNO COATING B.V. |
11131024 | Fixture comprising magnetic means for holding rotary symmetric workpieces | OERLIKON SURFACE SOLUTIONS AG, PFÄFFIKON |
11131149 | Transmission line for wired pipe | BAKER HUGHES VENTURES & GROWTH LLC |
11131171 | Tubular protection for radiofrequency system to improve the recovery of heavy oils | ENI S.P.A. |
11131298 | Compressor and outdoor unit of air-conditioning apparatus | MITSUBISHI ELECTRIC CORPORATION |
11131420 | Display arm to housing connectors | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11131480 | Apparatus for thermal control of tubing assembly and associated methods | LAM RESEARCH CORPORATION |
11131538 | Determining azimuth of an antenna based on identification of an azimuth error | RELIANCE JIO INFOCOMM LIMITED |
11131649 | Ion mobility spectrometer clear-down | SMITHS DETECTION MONTREAL INC. |
11131655 | Dynamic control of accumulation of time for chromatography mass spectrometry | THERMO FISHER SCIENTIFIC (BREMEN) GMBH |
11131690 | Contact probe for testing head | TECHNOPROBE S.P.A. |
11131692 | Line isolating power connector | AMAZON TECHNOLOGIES, INC. |
11131701 | Multi-probe anechoic chamber for beam performance testing of an active electronically steered array antenna | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
11131723 | Single controller for wearable sensor unit that includes an array of magnetometers | HI LLC |
11131724 | Systems and methods for measuring current output by a photodetector of a wearable sensor unit that includes one or more magnetometers | HI LLC |
11131725 | Interface configurations for a wearable sensor unit that includes one or more magnetometers | HI LLC |
11131727 | Magnetic sensor device | TDK CORPORATION |
11131749 | MIMO radar sensor for motor vehicles | ROBERT BOSCH GMBH |
11131750 | Radar device for vehicle | LG INNOTEK CO., LTD. |
11131761 | Antenna configuration for parking assist radar | TEXAS INSTRUMENTS INCORPORATED |
11131770 | Vehicle radar | MANDO CORPORATION |
11131812 | Fibre connector and method of assembly | BOXFISH RESEARCH LIMITED |
11131818 | Slidable fiber optic connection module with cable slack management | COMMSCOPE TECHNOLOGIES LLC |
11131826 | Wien filter and electron-optics apparatus | EBARA CORPORATION |
11131859 | Apparatus and methodology for reshaping a laser beam | BOSTON SCIENTIFIC SCIMED, INC. |
11131871 | Laser processing device and operation checking method | HAMAMATSU PHOTONICS K.K. |
11132014 | Repositionable control knob assembly and method of use | -- |
11132017 | Clock distribution system | NORTHROP GRUMANN SYSTEMS CORPORATION |
11132021 | Advanced ergonomic housing for electronic devices | -- |
11132024 | Battery architecture in an electronic device | APPLE INC. |
11132029 | Trimless glass enclosure interface | APPLE INC. |
11132030 | Electronic device | -- |
11132033 | Modular controllers | HAMILTON SUNDSTRAND CORPORATION |
11132034 | Electronic device fastener | SK HYNIX INC. |
11132035 | Air directing device | CHATSWORTH PRODUCTS, INC. |
11132036 | Implementing enhanced component reliability using air flow control | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11132042 | Drive carrier assemblies | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11132068 | Information display method and information display system | -- |
11132320 | Modular plug system comprising an integrated data bus | HARTING ELECTRIC GMBH & CO. KG |
11132589 | Flexible water-resistant sensor tag | SENSORMATIC ELECTRONICS, LLC |
11132595 | Method and apparatus for providing radio-frequency shielding information | -- |
11132596 | Product tagging and RFID localization | NEC CORPORATION |
11132597 | RFID tag reading antenna | MURATA MANUFACTURING CO., LTD. |
11132909 | Drone encroachment avoidance monitor | -- |
11133028 | Magnetoresistance effect element | TDK CORPORATION |
11133118 | Patterned nanoparticle structures | UNIVERSITY OF MASSACHUSETTS |
11133119 | Image reading apparatus | CANON KABUSHIKI KAISHA |
11133120 | Superconductor cable or superconductor cable-in-conduit-conductor with clocking feature | -- |
11133121 | Composite cable with inclusion interposed between separator and sheath | SUMITOMO WIRING SYSTEMS, LTD. |
11133122 | Magnetic anchoring devices | -- |
11133123 | Pulse control device for electromagnetic devices based on inductance | BASE FIELD POWER LIMITED |
11133124 | Apparatus for magnetically attaching to load | TARKMET OY |
11133125 | Coil component and method of manufacturing the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11133126 | Coil component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11133127 | Automatic toroidal core winding machine | ZHONGSHAN COMPETENT AUTOMATION EQUIPMENT CO., LTD. |
11133128 | System in package module assembly, system in package module, and electronic device | HUAWEI TECHNOLOGIES CO., LTD. |
11133129 | Coil component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11133130 | Pulse transformer | TDK CORPORATION |
11133131 | Multilayer ceramic electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11133132 | Electronic component and board having the same mounted thereon | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11133133 | Supercapacitor module having matched supercapacitors | AVX CORPORATION |
11133134 | Simple route to highly conductive porous graphene from carbon nanodots for supercapacitor applications | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
11133135 | Input device | LITE-ON ELECTRONICS (GUANGZHOU) LIMITED |
11133136 | Switch | FUJIKURA LTD. |
11133137 | Operation device | DENSO CORPORATION |
11133138 | Electric switch limiter pole and DC electric switch comprising such a limiter pole | SCHNEIDER ELECTRIC INDUSTRIES SAS |
11133139 | Use of 1-chloro-2,3,3,3-tetrafluoropropene for isolating or extinguishing electric arcs | ARKEMA FRANCE |
11133140 | Contact device and electromagnetic relay | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11133141 | Relay contactor dual linear actuator module system | HAMILTON SUNDSTRAND CORPORATION |
11133142 | Power switch | SIEMENS AKTIENGESELLSCHAFT |
11133143 | Integrated power-ground reverse wiring protection circuit | TEXAS INSTRUMENTS INCORPORATED |
11133144 | Fuse, fuse body, system and method | SIEMENS AKTIENGESELLSCHAFT |
11133145 | Draw-out current limiting fuse | ABB POWER GRIDS SWITZERLAND AG |
11133146 | Solution electrode glow discharge apparatus | 2S WATER INCORPORATED |
11133147 | Charged particle ray device and cross-sectional shape estimation program | HITACHI HIGH-TECH CORPORATION |
11133148 | Scanning electron microscope | HITACHI HIGH-TECH CORPORATION |
11133149 | Focused ion beam apparatus | HITACHI HIGH-TECH SCIENCE CORPORATION |
11133151 | Transmission electron microscope and method of controlling same | JEOL LTD. |
11133152 | Methods and apparatus for performing profile metrology on semiconductor structures | APPLIED MATERIALS, INC. |
11133153 | Ion milling device | HITACHI HIGH-TECH CORPORATION |
11133154 | Electron beam vaporizer and method for vaporizing a vaporization material by means of an electron beam | VON ARDENNE ASSET GMBH & CO. KG |
11133155 | Apparatus for depositing metal films with plasma treatment | APPLIED MATERIALS, INC. |
11133156 | Electrode plate for plasma processing apparatus and method for regenerating electrode plate for plasma processing apparatus | MITSUBISHI MATERIALS CORPORATION |
11133157 | Plasma processing apparatus | TOKYO ELECTRON LIMITED |
11133158 | Glass pallet for sputtering systems | VIEW, INC. |
11133159 | Plasma polymerization apparatus and plasma polymerization method using the same | -- |
11133160 | Devices, systems, and methods for dissociation of ions using light emitting diodes | BOARD OF REGENTS, UNIVERSITY OF TEXAS SYSTEM |
11133161 | Methods and systems for quantifying two or more analytes using mass spectrometry | PERKINELMER HEALTH SCIENCES CANADA, INC. |
11133162 | IRMS sample introduction system and method | THERMO FISHER SCIENTIFIC (BREMEN) GMBH |
11133163 | System and method for the acoustic loading of an analytical instrument using a continuous flow sampling probe | LABCYTE, INC. |
11133164 | Capacitively coupled REIMS technique and optically transparent counter electrode | MICROMASS UK LIMITED |
11133165 | Extracting and analyzing trapped gasses in a glass sample | OWENS-BROCKWAY GLASS CONTAINER INC. |
11133166 | Momentum-resolving photoelectron spectrometer and method for momentum-resolved photoelectron spectroscopy | LEIBNIZ-INSTITUT FüR FESTKöRPER- UND WERKSTOFFFORS |
11133167 | Integrated low cost curtain plate, orifice PCB and ion lens assembly | DH TECHNOLOGIES DEVELOPMENT PTE. LTD. |
11133168 | Method for spectrometry | UNIVERSITY OF BASEL |
11133169 | Ambient ionisation spot measurement and validation | MICROMASS UK LIMITED |
11133170 | Atmospheric-pressure ionization and fragmentation of molecules for structural elucidation | INDIANA UNIVERSITY RESEARCH AND TECHNOLOGY CORPORATION |
11133171 | Method and apparatus for tandem mass spectrometry with MALDI-TOF ion source | VIRGIN INSTRUMENTS CORPORATION |
11133172 | Flash discharge tube and flash device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11133173 | Simplified lamp design | APPLIED MATERIALS, INC. |
11133192 | Workpiece processing method | TOKYO ELECTRON LIMITED |
11133193 | Plasma processing device and method of adjusting an edge ring of a plasma processing device | XIA TAI XIN SEMICONDUCTOR (QING DAO) LTD. |
11133203 | Plasma processing apparatus | TOKYO ELECTRON LIMITED |
11133204 | Chamber matching with neural networks in semiconductor equipment tools | APPLIED MATERIALS, INC. |
11133210 | Dual temperature heater | APPLIED MATERIALS, INC. |
11133225 | Mode converter and method of fabricating thereof | ROCKLEY PHOTONICS LIMITED |
11133241 | Semiconductor package with a cavity in a die pad for reducing voids in the solder | STMICROELECTRONICS, INC. |
11133273 | Semiconductor device with waveguide and method therefor | NXP USA, INC. |
11133279 | Connection structure | MIKUNI ELECTRON CORPORATION |
11133302 | Semiconductor carrier with vertical power FET module | -- |
11133321 | Semiconductor device and method of fabricating the same | -- |
11133374 | Method for fabricating magnetic core | -- |
11133390 | Low temperature, thin film crystallization method and products prepared therefrom | THE BOEING COMPANY |
11133435 | Nitride semiconductor substrate, manufacturing method therefor, and semiconductor device | OSAKA UNIVERSITY |
11133459 | Magnetic element, magnetic memory device, and magnetic sensor | NATIONAL INSTITUTE OF ADVANCED INDUSTRIAL SCIENCE AND TECHNOLOGY |
11133460 | Methods for forming structures with desired crystallinity for MRAM applications | APPLIED MATERIALS, INC. |
11133509 | Grid current collector and associated devices and methods | ARMOR |
11133527 | Solid electrolyte | NATIONAL UNIVERSITY OF SINGAPORE |
11133539 | Cooling system and method | SIEMENS ENERGY AS |
11133562 | Integrated electrode separator assemblies for lithium ion batteries | ARKEMA INC. |
11133566 | Branch-line directional coupler and power amplifier device | KABUSHIKI KAISHA TOSHIBA |
11133567 | Capacitive coupling tuner | NOKIA SHANGHAI BELL CO., LTD. |
11133568 | Semiconductor package structure having antenna module | SJ SEMICONDUCTOR (JIANGYIN) CORPORATION |
11133569 | Compact connector for transmitting super high frequency signal | SENSORVIEW INCORPORATED |
11133570 | Holder for antennas | -- |
11133571 | Electronic apparatus and control method therefor | SAMSUNG ELECTRONICS CO., LTD. |
11133572 | Electronic device with segmented housing having molded splits | APPLE INC. |
11133573 | Mobile terminal antenna and mobile terminal | VIVO MOBILE COMMUNICATION CO., LTD. |
11133574 | Communication device | MURATA MANUFACTURING CO., LTD. |
11133575 | Small cell base stations with strand-mounted antennas | COMMSCOPE TECHNOLOGIES LLC |
11133576 | Rectenna | AETERNUM, LLC |
11133577 | Intelligent meta-structure antennas with targeted polarization for object identification | -- |
11133578 | Semiconductor device package comprising an encapsulated and conductively shielded semiconductor device die that provides an antenna feed to a waveguide | NXP B.V. |
11133579 | Cover and vehicle-mounted fin type antenna device | NTN CORPORATION |
11133580 | Antenna device | -- |
11133581 | Electronic device comprising antenna | SAMSUNG ELECTRONICS CO., LTD. |
11133582 | Antenna module, display device, antenna driving method, control program, and recording medium | SHARP KABUSHIKI KAISHA |
11133583 | Dynamic information storage to enable angle-of-arrival smart antennas | CISCO TECHNOLOGY, INC. |
11133584 | Dynamic polarization and coupling control from a steerable cylindrically fed holographic antenna | KYMETA CORPORATION |
11133585 | Radar beamforming | SIVERS WIRELESS AB |
11133586 | Antenna array with ABFN circuitry | COMMUNICATION COMPONENTS ANTENNA INC. |
11133587 | Antenna device and array antenna device | MITSUBISHI ELECTRIC CORPORATION |
11133588 | Phase change material based reconfigurable intelligent reflective surfaces | THE FLORIDA INTERNATIONAL UNIVERSITY BOARD OF TRUSTEES |
11133589 | Antenna | AIRGAIN, INC. |
11133590 | Antenna and antenna module | FUJITSU COMPONENT LIMITED |
11133591 | Antenna device, position input device including antenna device, and display device including antenna device | SHARP KABUSHIKI KAISHA |
11133592 | Chip radio frequency package and radio frequency module | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11133593 | Compact antenna device | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11133594 | System and method with multilayer laminated waveguide antenna | VEONEER US, INC. |
11133595 | Antenna module using metal bezel and electronic device including thereof | SAMSUNG ELECTRONICS CO., LTD. |
11133596 | Antenna with gradient-index metamaterial | QUALCOMM INCORPORATED |
11133597 | Antenna array and wireless device | HUAWEI TECHNOLOGIES CO., LTD. |
11133598 | Antenna system with multiple synchronously movable feeds | SEA TEL, INC. |
11133599 | Phased array antenna | AAC TECHNOLOGIES PTE. LTD. |
11133600 | Spatial feeding end-fire array antenna based on electromagnetic surface technologies | TSINGHUA UNIVERSITY |
11133601 | Fractal-rectangular reactive impedance surface for antenna miniaturization | UNIVERSITY OF FLORIDA RESEARCH FOUNDATION, INCORPORATED |
11133602 | Antenna stack | CORNING INCORPORATED |
11133603 | Phased array control circuit for controlling the effective shape of a beam-formed signal | ANOKIWAVE, INC. |
11133604 | Circularly symmetric tightly coupled dipole array | ROCKWELL COLLINS, INC. |
11133605 | Antenna structure | -- |
11133606 | Wire crimping structure and shielded conductive path | AUTONETWORKS TECHNOLOGIES, LTD. |
11133607 | Electrical connection assembly, method of electrically connecting a conductor of a cable with a metallic textile | TE CONNECTIVITY GERMANY GMBH |
11133608 | Contact member for an IDC terminal, contact member assembly, set of contact members and housing comprising a contact member | TE CONNECTIVITY GERMANY GMBH |
11133609 | Semiconductor device having terminal pin connected by connecting member and method of manufacturing semiconductor device | FUJI ELECTRIC CO., LTD. |
11133610 | Base of electrical connector and electrical connector thereof | DONGGUAN LUXSHARE TECHNOLOGIES CO., LTD |
11133612 | Fan connector | DONGGUAN LUXSHARE TECHNOLOGIES CO., LTD |
11133613 | Card edge connector with improved performance at low impedance and superior high frequency | FOXCONN (KUNSHAN) COMPUTER CONNECTOR CO., LTD. |
11133614 | Low insertion force contact and method of manufacture | TE CONNECTIVITY SERVICES GMBH |
11133615 | Electrical connector assembly | FOXCONN (KUNSHAN) COMPUTER CONNECTOR CO., LTD. |
11133616 | Board-to-board electrical connector fastening and positioning members | -- |
11133617 | Plug connection having an auxiliary contact | WEIDMüLLER INTERFACE GMBH & CO. KG |
11133618 | Electrical connector with hybrid contact set | FUDING PRECISION COMPONENTS (SHENZHEN) CO., LTD. |
11133619 | Method for improving clearance and creepage in a high voltage connector assembly using a female terminal position assurance (TPA) device | J.S.T. CORPORATION |
11133620 | Connector housing having sealing member and protective wall | YAZAKI CORPORATION |
11133621 | Electric wire cover | YAZAKI CORPORATION |
11133622 | Connector with connection detection member | SUMITOMO WIRING SYSTEMS, LTD. |
11133623 | Wet contact detection in external accessory connector | DIALOG SEMICONDUCTOR (UK) LIMITED |
11133624 | Electrical plug having a temperature sensor | INNOGY SE |
11133625 | Switched power over ethernet connector | SENTINEL CONNECTOR SYSTEMS, INC. |
11133626 | High outlet density power distribution unit | SERVER TECHNOLOGY, INC. |
11133627 | Power distribution system | HERMAN MILLER, INC. |
11133628 | Power strip with device information input and display capabilities | BOE TECHNOLOGY GROUP CO., LTD. |
11133629 | Busway systems and related assemblies and methods | EATON INTELLIGENT POWER LIMITED |
11133630 | Lamp connector | DONGGUAN THAILIGHT SEMICONDUCTOR LIGHTING CO., LTD. |
11133631 | Reversible jumper system for non-isolated high power or isolated low power selection | ZEBRA TECHNOLOGIES CORPORATION |
11133632 | Quick connect device for recessed electrical fixtures | -- |
11133633 | Brush holder for electric traction motors for locomotives | MERSEN DO BRASIL LTDA. |
11133634 | Terminal-equipped electric wire manufacturing apparatus and terminal-equipped electric wire | YAZAKI CORPORATION |
11133635 | System and method for multi-stage crimping and stripping | DANIELS MANUFACTURING CORPORATION |
11133636 | Method for manufacturing a male power terminal, and male power terminal | APTIV TECHNOLOGIES LIMITED |
11133637 | Apparatus and method for tunable frequency parametric down-conversion of high peak power lasers through dual chirp pulse mixing | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
11133638 | Apparatus for combining laser beams in optical fibers, and corresponding method | PRIMA ELECTRO S.P.A. |
11133639 | Fast axis thermal lens compensation for a planar amplifier structure | RAYTHEON COMPANY |
11133640 | Integrated beam scraper and power dump | RAYTHEON COMPANY |
11133641 | Terahertz laser device based on zinc oxide phonon vibration optically excited at room temperature | NANJING UNIVERSITY |
11133642 | Semiconductor device and method of manufacturing a semiconductor device | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11133643 | Laser apparatus including dew condensation prevention function | FANUC CORPORATION |
11133644 | Semiconductor laser device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11133645 | Laser integration into a silicon photonics platform | CISCO TECHNOLOGY, INC. |
11133646 | Semiconductor optical device and optical transceiver module | LUMENTUM JAPAN, INC. |
11133647 | Impedance matching circuit for optical transmitters and methods of making and using the same | SOURCE PHOTONICS (CHENGDU) COMPANY, LTD. |
11133648 | Laser module | -- |
11133649 | Index and gain coupled distributed feedback laser | PALO ALTO RESEARCH CENTER INCORPORATED |
11133650 | Back side emitting light source array device and electronic apparatus having the same | SAMSUNG ELECTRONICS CO., LTD. |
11133651 | Nitride semiconductor laser device and semiconductor laser apparatus | SHARP KABUSHIKI KAISHA |
11133652 | Optical devices and methods of manufacture and operation | -- |
11133660 | Structural arrangement for use in a conducting busbar | -- |
11133670 | Air gap metal tip electrostatic discharge protection | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11133678 | Power conversion device | TOSHIBA MITSUBISHI-ELECTRIC INDUSTRIAL SYSTEMS CORPORATION |
11133696 | Wireless power system | APPLE INC. |
11133698 | Wireless charging systems and methods for controlling the same | -- |
11133703 | Method and apparatus to retrieve data from power distribution units | VERTIV CORPORATION |
11133706 | Wireless power transmitter | SAMSUNG ELECTRONICS CO., LTD. |
11133707 | Wireless electric field power transfer system, transmitter and receiver | SOLACE POWER INC. |
11133710 | System and device for transmission and reception of wireless power | LG ELECTRONICS INC. |
11133712 | Wireless power transfer using multiple coil arrays | CHARGEDGE, INC. |
11133714 | Apparatus for wireless power transmission and method of use thereof | -- |
11133750 | Power module | DELTA ELECTRONICS (SHANGHAI) CO., LTD. |
11133836 | High isolation radio frequency switch | NXP USA, INC. |
11133851 | Beamforming configuration via cross-mixing | THE FLORIDA INTERNATIONAL UNIVERSITY BOARD OF TRUSTEES |
11133859 | Transmit phased array antenna pointing systems and methods | THINKOM SOLUTIONS, INC. |
11133876 | Interactive device with multiple antennas | DISNEY ENTERPRISES, INC. |
11134141 | Electronic devices having shared coil structures | APPLE INC. |
11134156 | System and method for detecting and alerting of flooding in telecommunications manholes | SAUDI ARABIAN OIL COMPANY |
11134298 | Media device with on-board patch antennas | AMAZON TECHNOLOGIES, INC. |
11134491 | Radio with antenna array and multiple RF bands | SKYLINE PARTNERS TECHNOLOGY LLC |
11134541 | AP device, IoT device, and communication device | NEW H3C TECHNOLOGIES CO., LTD. |
11134555 | Power cable for light string and power supply device | BLOOMING INTERNATIONAL LIMITED |
11134561 | Wiring board and method for producing the same | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11134563 | Optical module and method for manufacturing the same | LUMENTUM JAPAN, INC. |
11134564 | Transparent PCB and method for manufacturing the same | AVARY HOLDING (SHENZHEN) CO., LIMITED. |
11134565 | Printed circuit board and method for producing the printed circuit board | ENDRESS+HAUSER SE+CO. KG |
11134569 | PCB transformer | EATON INTELLIGENT POWER LIMITED |
11134570 | Electronic module with a magnetic device | -- |
11134571 | Voltage regulator module | -- |
11134577 | Electronic device including display module including sensor and method of manufacturing said display module | SAMSUNG ELECTRONICS CO., LTD. |
11134578 | Locking device and chassis using locking device | HONGFUJIN PRECISION ELECTRONICS(TIANJIN)CO., LTD. |
11134579 | Hinge module and electronic device | -- |
11134580 | Protective cover for portable electronic device and associated systems and methods | ZAGG INC |
11134581 | Electronic device and case thereof | -- |
11134582 | Cable management assembly | -- |
11134583 | Slide rail mechanism and supporting assembly thereof | -- |
11134584 | Wireless device charger with cooling device | APTIV TECHNOLOGIES LIMITED |
11134585 | Aircraft power electronic unit and method of cooling | HAMILTON SUNDSTRAND CORPORATION |
11134586 | External robotic system for liquid immersion cooling platform | TMGCORE, INC. |
11134587 | Power module with integrated cooling device | DANFOSS SILICON POWER GMBH |
11134588 | Crossflow air cooling module for electronic equipment | BAIDU USA LLC |
11134589 | Cooling module for an electrical power supply compartment of a railway vehicle, and related electrical power supply compartment and railway vehicle | ALSTOM TRANSPORT TECHNOLOGIES |
11134590 | Automotive power inverter with cooling channels and cooling pins | FORD GLOBAL TECHNOLOGIES, LLC |
11134591 | Circuit board assemblies for electronic devices | ASTEC INTERNATIONAL LIMITED |
11134592 | Power conversion device | TOSHIBA MITSUBISHI-ELECTRIC INDUSTRIAL SYSTEMS CORPORATION |
11134593 | Touch substrate, manufacturing method thereof, and touch display device | BOE TECHNOLOGY GROUP CO., LTD. |
11134594 | Component supply system and component mounting machine | FUJI CORPORATION |
11134595 | Compliant die attach systems having spring-driven bond tools | ASSEMBLEON B.V. |
11134596 | Work machine | FUJI CORPORATION |
11134597 | Component mounting device | YAMAHA HATSUDOKI KABUSHIKI KAISHA |
11134598 | 3D packaging with low-force thermocompression bonding of oxidizable materials | SET NORTH AMERICA, LLC |
11134599 | Component mounter and component mounting system for mounting stacked components | FUJI CORPORATION |
11134600 | Assembly system | TYCO ELECTRONICS (SHANGHAI) CO. LTD. |
11134601 | System for managing component mounting line | FUJI CORPORATION |
11134662 | Co-location of a heat source cooling subsystem and aquaculture | DEEPWATER DESAL LLC |
11134878 | Stretchable electrode sheet and stretchable wiring sheet, and biological information measurement interface | TOYOBO CO., LTD. |
11135334 | Method of air disinfection and an air disinfection apparatus comprising an unipolar corona discharge zone and an electrical field | TADIRAN CONSUMER AND TECHNOLOGY PRODUCTS LTD. |
11135423 | Medical lead with segmented electrodes | MEDTRONIC, INC. |
11135451 | Multi-direction proton therapy apparatus and method of use thereof | -- |
11135626 | Contamination removal apparatus and method | BRUKER NANO, INC. |
11135650 | Laser-stirred powder bed fusion | -- |
11135651 | Laser-stirred powder bed fusion | -- |
11135669 | Method and device for a high temperature vacuum-safe solder resist utilizing laser ablation of solderable surfaces for an electronic module assembly | CREE, INC. |
11135690 | Method for assembling a cartridge for a smoking article | RAI STRATEGIC HOLDINGS, INC. |
11135752 | Window molding apparatus and method of molding window using the same | SAMSUNG DISPLAY CO., LTD. |
11135763 | Assemblies formed by additive manufacturing, radar absorbing structures, and related methods | NORTHROP GRUMMAN SYSTEMS CORPORATION |
11135807 | Filler-containing film | DEXERIALS CORPORATION |
11135929 | Unified energy and data transfer medium and related devices, systems and methods | -- |
11135930 | Magnetically-displacing charging station | INVIA ROBOTICS, INC. |
11135942 | Railway direct-current feeding system | RAILWAY TECHNICAL RESEARCH INSTITUTE |
11136100 | Inflatable mast and outrigger for undersea vehicles | BAE SYSTEMS INFORMATION AND ELECTRONIC SYSTEMS INTEGRATION INC. |
11136132 | Electrically conductive materials for heating and deicing airfoils | THE BOEING COMPANY |
11136239 | Methods for forming nanotube fabrics with controlled surface roughness and degree of rafting | -- |
11136244 | Rare earth cold accumulating material particles, and refrigerator, superconducting magnet, inspection device and cryopump using same | KABUSHIKI KAISHA TOSHIBA |
11136257 | Thick-film resistive element paste and use of thick-film resistive element paste in resistor | KOA CORPORATION |
11136432 | Method for producing polyisothianaphthene-based electroconductive polymer | SHOWA DENKO K.K. |
11136440 | Vinylidene fluoride resin film | KUREHA CORPORATION |
11136445 | Liquid crystalline polymer composition | TICONA LLC |
11136459 | Viscoelastic conductive nanomaterial-polymer nanocomposites and sensing devices comprising the composite material | THE PROVOST, FELLOWS, FOUNDATION SCHOLARS, & THE OTHER MEMBERS OF BOARD, OF THE COLLEGE OF THE HOLY & UNDIV. TRINITY OF QUEEN |
11136476 | Method of producing anisotropic conductive film and anisotropic conductive film | DEXERIALS CORPORATION |
11136484 | Thermally conductive sheet | SEKISUI CHEMICAL CO., LTD. |
11136502 | Method of producing thiogallate-based fluorescent material, method of producing light-emitting device, thiogallate-based fluorescent material and light-emitting device | NICHIA CORPORATION |
11136645 | Method for producing non-oriented electrical steel sheet, method for producing motor core, and motor core | JFE STEEL CORPORATION |
11136665 | Shadow ring for modifying wafer edge and bevel deposition | APPLIED MATERIALS, INC. |
11136679 | Electrochemical and photoelectrochemical oxidation of 5-hydroxymethylfurfural to 2,5-furandicarboxylic acid and 2,5-diformylfuran | WISCONSIN ALUMNI RESEARCH FOUNDATION |
11136690 | Method for preparing doped yttrium aluminum garnet single crystal fiber by performing a cylindrical surface polishing operation and growing a cladding layer | MEISHAN BOYA ADVANCED MATERIALS CO., LTD. |
11136985 | High frequency AC noise suppression within transformers | BAKER HUGHES, A GE COMPANY, LLC |
11137013 | Power supply and signal transmitting device for sensing bolt and sensing bolt device | -- |
11137086 | Solenoid valve and manufacturing method for the same | -- |
11137117 | Light converter | LAZURITE HOLDINGS LLC |
11137137 | Method for driving a valve for regulating the flow rate of fuel gas towards a burner, in particular for condensation boilers having high power modulation | SIT S.P.A. |
11137159 | Fire notification device with integrated environmental node sensor | JOHNSON CONTROLS TYCO IP HOLDINGS LLP |
11137175 | Composite water-cooling radiator structure | -- |
11137193 | Cryogenic cooling apparatus | KABUSHIKI KAISHA TOSHIBA |
11137214 | Oscillating heat pipe using ultrasonic additive manufacturing | RAYTHEON COMPANY |
11137215 | Flat heat pipe | FURUKAWA ELECTRIC CO., LTD. |
11137242 | Conductive fiber comprising metal nanobelt and carbon nanomaterial composite, method for producing conductive fiber, fibrous strain sensor, and method for producing fibrous strain sensor | KOREA ELECTROTECHNOLOGY RESEARCH INSTITUTE |
11137246 | Optical device | -- |
11137279 | Liquid level sensor | WALBRO LLC |
11137293 | Wound electrical component with printed electronics sensor | ABB POWER GRIDS SWITZERLAND AG |
11137295 | Sensor having a film portion,an electrode region and a magnetic portion | KABUSHIKI KAISHA TOSHIBA |
11137315 | Leakage detection cable and method for manufacturing same | YUMIN SYSTEM TECHNOLOGY CO., LTD |
11137380 | Method and an apparatus for analyzing a complex sample | WATERS TECHNOLOGIES CORPORATION |
11137398 | Method for analyzing microorganisms | SHIMADZU CORPORATION |
11137432 | Photonic crystal receivers | QUANTUM VALLEY IDEAS LABORATORIES |
11137433 | Radio wave measurement system | MITSUBISHI ELECTRIC CORPORATION |
11137437 | Probe device, electrical inspection apparatus, and electrical inspection method | NIPPON MEKTRON, LTD. |
11137515 | Time-domain broadband dielectric logging | HALLIBURTON ENERGY SERVICES, INC. |
11137525 | Display device and manufacturing method thereof | JAPAN DISPLAY INC. |
11137536 | Bragg-like gratings on high refractive index material | FACEBOOK TECHNOLOGIES, LLC |
11137541 | Delivery fiber assembly and a broad band source | NKT PHOTONICS A/S |
11137563 | Flexible data center infrastructure distribution | AMAZON TECHNOLOGIES, INC. |
11137565 | System and method for thermal treatment of surface bonding optical patch cord | EAST POINT COMMUNICATION TECHNOLOGY COMPANY, LTD |
11137630 | Method of producing image display device and resin dispenser | DEXERIALS CORPORATION |
11137647 | Electro-optical device and electronic apparatus | SEIKO EPSON CORPORATION |
11137689 | Method and system for fabricating unique chips using a charged particle multi-beamlet lithography system | ASML NETHERLANDS B.V. |
11137727 | Validation device for testing a machinery safety system | SWIVEL-LINK, LLC |
11137741 | Quality control device and quality control method | HITACHI, LTD. |
11137777 | Control apparatus, program, system and control method | HAPSMOBILE INC. |
11137789 | Fractional turn coil winding | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
11137790 | Voltage supply system with boost converter and charge pump | SKYWORKS SOLUTIONS, INC. |
11137791 | Knob assembly | WHIRLPOOL CORPORATION |
11137796 | Smartpad window management | Z124 |
11137798 | Electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11137799 | Electronic device with wrap around display | APPLE INC. |
11137805 | Dual redundant cooling system for a container | KLINGE CORPORATION |
11137811 | Power supply system for reducing voltage fluctuation of rack GPU | ZHENGZHOU YUNHAI INFORMATION TECHNOLOGY CO., LTD. |
11137833 | Low-profile keyboard | MICROSOFT TECHNOLOGY LICENSING, LLC |
11137844 | Digital jukebox device with improved user interfaces, and associated methods | TOUCHTUNES MUSIC CORPORATION |
11137863 | Silver nanostructure-based optical stacks and touch sensors with UV protection | CAMBRIOS FILM SOLUTIONS CORPORATION |
11137867 | Fluidic wire touch sensors | TEVERI LLC |
11137922 | Technologies for providing accelerated functions as a service in a disaggregated architecture | INTEL CORPORATION |
11138487 | Method for manufacturing RFID inlet and antenna pattern | SATO HOLDINGS KABUSHIKI KAISHA |
11138491 | RFID tag and RFID tagged article | MURATA MANUFACTURING CO., LTD. |
11138847 | Wireless environmental data capture system and method for mesh networking | SENSORMATIC ELECTRONICS, LLC |
11138848 | Wireless environmental data capture system and method for mesh networking | SENSORMATIC ELECTRONICS, LLC |
11138853 | Intrusion entry protection | CARRIER CORPORATION |
11139089 | Stretchable interconnects for flexible electronic surfaces | ALPHA ASSEMBLY SOLUTIONS INC. |
11139090 | Electrical cables with non-metallic jackets and methods of fabricating the same | ENCORE WIRE CORPORATION |
11139091 | Resistor component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11139092 | Resistor component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11139093 | Magnetocaloric materials comprising manganese, iron, silicon, phosphorus and nitrogen | TECHNISCHE UNIVERSITEIT DELFT |
11139094 | Power inductor | MODA-INNOCHIPS CO., LTD. |
11139095 | Multilayer coil component | MURATA MANUFACTURING CO., LTD. |
11139096 | Common mode choke coil and wireless charging circuit | MURATA MANUFACTURING CO., LTD. |
11139097 | Electromagnetic actuation assembly | SIGMA POWERTRAIN, INC. |
11139098 | Bi-stable actuator based on electromagnetic attraction | FONDAZIONE ISTITUTO ITALIANO DI TECNOLOGIA |
11139099 | Magnetic field generator | CENTRE NATIONAL DE LA RECHERCHE SCIENTIFIQUE |
11139100 | Cooling structure for coil component | FUJI ELECTRIC CO., LTD. |
11139101 | Coil component | MURATA MANUFACTURING CO., LTD. |
11139102 | 52 cubic millimeter transformer for DC-DC converter device | MURATA MANUFACTURING CO., LTD. |
11139103 | Flat winding / equal coupling common mode inductor apparatus and method of use thereof | -- |
11139104 | Inductor component | MURATA MANUFACTURING CO., LTD. |
11139105 | Coil component and electronic device | TAIYO YUDEN CO., LTD. |
11139106 | Transformer and transformer bobbin thereof | -- |
11139107 | Reactor | AUTONETWORKS TECHNOLOGIES, LTD. |
11139108 | Coil electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11139109 | Leakage reactance plate for power transformer | ABB POWER GRIDS SWITZERLAND AG |
11139110 | Transformer and method of generating multiple multiphase output signals | THE BOEING COMPANY |
11139111 | Inductive coupling system and communication system | KABUSHIKI KAISHA TOSHIBA |
11139112 | Electronic component | TDK CORPORATION |
11139113 | Electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11139114 | Multilayer capacitor | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11139115 | Surface mount multilayer coupling capacitor and circuit board containing the same | AVX CORPORATION |
11139116 | Gel electrolytic capacitor | NIPPON CHEMI-CON CORPORATION |
11139117 | Solid electrolytic capacitor containing a sequential vapor-deposited interior conductive polymer film | AVX CORPORATION |
11139118 | Electrodes and currents through the use of organic and organometallic high dielectric constant materials in energy storage devices and associated methods | CLEANVOLT ENERGY, INC. |
11139119 | Supercapacitor comprising an electrolyte composition comprising an additive from the family of fluorinated phosphazenes | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11139120 | Energy storage device module having a balancing circuit board | LS MTRON LTD |
11139121 | Power storage device, light-emitting device, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11139122 | Electrochemical energy storing device | MACROCAPS APS |
11139123 | Residual load circuit breaker | WALTER KRAUS GMBH |
11139124 | Emergency stop switch including an illuminating device emitting different states of illumination | BANNER ENGINEERING CORPORATION |
11139125 | Power relay circuit | INFINEON TECHNOLOGIES AUSTRIA AG |
11139126 | Method for the production a solid-insulated circuit-breaker pole | SIEMENS AKTIENGESELLSCHAFT |
11139127 | Switch device | ALPS ALPINE CO., LTD. |
11139128 | Remote control with stabilization film designed as capacitive sensor | FM MARKETING GMBH |
11139129 | Membrane sealed keyboard | APPLE INC. |
11139130 | Safety switch | ARCACHON HOLDINGS LLC |
11139131 | Electromechanical relay with data collection cover | ABB SCHWEIZ AG |
11139132 | Component group for galvanically separating an armature and a switching bridge of a relay, the switching bridge being arranged on a switching bridge carrier, and relay | TE CONNECTIVITY GERMANY GMBH |
11139133 | Contact device, electromagnetic relay and electrical device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11139134 | High isolation series switch | QORVO US, INC. |
11139135 | Attachment structure between cover and housing, and fusible link unit | YAZAKI CORPORATION |
11139136 | Fuse holder with busbar clamp | REGAL BELOIT AMERICA, INC. |
11139137 | Collision avoidance for particle beam instruments | OXFORD INSTRUMENTS NANOTECHNOLOGY TOOLS LIMITED |
11139138 | Multiple electron beams irradiation apparatus | NUFLARE TECHNOLOGY, INC. |
11139139 | Charged particle beam generator and charged particle beam apparatus | HITACLII HIGH-TECH CORPORATION |
11139140 | Particle beam apparatus having an aperture unit and method for setting a beam current in a particle beam apparatus | CARL ZEISS MICROSCOPY GMBH |
11139141 | Systems and methods for thermally conditioning a wafer in a charged particle beam apparatus | ASML NETHERLANDS B.V. |
11139142 | High-resolution three-dimensional profiling of features in advanced semiconductor devices in a non-destructive manner using electron beam scanning electron microscopy | APPLIED MATERIALS, INC. |
11139143 | Spin polarimeter | HITACHI HIGH-TECH CORPORATION |
11139144 | Charged particle beam apparatus | HITACHI HIGH-TECH CORPORATION |
11139145 | Ion implantation system with mixture of arc chamber materials | ENTEGRIS, INC. |
11139146 | Set of aperture substrates for multiple beams and multi charged particle beam apparatus | NUFLARE TECHNOLOGY, INC. |
11139147 | Plasma processing apparatus | TOKYO ELECTRON LIMITED |
11139148 | Method and apparatus for changing the apparent source impedance of a generator | ADVANCED ENERGY INDUSTRIES, INC. |
11139149 | Gas injector | -- |
11139150 | Nozzle for multi-zone gas injection assembly | APPLIED MATERIALS, INC. |
11139151 | Micro-surface morphological matching for reactor components | INTEL CORPORATION |
11139152 | Substrate processing apparatus | PSK INC. |
11139153 | MCP assembly and charged particle detector | HAMAMATSU PHOTONICS K.K. |
11139154 | MALDI mass spectrometer and matrix observation device | SHIMADZU CORPORATION |
11139155 | Laser desorption/ionization method and mass spectrometry method | HAMAMATSU PHOTONICS K.K. |
11139156 | In vivo endoscopic tissue identification tool | MICROMASS UK LIMITED |
11139157 | Multiplexed inductive ionization systems and methods | PURDUE RESEARCH FOUNDATION |
11139158 | Mass spectrometer including a fixation band | SHIMADZU CORPORATION |
11139166 | Method for manufacturing sputtering target, method for forming oxide film, and transistor | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11139174 | Method for forming features of semiconductor structure having reduced end-to-end spacing | -- |
11139175 | Method of processing target object | TOKYO ELECTRON LIMITED |
11139187 | Adsorption device and transferring system having same | CENTURY TECHNOLOGY (SHENZHEN) CORPORATION LIMITED |
11139238 | High Q factor inductor structure | QORVO US, INC. |
11139254 | Semiconductor device and electronic device | FUJITSU LIMITED |
11139371 | Two-dimensional semiconductor with geometry structure and generating method thereof | -- |
11139414 | AlInGaN-based superluminescent diode | TOPGAN SP. Z O.O. |
11139423 | Methods for fabrication, manufacture and production of energy harvesting components and devices | FACE INTERNATIONAL CORPORATION |
11139433 | Tungstate ion solution and hybrid photovoltaic device | CENTRE NATIONAL DE LA RECHERCHE SCIENTIFIQUE |
11139442 | Nanopatch antenna outcoupling structure for use in OLEDs | UNIVERSAL DISPLAY CORPORATION |
11139504 | Lithium ion conductive crystal body and all-solid state lithium ion secondary battery | NATIONAL INSTITUTE OF ADVANCED INDUSTRIAL SCIENCE AND TECHNOLOGY |
11139530 | Space saving, modular, hot-pluggable power modules | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11139533 | Polyolefin micro-porous film, separator film for power-storage device, and power-storage device | UBE INDUSTRIES, LTD. |
11139544 | Electrically tunable radio-frequency components and circuits | NOKIA TECHNOLOGIES OY |
11139545 | Dielectric tuning element | NOKIA SHANGHAI BELL CO., LTD. |
11139546 | Dielectric filter, transceiver device, and base station | HUAWEI TECHNOLOGIES CO., LTD. |
11139547 | Tunable bandpass filter and method of forming the same | NEC CORPORATION |
11139548 | Dual-mode monoblock dielectric filter and control elements | THE CHINESE UNIVERSITY OF HONG KONG |
11139549 | Compact storable extendible member reflector | EAGLE TECHNOLOGY, LLC |
11139550 | Stack antenna structures and methods | TAOGLAS GROUP HOLDINGS LIMITED |
11139551 | Chip antenna module | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11139552 | Method of forming a semiconductor device | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11139553 | Technologies for a metal chassis for an electronic device | INTEL CORPORATION |
11139554 | Electronic device including antenna device | SAMSUNG ELECTRONICS CO., LTD. |
11139555 | Film antenna and display device including the same | DONGWOO FINE-CHEM CO., LTD |
11139556 | Antenna structure | -- |
11139557 | Antenna assembly for terminal with foldable screen and terminal | AAC TECHNOLOGIES PTE. LTD. |
11139558 | Antenna module and electronic device using the same | SAMSUNG ELECTRONICS CO., LTD. |
11139559 | Mobile device and antenna structure | -- |
11139560 | Base station antenna and end cover assembly as well as assembling method and disassembling method thereof | COMMSCOPE TECHNOLOGIES LLC |
11139561 | Fabric antenna | STIMWAVE TECHNOLOGIES INCORPORATED |
11139562 | Antenna device | -- |
11139563 | Antenna device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11139564 | Electronic device including antenna | SAMSUNG ELECTRONICS CO., LTD. |
11139565 | Electronic device including structure for securing coverage of antenna | SAMSUNG ELECTRONICS CO., LTD. |
11139566 | Electronic device | -- |
11139567 | Compact dual-band MIMO antenna and mobile terminal | AAC TECHNOLOGIES PTE. LTD. |
11139568 | Antenna isolation enhancement | INTEL CORPORATION |
11139569 | Antenna system, method and computer program product, with real time axial ratio polarization correction | ELTA SYSTEMS LTD. |
11139570 | Ultra-wideband circular beamformer | ROCKWELL COLLINS, INC. |
11139571 | Compact dual-band MIMO antenna | AAC TECHNOLOGIES PTE. LTD. |
11139572 | Feed apparatus, dual-band microwave antenna, and dual-band antenna device | HUAWEI TECHNOLOGIES CO., LTD. |
11139573 | Dual-band GPS/IFF antenna | BAE SYSTEMS INFORMATION AND ELECTRONIC SYSTEMS INTEGRATION INC. |
11139574 | Antennaless wireless device | IGNION, S.L. |
11139575 | Patch antenna with ferrite cores | THE BOARD OF TRUSTEES OF THE UNIVERSITY OF ALABAMA |
11139576 | Planar multipole antenna | CHUNG ANG UNIVERSITY INDUSTRY ACADEMIC COOPERATION FOUNDATION |
11139577 | Wireless electronic device | -- |
11139578 | Electrically-controlled switching multi-polarization horn antenna | BEIJING |
11139579 | Light-transmissive antenna, window affixing type communication module, and periphery monitoring unit | DENSO CORPORATION |
11139580 | Multi-antenna system and methods for use therewith | AT&T INTELLECTUAL PROPERTY I, L.P. |
11139581 | Signaling device including a slot transition between a substrate integrated waveguide and a signal generator | APTIV TECHNOLOGIES LIMITED |
11139582 | High efficiency compact slotted antenna with a ground plane | 3D GLASS SOLUTIONS, INC. |
11139583 | Dielectric lens and multi-beam antenna | HUAWEI TECHNOLOGIES CO., LTD. |
11139584 | Antenna feeder assembly of multi-band antenna and multi-band antenna | HUAWEI TECHNOLOGIES CO., LTD. |
11139585 | Phased array antenna | MITSUBISHI ELECTRIC CORPORATION |
11139586 | Antenna comprising a plurality of individual radiators | LISA DRÄXLMAIER GMBH |
11139587 | Active distributed antenna system with frequency translation and switch matrix | ELECTRONIC DESIGN & DEVELOPMENT, CORP. |
11139588 | Electronic device antenna arrays mounted against a dielectric layer | APPLE INC. |
11139589 | Polarization uniqueness manipulation apparatus (PUMA) | THE BOEING COMPANY |
11139590 | Adaptive single-element antenna apparatus and method of operating same | U-BLOX AG |
11139591 | Conductive member | SUMITOMO WIRING SYSTEMS, LTD. |
11139592 | Terminal connecting method | YAZAKI CORPORATION |
11139593 | Connecting structure and method for manufacturing electrical connector | -- |
11139594 | Connectors for electro-optic displays | E INK CORPORATION |
11139595 | Spring loaded locking clamp for jumper cables | -- |
11139596 | Quick change battery clamp | -- |
11139597 | Connector assembly | -- |
11139598 | Card edge connector | FOXCONN (KUNSHAN) COMPUTER CONNECTOR CO., LTD. |
11139599 | Electrical connector and electrical connector assembly with the same | ALLTOP ELECTRONICS (SUZHOU) LTD. |
11139600 | High performance contact element | TE CONNECTIVITY SERVICES GMBH |
11139601 | Board-to-board connector | -- |
11139602 | Electrical connector and assembly method thereof | -- |
11139603 | Connectors with spring contacts for electrical stimulation systems and methods of making and using same | BOSTON SCIENTIFIC NEUROMODULATION CORPORATION |
11139604 | Electrical connector | -- |
11139605 | Plug connector with latch hooks | HIRSCHMANN AUTOMOTIVE GMBH |
11139606 | Connector with a press-fitting nut accommodation portion | SUMITOMO WIRING SYSTEMS, LTD. |
11139607 | Connector with inner and outer housings and a cover, with the cover and the inner housing being independently separable from the outer housing while the connector is connected to a mating connector | SUMITOMO WIRING SYSTEMS, LTD. |
11139608 | Connector | SUMITOMO WIRING SYSTEMS, LTD. |
11139609 | Modular connector for circuit boards | HARTING ELECTRONICS GMBH |
11139610 | Electrical connector module | -- |
11139611 | Electrical receptacle with drain-through feature | -- |
11139612 | Connector assembly with connector position assurance member | HYUNDAI MOTOR COMPANY |
11139613 | Connector assembly with automatic connection completion detection structure | SUMITOMO WIRING SYSTEMS, LTD. |
11139614 | Auto-eject apparatus for charging cord | -- |
11139615 | Connector | YAZAKI CORPORATION |
11139616 | Charging connector | JAPAN AVIATION ELECTRONICS INDUSTRY, LIMITED |
11139617 | Electrical connector | -- |
11139618 | Electrical module | -- |
11139619 | Overmolded lead frame providing contact support and impedance matching properties | SAMTEC, INC. |
11139620 | Overmolded lead frame providing contact support and impedance matching properties | SAMTEC, INC. |
11139621 | Plug retention system | -- |
11139622 | Hybrid busbar for a busbar system | WOEHNER BESITZ GMBH |
11139623 | Splice connector assembly | LEAR CORPORATION |
11139624 | Twisted pair cable joint connector | AUTONETWORKS TECHNOLOGIES, LTD. |
11139625 | Discharge device for discharging electric currents | SCHUNK CARBON TECHNOLOGY GMBH |
11139626 | Thermocompression apparatus and method of manufacturing electronic device | TDK CORPORATION |
11139627 | Cable processing apparatus | KOMAX HOLDING AG |
11139628 | Electrical connector and method of manufacturing the same | -- |
11139629 | Method for manufacturing electrically conductive adhesive film, electrically conductive adhesive film, and method for manufacturing connector | DEXERIALS CORPORATION |
11139630 | Methods and materials for conduit apparatus and electrical enclosures | ELECTRA SHIELD, INC. |
11139631 | Use of positive dispersion mirrors to maintain beam quality during chirped pulse amplification in a Yb:KYW regenerative amplifier | FEMTO BLANC INC. |
11139632 | Optical module and light output device | FURUKAWA ELECTRIC CO., LTD. |
11139633 | In-situ fiber characterization using nonlinear skirt measurement | CIENA CORPORATION |
11139634 | Facet on a gallium and nitrogen containing laser diode | KYOCERA SLD LASER, INC. |
11139635 | Quantum well placement in a tunable VCSEL | EXCELITAS TECHNOLOGIES CORP. |
11139636 | Electrically pumped photonic-crystal surface-emitting lasers with optical detector | -- |
11139637 | Manufacturable RGB laser diode source and system | KYOCERA SLD LASER, INC. |
11139638 | Static charge eliminator and static charge elimination method | MITSUBISHI ELECTRIC CORPORATION |
11139639 | Modular power distribution assembly and method of assembly thereof | GE AVIATION SYSTEMS LIMITED |
11139640 | Breaker plug | -- |
11139644 | Passive-intermodulation-mitigating mounting assembly | SABRE COMMUNICATIONS CORPORATION |
11139645 | Cable management assembly | -- |
11139646 | Conduction system for vehicle | YAZAKI CORPORATION |
11139650 | Primary node of seafloor observatory network based on constant current power supply | INSTITUTE OF ACOUSTICS, CHINESE ACADEMY OF SCIENCES |
11139671 | Wireless charging system including boost converter and transmission coil structure | 3M INNOVATIVE PROPERTIES COMPANY |
11139686 | System and method for wireless transmission of power | -- |
11139689 | Power transmitting antenna and power transmitting device applying the same | SILERGY SEMICONDUCTOR TECHNOLOGY (HANGZHOU) LTD |
11139694 | Polarization adaptive wireless power transmission system | OSSIA INC. |
11139695 | Flat panel substrate with integrated antennas and wireless power transmission system | OSSIA INC. |
11139696 | Wireless power supply device | SMK CORPORATION |
11139697 | Foreign object detection method and power system capable of detecting foreign object | -- |
11139720 | Drive apparatus | NIDEC CORPORATION |
11139724 | Electric motor with brushes arranged at predetermined positions | DENSO CORPORATION |
11139732 | Power electronic module | SAFRAN |
11139747 | Busbar power supply apparatus | WOEHNER GMBH & CO. KG ELEKTROTECHNISCHE SYSTEME |
11139748 | Power module, power converter device, and electrically powered vehicle | HITACHI ASTEMO, LTD. |
11139780 | Envelope tracking apparatus | QORVO US, INC. |
11139851 | Frequency independence for synthesis within programmable non-reciprocal network circuit | THE BOARD OF TRUSTEES OF THE UNIVERSITY OF ILLINOIS |
11139860 | Resonant circuit dynamic optimization system and method | TRIUNE IP LLC |
11139867 | Antenna displacement correction method and device for OAM multiplexing communication system | NIPPON TELEGRAPH AND TELEPHONE CORPORATION |
11139885 | Network creation process for internet supply to the earth's complete land surface, the payload and aircraft enabling it's implementation | PRODOSE |
11139903 | Microwave combiner and distributer for quantum signals using frequency-division multiplexing | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11140024 | Monitoring and management method for complete machine cabinet server | INSPUR ELECTRONIC INFORMATION INDUSTRY CO., LTD |
11140252 | Flexible display device | LG ELECTRONICS INC. |
11140353 | Media device with on-board patch antenna with dual antenna feeds | AMAZON TECHNOLOGIES, INC. |
11140368 | Custom beamforming during a vertical blanking interval | ADVANCED MICRO DEVICES, INC. |
11140467 | Display apparatus | LG DISPLAY CO., LTD. |
11140496 | Ear-worn electronic device incorporating an integrated battery/antenna module | STARKEY LABORATORIES, INC. |
11140723 | Patch on interposer package with wireless communication interface | INTEL CORPORATION |
11140752 | Induction heating device having reduced number of wire harnesses | LG ELECTRONICS INC. |
11140766 | Plasma control system and plasma control system program | NISSIN ELECTRIC CO., LTD. |
11140767 | Conductive thermal management architecture for electronic modules in a two-card assembly | HAMILTON SUNDSTRAND CORPORATION |
11140768 | Component carrier with high passive intermodulation performance | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
11140770 | Printed circuit board assembly | INTEL CORPORATION |
11140774 | Printed circuit board and method for processing a printed circuit board | VITESCO TECHNOLOGIES GMBH |
11140775 | Circuit board and display system | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11140780 | Networking cards with increased performance | MELLANOX TECHNOLOGIES, LTD. |
11140781 | Electronic device | TDK CORPORATION |
11140787 | Connecting an electronic component to an interactive textile | GOOGLE LLC |
11140788 | Component mounting method, component mounting system, and manufacturing method of component mounting board | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11140789 | Integrated frame assembly for mobile devices | MICROSOFT TECHNOLOGY LICENSING, LLC |
11140790 | Display device | SAMSUNG DISPLAY CO., LTD. |
11140791 | Electronic device including sensor mounted below display panel | SAMSUNG ELECTRONICS CO., LTD. |
11140792 | Display device | -- |
11140793 | Electronic module with improved moisture protection | SAFRAN ELECTRONICS & DEFENSE |
11140794 | Portable electronic apparatus, flexible display device thereof and driving mechanism of flexible display screen | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
11140795 | Adapter for installing electrotechnical components | EATON INTELLIGENT POWER LIMITED |
11140796 | Electronic device storage rack and rack-mounted type electronic device | NEC CORPORATION |
11140797 | Apparatus for enhancing cooling of an electronic computing device and method of use | -- |
11140798 | Ventilation control apparatus and method | SCHROFF TECHNOLOGIES INTERNATIONAL, INC. |
11140799 | InRow liquid cooling module | BAIDU USA LLC |
11140800 | Strip-based ventilation solution for electronic equipment | CISCO TECHNOLOGY, INC. |
11140801 | Data input and control devices of an electronic component mounting machine | FUJI CORPORATION |
11140881 | Illumination system for cultivation of aquatic animals | SIGNIFY HOLDING B.V. |
11140928 | Connection between two intelligent pieces of clothing | ADAPTIVE REGELSYSTEME GESELLSCHAFT M.B.H |
11140979 | Variable height support assembly for an electronic device with a monitor | SE-KURE CONTROLS, INC. |
11140980 | Low voltage power system for a merchandise display | RTC INDUSTRIES, INC. |
11141217 | Probe applicator | ERBE ELEKTROMEDIZIN GMBH |
11141567 | Electrical arrangements for sensor assemblies in electromagnetic navigation systems | BOSTON SCIENTIFIC SCIMED, INC. |
11141740 | Aviation proactive air and surface purification component | AVIATION CLEAN AIR LLC |
11141788 | Method for manufacturing single-pole only usable magnet | DAE HAN SPECIAL METAL IND CO., LTD. |
11141902 | Gate-all-around fin device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11141928 | System for mounting objects to polymeric membranes | -- |
11141942 | Multi-material stretchable optical, electronic and optoelectronic fibers and ribbons composites via thermal drawing | ECOLE POLYTECHNIQUE FÉDÉRALE DE LAUSANNE (EPFL) |
11142028 | Electronic unit for measuring operating parameters of a vehicle wheel | CONTINENTAL AUTOMOTIVE FRANCE |
11142072 | Electrified vehicle with electrical power outlet | FORD GLOBAL TECHNOLOGIES, LLC |
11142080 | Method for controlling non-contact electric power supply system, and non-contact electric power supply system | NISSAN MOTOR CO., LTD. |
11142084 | Extended-range positioning system based on foreign-object detection | WITRICITY CORPORATION |
11142138 | Printed circuit board and light guide for electronics assembly | DUS OPERATING INC. |
11142313 | Flight control device and unmanned aerial vehicle having the same | SZ DJI TECHNOLOGY CO., LTD. |
11142340 | System and method for radar sensing runway approach and taxi lights | ROCKWELL COLLINS, INC. |
11142618 | Biaxially stretched polypropylene film, metallized film for capacitors, and capacitor | OJI HOLDINGS CORPORATION |
11142635 | Stabilized moisture-curable polymeric compositions | DOW GLOBAL TECHNOLOGIES LLC |
11142651 | Inorganic passive coatings for atomic vapor cells | HRL LABORATORIES, LLC |
11142693 | Nanoplatelet | SAMSUNG ELECTRONICS CO., LTD. |
11142812 | Multicaloric MnNiSi alloys | BOARD OF TRUSTEES OF SOUTHERN ILLINOIS UNIVERSITY |
11142819 | Sputtering target | SUMITOMO CHEMICAL COMPANY, LIMITED |
11142829 | Semiconductor manufacturing apparatus member, and display manufacturing apparatus and semiconductor manufacturing apparatus comprising semiconductor manufacturing apparatus member | TOTO LTD. |
11142839 | Silver-plated product and method for producing same | DOWA METALTECH CO., LTD. |
11142845 | Composite structure and dispersion | -- |
11142972 | Electric driven hydraulic fracking operation | NATIONAL SERVICE ALLIANCE—HOUSTON LLC |
11143130 | Injection controller | DENSO CORPORATION |
11143268 | Vibration isolation system with thermal growth compensation | RAYTHEON COMPANY |
11143343 | Adjustable transition coupler | BRIDGEPORT FITTINGS, LLC |
11143371 | Mast, lighting device, and street light pole | SIGNIFY HOLDING B.V. |
11143372 | Safety device cabinet with a safety switch having an integrated light | -- |
11143393 | Stacked circuit boards within a lighting device | SIGNIFY HOLDING B.V. |
11143412 | Knob assembly for cook top | LG ELECTRONICS INC. |
11143430 | Using liquid to air membrane energy exchanger for liquid cooling | NORTEK AIR SOLUTIONS CANADA, INC. |
11143526 | Capacitive sensor | ALPS ALPINE CO., LTD. |
11143588 | Open path gas detector with synchronous flash detection | MSA TECHNOLOGY, LLC |
11143637 | Rapid analysis and identification of lipids from liquid chromatography-mass spectrometry (LC-MS) data | AGENCY FOR SCIENCE, TECHNOLOGY AND RESEARCH |
11143671 | Methods and devices configured to operated scanning tunneling microscopes using out-of-bandwidth frequency components added to bias voltage and related software | BOARD OF REGENTS, THE UNIVERSITY OF TEXAS SYSTEM |
11143676 | Element of an electric equipment casing, in particular comprising U-shaped magnetic parts | VALEO SIEMENS EAUTOMOTIVE FRANCE SAS |
11143682 | System and method for communicating externally from an electromagnetic interference suppressed volume | DELL PRODUCTS L.P. |
11143718 | Monitoring systems and methods for estimating thermal-mechanical fatigue in an electrical fuse | EATON INTELLIGENT POWER LIMITED |
11143740 | Vehicle radar system comprising an auxiliary power source | SAAB AB |
11143741 | Radar system | DENSO CORPORATION |
11143778 | Cable end sensing apparatus and method | TE CONNECTIVITY SERVICES GMBH |
11143818 | Multi-mode interference (MMI) based laser devices for heterogeneous platforms | INTEL CORPORATION |
11143823 | Fiber optic slip ring with through bore | PRINCETEL, INC. |
11143830 | Connector | DONGGUAN LUXSHARE TECHNOLOGIES CO., LTD |
11143939 | Accessory, image pickup apparatus on which same is mountable, and camera system | CANON KABUSHIKI KAISHA |
11143942 | Projection apparatus | -- |
11144089 | Electronic device | -- |
11144092 | Computing device connection mechanism | MICROSOFT TECHNOLOGY LICENSING, LLC |
11144101 | Electronic device | HUAWEI TECHNOLOGIES CO., LTD. |
11144103 | Portable electronic device and method for expanding inner space of main body of the same | SAMSUNG ELECTRONICS CO., LTD. |
11144135 | Mouse button with replaceable lever mechanism | RAZER (ASIA-PACIFIC) PTE. LTD. |
11144136 | Mouse capable of receiving stylus pen | -- |
11145089 | Method for measuring antenna downtilt based on multi-scale detection algorithm | WUYI UNIVERSITY |
11145287 | Systems and methods for using dynamic noise generation to enhance user acoustic experience | DELL PRODUCTS L.P. |
11145431 | System and method for nanoscale X-ray imaging of biological specimen | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11145432 | Conductor, conductive composition and laminate | MITSUBISHI CHEMICAL CORPORATION |
11145433 | Flexible cable with structurally enhanced conductors | SOUTHWIRE COMPANY, LLC |
11145434 | Low voltage power conductor and system | ERICO INTERNATIONAL CORPORATION |
11145435 | Systems and devices for an RF signal carrying cable of a multi-pack launcher system | AEROVIRONMENT, INC. |
11145436 | Assembled wire, method of manufacturing assembled wire and segment coil | ESSEX FURUKAWA MAGNET WIRE JAPAN COL, LTD. |
11145437 | Shielded flat cable | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11145438 | Visually identifiable electrical structural wiring system | NORTHERN CABLES INC. |
11145439 | Display device, and insertion and plugging protection device | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
11145440 | Method of testing a fire resistant coaxial cable | AMERICAN FIRE WIRE, INC. |
11145441 | Electrical isolator | CROMPTON TECHNOLOGY GROUP, LTD. |
11145442 | Externally controlled thermal trip device, method and application for varistors | SHANGHAI ASP LIGHTING PROTECTIVE TECHNOLOGY CO., LTD. |
11145443 | R-T-B-based magnet material alloy and method for producing the same | SANTOKU CORPORATION |
11145444 | R-T-B-based sintered magnet | TDK CORPORATION |
11145445 | Bulk anisotropic exchange-spring magnets and method of producing the same | UNITED STATES OF AMERICA AS REPRESENTED BY THE SECRETARY OF THE AIR FORCE |
11145446 | Grain-oriented electrical steel sheet | NIPPON STEEL CORPORATION |
11145447 | Magneto-rheological elastomer | HYUNDAI MOTOR COMPANY |
11145448 | Soft magnetic alloy powder, dust core, and magnetic component | TDK CORPORATION |
11145449 | Reactor | TAMURA CORPORATION |
11145450 | Magnetic element | NTN CORPORATION |
11145451 | Reactor | AUTONETWORKS TECHNOLOGIES, LTD. |
11145452 | Inductor and method for manufacturing the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11145453 | Coil component | MURATA MANUFACTURING CO., LTD. |
11145454 | Electrical transformer with windings | SAFRAN ELECTRONICS & DEFENSE |
11145455 | Transformer and an associated method thereof | GENERAL ELECTRIC COMPANY |
11145456 | Energy transformers for non-contact energy transmission and traction accumulator charging system | MAHLE INTERNATIONAL GMBH |
11145457 | Coil component and method for manufacturing the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11145458 | Multi-layer ceramic electronic component | TAIYO YUDEN CO., LTD. |
11145460 | Multilayer ceramic electronic component | TAIYO YUDEN CO., LTD. |
11145461 | Multilayer component and use of outer electrodes | TDK ELECTRONICS AG |
11145462 | Capacitor component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11145463 | Multilayer capacitor | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11145464 | Multilayer ceramic capacitor and method of manufacturing the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11145465 | Capacitor component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11145466 | Perovskite-containing devices and methods of making the same | ALLIANCE FOR SUSTAINABLE ENERGY, LLC |
11145467 | Solid electrolytic capacitor and method for manufacturing solid electrolytic capacitor | MURATA MANUFACTURING CO., LTD. |
11145468 | Electrolytic capacitor | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11145469 | Process for producing a porous carbon electrode | VITO NV |
11145470 | Motor-driven vacuum circuit breaker | ABB SCHWEIZ AG |
11145471 | Arrangement and method for driving a movable contact of a vacuum interrupter in a high-voltage circuit breaker | SIEMENS AKTIENGESELLSCHAFT |
11145472 | Electrical contact system | TYCO ELECTRONICS (SHENZHEN) CO. LTD |
11145473 | Breaker for high D.C. current or voltage applications, for instance industrial and/or railways applications | MICROELECTRICA SCIENTIFICA S.P.A. |
11145474 | Keyboard device and key structure thereof | -- |
11145475 | Keyboard device and key structure thereof | -- |
11145476 | Electric high-voltage circuit breaker | GENERAL ELECTRIC TECHNOLOGY GMBH |
11145477 | Short-circuiting device for use in low-voltage and medium-voltage systems for the protection of property and persons | DEHN SE + CO KG |
11145478 | Rivet-type contact and method for manufacturing the same | TANAKA KIKINZOKU KOGYO K.K. |
11145479 | Electrical switchgear | EATON INTELLIGENT POWER LIMITED |
11145480 | Fuse device | DEXERIALS CORPORATION |
11145481 | X-ray generation using electron beam | -- |
11145482 | Target for a radiation source, radiation source for generating invasive electromagnetic radiation, method of operating a radiation source, and method for producing a target for a radiation source | CARL ZEISS INDUSTRIELLE MESSTECHNIK GMBH |
11145483 | Charged particle beam writing apparatus, method of adjusting beam incident angle to target object surface, and charged particle beam writing method | NUFLARE TECHNOLOGY, INC. |
11145484 | Gas cluster ion beam apparatus and analyzing apparatus | ULVAC-PHI, INC |
11145485 | Multiple electron beams irradiation apparatus | NUFLARE TECHNOLOGY, INC. |
11145486 | Beam profile determination method and ion beam irradiation apparatus | NISSIN ION EQUIPMENT CO., LTD. |
11145487 | Scanning electron microscope with composite detection system and specimen detection method | FOCUS-EBEAM TECHNOLOGY (BEIJING) CO., LTD. |
11145488 | Ion implanter and ion implantation method | SUMITOMO HEAVY INDUSTRIES ION TECHNOLOGY CO., LTD. |
11145489 | Multi-charged-particle beam writing apparatus and multi-charged-particle beam writing method | NUFLARE TECHNOLOGY, INC. |
11145490 | Plasma processing method | TOKYO ELECTRON LIMITED |
11145491 | Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium | KOKUSAI ELECTRIC CORPORATION |
11145492 | Local dry etching apparatus | SPEEDFAM CO., LTD. |
11145493 | Plasma etching apparatus and plasma etching method | TOKYO ELECTRON LIMITED |
11145494 | Plasma processing apparatus | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11145495 | Vacuum treatment chamber and method of manufacturing a vacuum treated plate-shaped substrate | EVATEC AG |
11145496 | System for using O-rings to apply holding forces | VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC. |
11145497 | Combined optical and mass spectral tissue identification probe | MICROMASS UK LIMITED |
11145498 | Tandem mass spectrometry data processing system | SHIMADZU CORPORATION |
11145499 | Mass spectrometric sample preparation for matrix-assisted ionization | -- |
11145500 | Time of flight mass spectrometer coupled to a core sample source | ZETEO TECH, INC. |
11145501 | Thermal management for instruments including a plasma source | PERKINELMER, INC. |
11145502 | Emission current measurement for superior instrument-to-instrument repeatability | THERMO FINNIGAN LLC |
11145503 | Two-dimensional fourier transform mass analysis in an electrostatic linear ion trap | DH TECHNOLOGIES DEVELOPMENT PTE. LTD. |
11145505 | Method of manufacturing semiconductor device, substrate processing method, substrate processing apparatus, and recording medium | KOKUSAI ELECTRIC CORPORATION |
11145517 | Gas curtain for semiconductor manufacturing system | -- |
11145532 | Electrostatic chuck | TOTO LTD. |
11145534 | Support unit and substrate treating apparatus comprising the same | SEMES CO., LTD. |
11145565 | Method of fabricating a chip package module with improve heat dissipation effect | -- |
11145568 | Magnetically affixed heat spreader | INTEL CORPORATION |
11145571 | Heat transfer for power modules | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11145610 | Chip package structure having at least one chip and at least one thermally conductive element and manufacturing method thereof | -- |
11145617 | Semiconductor structure | -- |
11145711 | Capacitor and method for manufacturing capacitor | MURATA MANUFACTURING CO., LTD. |
11145777 | Optical sensor module, method for manufacturing the same and frame structure thereof | LITE-ON OPTO TECHNOLOGY (CHANGZHOU) CO., LTD. |
11145796 | Compact opto-electronic modules and fabrication methods for such modules | AMS SENSORS SINGAPORE PTE. LTD. |
11145807 | Electronic device | SK HYNIX INC. |
11145834 | High efficiency multi-junction small-molecule photovoltaic devices | THE REGENTS OF THE UNIVERSITY OF MICHIGAN |
11145857 | High capacity polymer cathode and high energy density rechargeable cell comprising the cathode | IONIC MATERIALS, INC. |
11145864 | Binder composition for non-aqueous secondary battery electrode, conductive material paste composition for non-aqueous secondary battery electrode, slurry composition for non-aqueous secondary battery electrode, electrode for non-aqueous secondary battery, and non-aqueous secondary battery | ZEON CORPORATION |
11145868 | Electrode piece, cell and energy storage device | NINGDE AMPEREX TECHNOLOGY LIMITED |
11145898 | Composite electrolytes | QUANTUMSCAPE BATTERY, INC. |
11145922 | Solid-state battery having a capacitor-assisted interlayer | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
11145926 | Battery block for vehicle | KOREA ELECTRIC TERMINAL CO., LTD. |
11145944 | Protection device and battery | NINGDE AMPEREX TECHNOLOGY LIMITED |
11145945 | Dielectric filter | SAMSUNG ELECTRONICS CO., LTD. |
11145946 | Low frequency and direct current signal blocking device and antenna | COMMSCOPE TECHNOLOGIES LLC |
11145947 | Miniature RF and microwave components and methods for fabricating such components | MICROFABRICA INC. |
11145948 | Apparatus and method for launching electromagnetic waves onto a cable by using a tapered insulation layer with a slit | AT&T INTELLECTUAL PROPERTY I, L.P. |
11145949 | Antenna apparatus and electronic device comprising same | SAMSUNG ELECTRONICS CO., LTD. |
11145950 | Electronic timepiece | SEIKO EPSON CORPORATION |
11145951 | Antenna device and electronic device having same | SAMSUNG ELECTRONICS CO., LTD. |
11145952 | Advanced communications array | RAYTHEON COMPANY |
11145953 | Antenna device and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11145954 | Antenna for a communication device | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11145955 | Wireless device including a multiband antenna system | IGNION, S.L. |
11145956 | Dual-polarized millimeter wave antenna unit, antenna system, and mobile terminal | SHENZHEN SUNWAY COMMUNICATION CO., LTD. |
11145957 | Antenna, antenna control method, and terminal | HUAWEI TECHNOLOGIES CO., LTD. |
11145958 | Mobile device and manufacturing method thereof | -- |
11145959 | Accessory configuration for enhancing wireless signal reception of a user device | ZEBRA TECHNOLOGIES CORPORATION |
11145960 | Tip clearance sensor system with an integral patch antenna array | ROLLS-ROYCE NORTH AMERICAN TECHNOLOGIES INC. |
11145961 | Vehicle radar signaling device including a substrate integrated waveguide | APTIV TECHNOLOGIES LIMITED |
11145962 | Conformal antennas formed at a surface of a vehicle | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
11145963 | Antenna, antenna control method and device, and terminal | ZTE CORPORATION |
11145964 | Radar sensor cover arrangement | ROBERT BOSCH GMBH |
11145965 | Apparatus for isolating lighting or other direct current surge between radio antenna and communications equipment | RED CLOUD INC. |
11145966 | Over-molded thin film antenna device | PCTEL, INC. |
11145967 | Antenna system | -- |
11145968 | Array antenna and sector antenna | NIHON DENGYO KOSAKU CO., LTD. |
11145969 | Structure, antenna structure, and radio wave shielding structure including transparent conductor | NIHON DENGYO KOSAKU CO., LTD. |
11145970 | Antenna device | SAMSUNG ELECTRONICS CO., LTD. |
11145971 | Poynting vector synthesis via coaxially rotating electric and magnetic dipoles | ROCKWELL COLLINS, INC. |
11145972 | Antenna oscillator and antenna | SHENZHEN ANTOP TECHNOLOGY CO., LTD. |
11145973 | Planar end-fire pattern reconfigurable antenna | SOUTH CHINA UNIVERSITY OF TECHNOLOGY |
11145974 | Reducing noise in phased-array signals from receivers located at different locations | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11145976 | Dynamic effective radiated power (ERP) adjustment | GOGO BUSINESS AVIATION LLC |
11145977 | Interlocking modular beamformer | RAYTHEON COMPANY |
11145978 | Phased array antennas having multi-level phase shifters | COMMSCOPE TECHNOLOGIES LLC |
11145979 | High gain and large bandwidth antenna incorporating a built-in differential feeding scheme | SAMSUNG ELECTRONICS CO., LTD. |
11145980 | Multiband antenna | HUAWEI TECHNOLOGIES CO., LTD. |
11145981 | Wire identification sensor | THE GOVERNMENT OF THE UNITED STATES, AS REPRESENTED BY THE SECRETARY OF THE ARMY |
11145982 | Antenna loaded with electromechanical resonators | HRL LABORATORIES, LLC |
11145983 | Substrate-integrated-waveguide-fed cavity-backed dual-polarized patch antenna | -- |
11145984 | Low-profile folded metal antenna | THOMSON LICENSING |
11145985 | Electronic device | -- |
11145986 | Lens-enhanced communication device | SILICON VALLEY BANK |
11145987 | Ultralight artificial medium multilayer cylindrical lens | XIAN XIAO S'ANTENNA TECHNOLOGY CO., LTD. |
11145988 | Electromagnetic wave absorber | NITTO DENKO CORPORATION |
11145989 | Controllable wave-absorbing metamaterial | KUANG-CHI CUTTING EDGE TECHNOLOGY LTD. |
11145990 | Antenna structure having multiple operating frequency bands | -- |
11145991 | Systems and methods for phase-coincidential dual-polarized wideband antenna arrays | ROCKWELL COLLINS, INC. |
11145992 | Antenna structure having plural slits arranged at predetermined interval on conductive substrate and another slit extending to space between slits, and electronic device including antenna structure | SAMSUNG ELECTRONICS CO., LTD. |
11145993 | Antenna module and terminal thereof | ELECTRIC CONNECTOR TECHNOLOGY CO., LTD. |
11145994 | Low cost high performance multiband cellular antenna with cloaked monolithic metal dipole | JOHN MEZZALINGUA ASSOCIATES, LLC |
11145995 | Electrical contact element and method of producing a hard-soldered, electrically conductive connection to a mating contact by means of a pressed-in soldering body made from hard solder | TE CONNECTIVITY GERMANY GMBH |
11145996 | Electrode connection structure and electrode connection method | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11145997 | Connection terminal | XIAMEN GHGM ELECTRIC CO., LTD. |
11145998 | Terminal clamp without housing | BJB GMBH & CO. KG |
11145999 | Electrical connector | YOKOWO CO., LTD. |
11146000 | Electrical connector | -- |
11146001 | Press-fit terminal and method for manufacturing press-fit terminal | DAI-ICHI SEIKO CO., LTD. |
11146002 | Direct-attach connector | SAMTEC, INC. |
11146003 | Pluggable LGA socket for high density interconnects | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11146004 | Connector assembly | JAPAN AVIATION ELECTRONICS INDUSTRY, LTD. |
11146005 | Surface mount connector | XIAMEN GHGM ELECTRIC CO., LTD. |
11146006 | High-power board-to-board floating connector | -- |
11146007 | Electrical connector and method for manufacturing the same | -- |
11146009 | Automatic terminal fitting device for a four-pin electrical connector | DONGGUAN UNIVERSITY OF TECHNOLOGY |
11146010 | Overmolded contact assembly | TE CONNECTIVITY SERVICES GMBH |
11146011 | Electrical outlet plug retention device | -- |
11146012 | Power connector having interlock function and power connector device using power connector | HIROSE ELECTRIC CO., LTD. |
11146013 | Input/output connector and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11146014 | Electrical connector with external load bar, and method of its use | -- |
11146015 | Coaxial connector manufactured with minimum protective metal coating treatment | MOLEX, LLC |
11146016 | Connector | YAZAKI CORPORATION |
11146017 | Connector | YAZAKI CORPORATION |
11146018 | Conductor connection terminal | WAGO VERWALTUNGSGESELLSCHAFT MBH |
11146019 | Sliding electrical outlet assembly | -- |
11146020 | Wire harness including a connector holder | YAZAKI CORPORATION |
11146022 | Combination loadbreak and deadbreak temporary grounding device | -- |
11146023 | Connector connection structure and connector | YAZAKI CORPORATION |
11146024 | Electrical connector | MOLEX, LLC |
11146025 | Compact electrical connector | -- |
11146026 | Electrical connector having shielding function | DONGGUAN WAY WAY ELECTRONIC TECHNOLOGY CO., LTD |
11146027 | Electrical receptacle connector | -- |
11146028 | Protector-equipped wire harness | SUMITOMO WIRING SYSTEMS, LTD. |
11146029 | Plug holder for installing plug end | BRUNSWICK CORPORATION |
11146030 | Techniques for integrating wireless functionality in a power outlet | SAPIENT INDUSTRIES, INC. |
11146031 | Expansion device | -- |
11146032 | Insulation machining in a cable joint | NKT HV CABLES AB |
11146033 | Multicore cable manufacturing method | YAZAKI CORPORATION |
11146034 | Laser oscillator unit and laser machining device | PANASONIC INDUSTRIAL DEVICES SUNZ CO., LTD. |
11146035 | Active fiber package | ELBIT SYSTEMS ELECTRO-OPTICS—ELOP LTD. |
11146036 | Laser clock signal generators | TRUMPF LASER GMBH |
11146038 | Semiconductor laser and fabrication method thereof | CHANGCHUN INSTITUTE OF OPTICS, FINE MECHANICS AND PHYSICS, CHINESE ACADEMY OF SCIENCES |
11146039 | Temperature controlled multi-channel transmitter optical subassembly and transceiver module including same | APPLIED OPTOELECTRONICS, INC. |
11146040 | Semiconductor multilayer film reflecting mirror and vertical cavity light-emitting element | MEIJO UNIVERSITY |
11146041 | Spark plug that has an insulator less likely to be damaged | NGK SPARK PLUG CO., LTD. |
11146042 | Spark plug inspection method and spark plug manufacturing method | NGK SPARK PLUG CO., LTD. |
11146043 | Switching apparatus | GENERAL ELECTRIC TECHNOLOGY GMBH |
11146048 | Service entrance head and methods | -- |
11146050 | Apparatus, systems, and methods for increasing the lifespan of welding cable covers | ILLINOIS TOOL WORKS INC. |
11146053 | Bushing for a transformer | POWER HV INC. |
11146054 | System and method for short detection in a computing device | DELL PRODUCTS L.P. |
11146055 | Method of coordinating and incorporating an electrical transformer station breaker's low-set relay with a recloser in an electric power distribution line with a fuse-saving scheme | -- |
11146061 | Overvoltage protection device with thermal overload protection device | PHOENIX CONTACT GMBH & CO. KG |
11146083 | Furniture-mounted charging station | -- |
11146084 | Car charger with cable and LED activated when devices are connected to connectors | SUPERIOR COMMUNICATIONS, INC. |
11146092 | Wireless power transmitting apparatus and wireless power receiving apparatus | SCRAMOGE TECHNOLOGY LIMITED |
11146099 | Two-tier battery solution for data center backup | GOOGLE LLC |
11146105 | Device for obtaining electric energy and energy generator comprising such a device | WüRTH ELEKTRONIK EISOS GMBH & CO. KG |
11146107 | Wireless powering device and electrical apparatus | TYCO ELECTRONICS (SHANGHAI) CO. LTD. |
11146108 | Demodulation apparatus and method for wireless power transmitter | NUVOLTA TECHNOLOGIES (HEFEI) CO., LTD. |
11146113 | Generator unit for wireless power transfer | CALIFORNIA INSTITUTE OF TECHNOLOGY |
11146115 | Conformal wave selector | OSSIA INC. |
11146122 | Coil unit, wireless power transmission device, wireless power receiving device, and wireless power transmission system | TDK CORPORATION |
11146126 | Iron core and motor using iron core | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11146144 | Motor fan and guard for directing coolant air | NIDEC MOTOR CORPORATION |
11146148 | BLDC motor integrated with inverter | HANON SYSTEMS |
11146151 | Brush module | SCHUNK CARBON TECHNOLOGY GMBH |
11146152 | Brush of motor for automotive electronics | TRIS INC. |
11146187 | Inertial force imparting device and tactile sensation presenting device | SEIKO INSTRUMENTS INC. |
11146224 | Broadband power combining arrangement | COMET AG |
11146266 | Driving method and driving device using the same | -- |
11146303 | Antenna module | MURATA MANUFACTURING CO., LTD. |
11146312 | High reliability remote radio head communication device | NOKIA OF AMERICA CORPORATION |
11146319 | Antenna system and data processing method | XI'AN ZHONGXING NEW SOFTWARE CO. LTD. |
11146323 | Beamformer lattice for phased array antennas | SPACE EXPLORATION TECHNOLOGIES CORP. |
11146328 | Method and apparatus for avoiding exceeding interference limits for a non-geostationary satellite system | QUALCOMM INCORPORATED |
11146712 | Method for manufacturing camera modules and a camera module array | ROBERT BOSCH GMBH |
11146745 | Electronic devices having displays with infrared components behind the displays | ARRAY PHOTONICS, INC. |
11146866 | Modular assembly for providing telecommunications and internet services and method of installation thereof | XIBER, LLC |
11146891 | Microelectromechanical system coil assembly for reproducing audio signals | FACEBOOK TECHNOLOGIES, LLC |
11146950 | Method for consigning a function of an electrical device and electrical device implementing this method | SCHNEIDER ELECTRIC INDUSTRIES SAS |
11147150 | X-ray generator | ADAPTIX LTD |
11147151 | Rotary anode type X-ray tube apparatus comprising rotary anode driving device | SHIMADZU CORPORATION |
11147152 | Glass assembly, manufacturing method thereof, and glass window | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11147153 | Thermal conductivity for integrated circuit packaging | INTEL CORPORATION |
11147154 | Multi input and multi output antenna apparatus | KMW INC. |
11147156 | Composite member, heat radiation member, semiconductor device, and method of manufacturing composite member | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11147158 | Tamper-respondent assembly with interconnect characteristic(s) obscuring circuit layout | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11147159 | Display module and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11147163 | Semiconductor module unit | YAZAKI CORPORATION |
11147168 | Middle frame for supporting flexible display and a flexible display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11147169 | Impact absorbing element for display device | SHARP KABUSHIKI KAISHA |
11147170 | Display panels and display devices | KUNSHAN GO-VISIONOX OPTO-ELECTRONICS CO., LTD. |
11147171 | Electronic apparatus including flexible display | LG ELECTRONICS INC. |
11147172 | Display device | -- |
11147173 | Electronic device | DIAMOND ELECTRIC MFG. CO., LTD. |
11147174 | Protective enclosure for encasing an electronic device | OTTER PRODUCTS, LLC |
11147175 | Data storage system enclosure covers | SEAGATE TECHNOLOGY LLC |
11147176 | Protective cover assembly and protected electronic device | -- |
11147177 | Terminal control substrate which switches connection of a controller between a first module fixed to a base plate and an external connection terminal | KABUSHIKI KAISHA TOSHIBA |
11147178 | Pre-strain unit for a T-bolt | HENSOLDT SENSORS GMBH |
11147179 | Mounting system for mechanical-shock resistant printed circuit board (PCB) | AEROVIRONMENT, INC. |
11147180 | Waterproof casing | -- |
11147181 | Distributed modular input/output (I/O) system with redundant ethernet backplane networks for improved fault tolerance | ROCKWELL AUTOMATION TECHNOLOGIES, INC. |
11147182 | Anti-jamming chassis | HONGFUJIN PRECISION ELECTRONICS(TIANJIN)CO., LTD. |
11147183 | Flexible lever of external module at server | -- |
11147184 | Power distribution with batteries | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11147185 | Heat sink and electronic device | SONY INTERACTIVE ENTERTAINMENT INC. |
11147186 | Predictive fan control using workload profiles | DELL PRODUCTS L.P. |
11147187 | Radiative cooling device with liquid pump | NIDEC CORPORATION |
11147188 | Heat exchanger for cooling an electronic enclosure | PFANNENBERG GMBH |
11147189 | Heat sink for hand held equipment | IXI TECHNOLOGY HOLDINGS, INC. |
11147190 | Protective cover assembly for air-moving assembly | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11147191 | Liquid cooling with outdoor chiller rack system | -- |
11147192 | Fluid cooling system for an enclosed electronic package | BAIDU USA LLC |
11147193 | Vascular cooling system for electrical conductors | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
11147194 | System and method for managing electromagnetic interference | DELL PRODUCTS L.P. |
11147195 | Faraday enclosure apparatus and method of manufacturing same | MERAKAI, LLC |
11147196 | Air duct with EMI suppression | -- |
11147197 | Microelectronic package electrostatic discharge (ESD) protection | INTEL CORPORATION |
11147198 | Inspection apparatus, component mounting system, and component mounting method | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11147199 | Tape feeder | FUJI CORPORATION |
11147200 | Nozzle performance analytics | ROCKWELL AUTOMATION TECHNOLOGIES, INC. |
11147201 | Component mounting device | FUJI CORPORATION |
11147470 | Physiological signal wireless transmission system and the operating method thereof | -- |
11147492 | Non-invasive drawable electrode for neuromuscular electric stimulation and biological signal sensing | ECOLE POLYTECHNIQUE FEDERALE DE LAUSANNE (EPFL) |
11147620 | Microwave antenna with cooled hub | COVIDIEN LP |
11147719 | Incontinence detection systems for hospital beds | HILL-ROM SERVICES, INC. |
11147942 | Attenuated low-frequency stimulation (ALFS) of spinal energy points | KUNASAN LLC |
11147974 | 2.4 GHz radio antenna for implanted medical devices, and associated systems and methods | NEVRO CORP. |
11147977 | MLCC filter on an aimd circuit board conductively connected to a ground pin attached to a hermetic feedthrough ferrule | GREATBATCH LTD. |
11148119 | Engineered nanoparticles for aqueous applications | WASHINGTON UNIVERSITY |
11148174 | Electrical insulator manual cleaning device | -- |
11148202 | Spheroidal dehydrogenated metals and metal alloy particles | 6K INC. |
11148531 | Configurable vehicle power outlet system | FORD GLOBAL TECHNOLOGIES, LLC |
11148534 | Cooling unit for a charging column, and charging column having a cooling unit | DR. ING. H.C. F. PORSCHE AKTIENGESELLSCHAFT |
11148621 | Electronic display assemblies with solar panels | MANUFACTURING RESOURCES INTERNATIONAL, INC. |
11148687 | High voltage interconnection system | TYCO ELECTRONICS UK LTD. |
11148708 | Electronic control device and steering device | NSK LTD. |
11148827 | Cooling system for aerospace vehicle components | THE BOEING COMPANY |
11148935 | Full symmetric multi-throw switch using conformal pinched through via | MENLO MICROSYSTEMS, INC. |
11148941 | Method of controlling placement of micro-objects | PALO ALTO RESEARCH CENTER INCORPORATED |
11148946 | Contacting molecular components | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11148969 | Patterned glass articles and methods of making the same | CORNING INCORPORATED |
11149146 | Irreversible thermochromic molded article for prevention of overheating and method for preparing same | ACTIVON CO., LTD. |
11149150 | Composition comprising non-newtonian fluids for hydrophobic, oleophobic, and oleophilic coatings, and methods of using the same | ACTNANO, INC. |
11149161 | Metal ink | TANAKA KIKINZOKU KOGYO K.K. |
11149198 | Fiber light source including phosphor | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11149329 | Stabilizer material for superconductor | MITSUBISHI MATERIALS CORPORATION |
11149342 | Sputtering apparatus | TOKYO ELECTRON LIMITED |
11149343 | Processes for refurbishing a spent sputtering target | MATERION CORPORATION |
11149345 | Cryogenically cooled rotatable electrostatic chuck | APPLIED MATERIALS, INC. |
11149347 | Process for etching, and chamber cleaning and a gas therefor | SOLVAY SA |
11149405 | Grade control indicator assembly | CATERPILLAR PAVING PRODUCTS INC. |
11149853 | Airflow sealing by flexible rubber with I-beam and honeycomb structure | DELL PRODUCTS L.P. |
11149864 | Smart monocontrol cartridge for taps, smart monocontrol taps, smart management system and method for monocontrol taps | I COMPONENTS STUDIO, S.L |
11149917 | Light-emitting device | NICHIA CORPORATION |
11149929 | Decorative lighting with reinforced wiring | -- |
11149931 | Cable assembly and cable indication system | -- |
11149933 | Electrical connector and luminaire assembly | SUZHOU OPPLE LIGHTING CO., LTD. |
11149934 | LED light apparatus | XIAMEN ECO LIGHTING CO. LTD. |
11150028 | Cooling device with superimposed fin groups and parallel heatpipes | FURUKAWA ELECTRIC CO., LTD. |
11150035 | Superconducting wire and waveguides with enhanced critical temperature, incorporating fractal plasmonic surfaces | FRACTAL ANTENNA SYSTEMS, INC. |
11150060 | Ring-shaped or plate-like element and method for producing same | SCHOTT AG |
11150074 | Nanocomposite force sensing material | -- |
11150122 | Fill level reflectometer having reference reflection | VEGA GRIESHABER KG |
11150179 | Phasing correction | ILLUMINA, INC. |
11150192 | Liquid electrode tip | 2S WATER INCORPORATED |
11150204 | Sample inspection device and sample inspection method | PHOTO ELECTRON SOUL INC. |
11150249 | Microorganism identification method | SHIMADZU CORPORATION |
11150268 | Electric connection device | KABUSHIKI KAISHA NIHON MICRONICS |
11150282 | Meter arranged to open a switching member when the upstream voltage falls below a threshold voltage | SAGEMCOM ENERGY & TELECOM SAS |
11150283 | Amplitude and phase detection circuit | RENO TECHNOLOGIES, INC. |
11150291 | Functional reliability assessment for insulated power cable systems | UNDERGROUND SYSTEMS, INC. |
11150295 | Relay circuit for reducing a voltage glitch during device testing | MARVELL ASIA PTE, LTD. |
11150311 | Device and method for detecting defect contour with omnidirectionally equal sensitivity based on magnetic excitation | TSINGHUA UNIVERSITY |
11150317 | Circular polarized angle of arrival measuring system | DENSO INTERNATIONAL AMERICA, INC. |
11150324 | Displacement sensing to localize movement | FACEBOOK TECHNOLOGIES, LLC |
11150330 | Beam projector module for sliding insertion of an optical device | NAMUGA, CO., LTD |
11150354 | Systems and methods for modifying a fitness plan | ADIDAS AG |
11150535 | Apparatus and method for transmitting light in different directions by changing wavelength of the light | OPTILAB, LLC |
11150541 | Camera mounting assembly | REALLY RIGHT STUFF, LLC |
11150545 | Projection apparatus with U-shaped printed circuit board | -- |
11150609 | Trapped ion platform with optical input and output | NATIONAL TECHNOLOGY & ENGINEERING SOLUTIONS OF SANDIA, LLC |
11150612 | Portable radio-controlled watch | CITIZEN WATCH CO., LTD. |
11150684 | Rotation detecting joystick | METHODE ELECTRONICS MALTA LTD. |
11150690 | Movable mounting assemblies | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11150700 | Radio frequency filter fin pack design in consumer electronics | MICROSOFT TECHNOLOGY LICENSING, LLC |
11150701 | Case for a computing device | IBENZER INC. |
11150704 | Display panel and electronic apparatus | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11150705 | Riser assembly and electronic device | INVENTEC (PUDONG) TECHNOLOGY CORPORATION |
11150707 | Graphic processing unit and host casing hardware configuration | INVENTEC (PUDONG) TECHNOLOGY CORPORATION |
11150708 | Cooling system control component | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11150709 | Dynamic server-level thermal control based on component temperatures | GOOGLE LLC |
11150710 | Apparatuses and methods for encapsulated devices | INTERSIL AMERICAS LLC |
11150740 | Key structure | -- |
11151726 | Medical image processing apparatus, X-ray diagnostic apparatus, and medical image processing method | CANON MEDICAL SYSTEMS CORPORATION |
11151910 | Flexible display panel for display device and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11152036 | Electronic apparatus and protective cover | SONY CORPORATION |
11152130 | Nanoscale X-ray tomosynthesis for rapid analysis of integrated circuit (IC) dies | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11152131 | Conductive compositions of conductive polymer and metal coated fiber | THE BOEING COMPANY |
11152132 | Covering material for electric wire and covered electric wire | YAZAKI CORPORATION |
11152133 | Cable routing system | TRUMPF MEDIZIN SYSTEME GMBH + CO. KG |
11152134 | Flexible flat cable | SEOUL |
11152135 | Wiring member | AUTONETWORKS TECHNOLOGIES, LTD. |
11152136 | Composite cable | SUMITOMO WIRING SYSTEMS, LTD. |
11152137 | Communications cable with triboelectric protection | PANDUIT CORP. |
11152138 | Fire rated radio frequency cable | -- |
11152139 | Power rail stitching technique | ARM LIMITED |
11152140 | Wire holder for assembling a wire harness | GULFSTREAM AEROSPACE CORPORATION |
11152141 | Ceramic multi-layer component and method for producing a ceramic multi-layer component | TDK ELECTRONICS AG |
11152142 | R-T-B based permanent magnet | TDK CORPORATION |
11152144 | Electrolessly formed high resistivity magnetic materials | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152145 | Soft magnetic metal powder, dust core, and magnetic component | TDK CORPORATION |
11152146 | Annular magnetic power unit | -- |
11152147 | Coil component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11152148 | Coil component | MURATA MANUFACTURING CO., LTD. |
11152149 | Electronic component | MURATA MANUFACTURING CO., LTD. |
11152150 | LC tank circuit having improved resonant frequency stability and fabrication method thereof | -- |
11152151 | Crossover coil structure for wireless transmission | NUCURRENT, INC. |
11152152 | Fabrication process to produce a toroidal current transformer | SCHWEITZER ENGINEERING LABORATORIES, INC. |
11152153 | Multilayer ceramic electronic component with internal electrode including nickel powder and copper coating layer and method of manufacturing the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11152154 | Electronic component | TDK CORPORATION |
11152155 | Capacitor component having secondary phase material contained in external electrode thereof | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11152156 | Label and related method | AVERY DENNISON RETAIL INFORMATION SERVICES, LLC |
11152157 | Stacked electronic component and method for manufacturing stacked electronic component | MURATA MANUFACTURING CO., LTD. |
11152158 | Electrolytic capacitor and method for manufacturing same | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11152159 | Hybrid capacitor and manufacturing method thereof | NIPPON CHEMI-CON CORPORATION |
11152160 | High-rate hybrid supercapacitor | UNITED ARAB EMIRATES UNIVERSITY |
11152161 | Aluminum polymer capacitor with enhanced internal conductance and breakdown voltage capability | KEMET ELECTRONICS CORPORATION |
11152162 | Charging mechanism for charging a stored-energy spring of a stored-energy spring mechanism | SIEMENS AKTIENGESELLSCHAFT |
11152163 | Switch blocking device | -- |
11152164 | Grain bin sump control with automatic intermediate sump lock-out assembly | CTB, INC. |
11152165 | Switching module connection structure | HYOSUNG HEAVY INDUSTRIES CORPORATION |
11152166 | Keyboard device including a plurality of substrate plates connected by elastic bridge member | -- |
11152167 | Reconfigurable control device | CONTINENTAL AUTOMOTIVE GMBH |
11152168 | Keyboard device | -- |
11152169 | Mouse device | -- |
11152170 | Input device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11152171 | Direct current breaker based on vacuum magnetic blowout transfer and breaking method thereof | XI'AN JIAOTONG UNIVERSITY |
11152172 | Vacuum circuit breaker | CAMLIN TECHNOLOGIES LIMITED |
11152173 | Method for operating the drive of a vacuum interrupter, and vacuum interrupter itself | ABB SCHWEIZ AG |
11152174 | Dual thomson coil-actuated, double-bellows vacuum circuit interrupter | EATON INTELLIGENT POWER LIMITED |
11152175 | Alternatively changeable electric circuit and method for changing of electric current path within an electric circuit | NELA RAZVOJNI CENTER ZA ELEKTROINDUSTRIJO IN ELEKTRONIKO, D.O.O. |
11152176 | Relay control device | MITSUBISHI ELECTRIC CORPORATION |
11152177 | Safety device for emergency shut-down of an electric instantaneous water heater | STIEBEL ELTRON GMBH & CO. KG |
11152178 | Disconnect switches with combined actuators and related circuit breakers and methods | EATON INTELLIGENT POWER LIMITED |
11152179 | Low voltage circuit breaker | ABB SCHWEIZ AG |
11152180 | Fuse box, fuse box assembly comprising such fuse box and vehicle | VOLVO TRUCK CORPORATION |
11152181 | Estimation of cathode space current for a thermionic vacuum tube using a polynomial based on a heuristically determined variable representing the grid and plate voltages | PANAYOTIS TSAMBOS |
11152182 | X-ray tube assembly | CANON ELECTRON TUBES & DEVICES CO., LTD. |
11152183 | X-ray source with rotating anode at atmospheric pressure | SIGRAY, INC. |
11152184 | X-ray tube insulation, window, and focusing plate | MOXTEK, INC. |
11152185 | Electron source and production method therefor | DENKA COMPANY LIMITED |
11152186 | Charged particle beam device | HITACHI HIGH-TECH CORPORATION |
11152187 | Method and apparatus for positioning microscopic specimens with the aid of a two-dimensional position table | CARL ZEISS MICROSCOPY GMBH |
11152188 | Nano vacuum tube | -- |
11152189 | Method and system for plasma assisted low vacuum charged-particle microscopy | FEI COMPANY |
11152190 | Charged particle scanners | DECISION SCIENCES INTERNATIONAL CORPORATION |
11152191 | In-lens wafer pre-charging and inspection with multiple beams | ASML NETHERLANDS B.V. |
11152192 | Plasma processing apparatus and method | HITACHI HIGH-TECH CORPORATION |
11152193 | Plasma generation apparatus | NATIONAL UNIVERSITY CORPORATION TOKAI NATIONAL HIGHER EDUCATION AND RESEARCH SYSTEM |
11152194 | Plasma processing apparatuses having a dielectric injector | TOKYO ELECTRON LIMITED |
11152195 | MgO-based ceramic film, member for semiconductor manufacturing apparatus, and method for forming MgO-based ceramic film | NGK INSULATORS, LTD. |
11152196 | Substrate processing apparatus | TOKYO ELECTRON LIMITED |
11152197 | Method of determining cell cycle stage distribution of cells | CITY UNIVERSITY OF HONG KONG |
11152198 | Direct determination of antibody chain pairing | BATTELLE MEMORIAL INSTITUTE |
11152199 | Multipole ion optic assembly | AGILENT TECHNOLOGIES, INC. |
11152200 | Interface device between sample separation device and mass spectrometer having multiple sample capillaries | AGILENT TECHNOLOGIES, INC. |
11152201 | Time-of-flight mass spectrometer | SHIMADZU CORPORATION |
11152202 | Time-of-flight mass spectrometer | SHIMADZU CORPORATION |
11152210 | Semiconductor crystal substrate, infrared detector, and method for producing semiconductor crystal substrate | FUJITSU LIMITED |
11152243 | Device for aligning and optically inspecting a semiconductor component | MUEHLBAUER GMBH & CO. KG |
11152248 | Cyclic flowable deposition and high-density plasma treatment processes for high quality gap fill solutions | APPLIED MATERIALS, INC. |
11152269 | Plasma processing apparatus and control method | TOKYO ELECTRON LIMITED |
11152375 | Contact patterning | MICRON TECHNOLOGY, INC. |
11152426 | Memory device using an etch stop dielectric layer and methods for forming the same | -- |
11152458 | Metal capacitor | -- |
11152463 | Semiconductor nanocrystal structure and optoelectronic device | OSRAM OPTO SEMICONDUCTORS GMBH |
11152539 | Reducing or eliminating nanopipe defects in III-nitride structures | LUMILEDS LLC |
11152612 | Energy storage devices | CF TRAVERSE LLC |
11152616 | Lithium transition metal composite oxide, transition metal hydroxide precursor, method for producing transition metal hydroxide precursor, method for producing lithium transition metal composite oxide, positive active material for nonaqueous electrolyte secondary battery, electrode for nonaqueous electrolyte secondary battery, nonaqueous electrolyte secondary battery and energy storage apparatus | GS YUASA INTERNATIONAL LTD. |
11152622 | Electrode, power storage device, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11152623 | Binder composition for secondary battery, conductive material paste for secondary battery electrode, slurry composition for secondary battery electrode, method of producing slurry composition for secondary battery electrode, electrode for secondary battery, and secondary battery | ZEON CORPORATION |
11152641 | Sulfide solid electrolyte | TOKYO INSTITUTE OF TECHNOLOGY |
11152646 | Production of a microelectronic device collector | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11152649 | Battery pack | SAMSUNG SDI CO., LTD. |
11152658 | Mobile terminal protection assembly, mobile terminal protection frame, and battery case | SHENZHEN ROMOSS TECHNOLOGY CO., LTD |
11152664 | Compact electronics with optical sensors | ANEXA LABS LLC |
11152675 | Communication system for LIDAR sensors used in a vehicle comprising a rotary joint with a bearing waveguide for coupling signals with communication chips | WAYMO LLC |
11152676 | Tunable band-pass filter and control method therefor | NEC CORPORATION |
11152677 | Integration of self-biased magnetic circulators with microwave devices | QORVO US, INC. |
11152678 | Connector-attached dielectric waveguide including a connecting portion and a fixing portion that are slidably axially movable with respect to each other | DAIKIN INDUSTRIES, LTD. |
11152679 | Power passing directional coupler having a split ferrite element | ANTRONIX INC. |
11152681 | Methods and devices for evanescently coupling light having different wavelengths to an open dielectric resonator | -- |
11152682 | Low-PIM universal antenna equipment mount | CONCEALFAB CORPORATION |
11152683 | Dual band antenna with a dome-shaped radiator | KAMSTRUP A/S |
11152684 | Radiofrequency communication module for a tire | COMPAGNIE GENERALE DES ETABLISSEMENTS MICHELIN |
11152685 | Antenna for an electronic member of a tire | COMPAGNIE GENERALE DES ETABLISSEMENTS MICHELIN |
11152686 | Electronic device comprising antenna | SAMSUNG ELECTRONICS CO., LTD. |
11152687 | Radio frequency emission guard for portable wireless electronic device | STINGRAY SHIELDS CORPORATION |
11152688 | Universal antenna mount and base plate therefor | COMMSCOPE TECHNOLOGIES LLC |
11152689 | In-vehicle wireless display and in-vehicle display system | MITSUBISHI ELECTRIC CORPORATION |
11152690 | Antenna device for vehicle | YOKOWO CO., LTD. |
11152691 | Dual broadband antenna system for vehicles | ADVANCED AUTOMOTIVE ANTENNAS, S.L.U. |
11152692 | Antenna device having a capacitive loading element | YOKOWO CO., LTD. |
11152693 | Antenna device | YOKOWO CO., LTD. |
11152694 | Antenna device | -- |
11152695 | Antenna module | MURATA MANUFACTURING CO., LTD. |
11152696 | Radio-wave transparent cover | TOYODA GOSEI CO., LTD. |
11152697 | Dual broadband antenna system for vehicles | ADVANCED AUTOMOTIVE ANTENNAS, S.L.U. |
11152698 | Retrodirective wireless device and method | NORBIT ITS |
11152699 | Method, apparatus and arrangement for linearizing of a transmitter array | NOKIA TECHNOLOGIES OY |
11152700 | Beam steering antenna transmitter, multi-user antenna MIMO transmitter and related methods of communication | PHASE SENSITIVE INNOVATIONS, INC. |
11152701 | Phase compensated multi-layer, multi-steering antenna array for millimeter wave applications | -- |
11152702 | Cellular system | -- |
11152703 | Ultra compact radiating element | HUAWEI TECHNOLOGIES CO., LTD. |
11152704 | Quasi-isotropic antenna | SAMSUNG ELECTRONICS CO., LTD. |
11152705 | Reconfigurable geometric metasurfaces with optically tunable materials | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152706 | Antenna device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11152707 | Fast radio frequency package | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152708 | Electronic device handle antennas | APPLE INC. |
11152709 | Antenna assembly | CITY UNIVERSITY OF HONG KONG |
11152710 | Wide-band conformal coaxial antenna | THE BOEING COMPANY |
11152711 | Electromagnetic-wave-absorbing sheet | MAXELL HOLDINGS, LTD. |
11152712 | Antenna system and compact antenna test range | ROHDE & SCHWARZ GMBH & CO. KG |
11152713 | Corner antenna array devices, systems, and methods | WISPRY, INC. |
11152714 | Electronically steerable planar phase array antenna | ALCAN SYSTEMS GMBH |
11152715 | Dual differential radiator | RAYTHEON COMPANY |
11152716 | Antenna including conductive pattern and electronic device including antenna | SAMSUNG ELECTRONICS CO., LTD. |
11152717 | Over-the-air test | KEYSIGHT TECHNOLOGIES SINGAPORE (SALES) PTE. LTD. |
11152718 | Electrical cable including terminal having pressing portion that presses holding portion | AUTONETWORKS TECHNOLOGIES, LTD. |
11152719 | Electromagnetic grounding arrangement | SIEMENS AKTIENGESELLSCHAFT |
11152720 | Terminal-equipped wire and wire harness | AUTONETWORKS TECHNOLOGIES, LTD. |
11152721 | Plastic window | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11152722 | Terminal pin and electrical connector thereof | DONGGUAN LUXSHARE TECHNOLOGIES CO., LTD |
11152723 | Contact pin for pressing into a printed circuit board and contact arrangement | ROBERT BOSCH GMBH |
11152724 | Electrical connector and connector assembly thereof | DONGGUAN LUXSHARE TECHNOLOGIES CO., LTD. |
11152725 | Electrical connector with complementary features on insulative housing and shielding member | ALLTOP ELECTRONICS (SUZHOU) LTD. |
11152726 | Connector device and connector system | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11152727 | Electrical connector and electrical connector assembly | HIROSE ELECTRIC CO., LTD. |
11152728 | Connector and connecting method | JAPAN AVIATION ELECTRONICS INDUSTRY, LIMITED |
11152729 | Electrical connector and electrical connector assembly having a mating array of signal and ground contacts | TE CONNECTIVITY SERVICES GMBH |
11152730 | Terminal with leaf spring extending rearward from support at both side walls | SUMITOMO WIRING SYSTEMS, LTD. |
11152731 | Contact and method of manufacturing same | KITAGAWA INDUSTRIES CO., LTD. |
11152732 | Electrical connector and method for manufacturing same | -- |
11152733 | Flat electrical connector for supplemental restraint system | APTIV TECHNOLOGIES LIMITED |
11152734 | Modular contact carrier for an industrial connector | HARTING ELECTRIC GMBH & CO. KG |
11152735 | Electrical connector | ALLTOP ELECTRONICS (SUZHOU) LTD. |
11152736 | High voltage shielded connector | HYUNDAI MOTOR COMPANY |
11152737 | Connector and wire harness | YAZAKI CORPORATION |
11152738 | Holding frame for an industrial, electrical connector | HARTING ELECTRIC GMBH & CO. KG |
11152739 | Connector protective KAP | CENTURYLINK INTELLECTUAL PROPERTY LLC |
11152740 | Waterproof connector | DONGGUAN LEADER PRECISION INDUSTRY CO., LTD. |
11152741 | Connector and conduction path | SUMITOMO WIRING SYSTEMS, LTD. |
11152742 | Connector cover, continuous structure capable of producing connector cover, and production method for connector cover | JAPAN AVIATION ELECTRONICS INDUSTRY, LIMITED |
11152743 | Transmission high-voltage connector | NINGBO LUOKEXIN AUTO PARTS CO. |
11152744 | Plug-type connector with locking system | HARTING ELECTRIC GMBH & CO. KG |
11152745 | Tool locking mounting shell for protecting electrical connections in a hazardous environment | EATON INTELLIGENT POWER LIMITED |
11152746 | Electrical connector | EATON INTELLIGENT POWER LIMITED |
11152747 | Connector | IRISO ELECTRONICS CO., LTD. |
11152748 | Narrow width adapters and connectors with pull tab release | SENKO ADVANCED COMPONENTS, INC. |
11152749 | Electrical connector with improved grounding performance | DONGGUAN LEADER PRECISION INDUSTRY CO., LTD. |
11152750 | Corner EMI springs for a receptacle cage | TE CONNECTIVITY SERVICES GMBH |
11152751 | Connector with suction cover | JAPAN AVIATION ELECTRONICS INDUSTRY, LTD. |
11152752 | Audio jack having integrated grounding | APPLE INC. |
11152753 | Conductor connection device and conductor connection method | FURUKAWA ELECTRIC CO., LTD. |
11152754 | Method of crimping terminal with cable and terminal crimping apparatus | YAZAKI CORPORATION |
11152755 | Connector device manufacturing method | MITSUBISHI ELECTRIC CORPORATION |
11152756 | Laser cavity repetition rate tuning and high-bandwidth stabilization | UNIVERSITY OF MARYLAND, COLLEGE PARK |
11152757 | High repetition rate seed laser | COHERENT, INC. |
11152758 | Light emitting device | NICHIA CORPORATION |
11152759 | High temperature optical molecular anti-contamination getter system | IPG PHOTONICS CORPORATION |
11152760 | Light emitter device based on a photonic crystal with pillar- or wall-shaped semiconductor elements, and methods for the operation and production thereof | FORSCHUNGSVERBUND BERLIN E.V. |
11152761 | Laser device | NKT PHOTONICS A/S |
11152762 | Semiconductor laser device, chip on submount, and semiconductor laser module | FURUKAWA ELECTRIC CO., LTD. |
11152763 | INP-based monolithic integrated chaotic semiconductor laser chip capable of feeding back randomly diffused light | TAIYUAN UNIVERSITY OF TECHNOLOGY |
11152764 | Gratings for high power single mode laser | FREEDOM PHOTONICS LLC |
11152765 | Strained and strain control regions in optical devices | KYOCERA SLD LASER, INC. |
11152766 | Low current, high power laser diode bar | TRUMPF PHOTONICS, INC. |
11152767 | AlInGaAs/InGaAsP/InP edge emitting semiconductor laser including multiple monolithic laser diodes | SEMINEX CORPORATION |
11152768 | System and method for bound state in continuum laser sources | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
11152769 | Overvoltage protection arrangement consisting of a horn spark gap accommodated in an insulating housing | -- |
11152771 | Solid-state switching device of the withdrawable type including a cooling fluid to remove heat | ABB S.P.A. |
11152774 | Electrical junction box | SUMITOMO WIRING SYSTEMS, LTD. |
11152777 | Medium voltage switching apparatus | ABB SCHWEIZ AG |
11152778 | Low-voltage circuit breaker and method | SIEMENS AKTIENGESELLSCHAFT |
11152781 | System, method, and apparatus for power distribution in an electric mobile application using a combined breaker and relay | EATON INTELLIGENT POWER LIMITED |
11152790 | System and method for managing the power output of a photovoltaic cell | SOLARLYTICS, INC. |
11152793 | Power receiver for extracting power from electric field energy in the earth | EARTH ENERGIES, INC. |
11152807 | Method for selecting a supply source power | STMICROELECTRONICS (GRENOBLE 2) SAS |
11152819 | Recharge of implanted medical devices | MEDTRONIC, INC. |
11152830 | Isolated bolting connection for a generator | SIEMENS ENERGY, INC. |
11152833 | Electrical device having compact connector assembly suited for high power applications | BORGWARNER INC. |
11152834 | Motor connector and motor having same | LG INNOTEK CO., LTD. |
11152841 | Driving device and window lift actuator using the same | JOHNSON ELECTRIC INTERNATIONAL AG |
11152847 | Energy injection in a resonant circuit with initial conditions | ROMPOWERTECHNOLOGY HOLDINGS, LLC |
11152918 | Low modulation index 3-phase solid state transformer | NATIONAL TECHNOLOGY & ENGINEERING SOLUTIONS OF SANDIA, LLC |
11152967 | Cancellation of interference and harmonics | THALES HOLDINGS UK PLC |
11152969 | Tile based satellite payload systems and associated methods thereof | PANASONIC AVIONICS CORPORATION |
11152975 | High frequency galvanic isolators | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11152986 | Fast spatial search using phased array antennas | THE BOEING COMPANY |
11152987 | Direction-of-arrival estimation for signal-of-opportunity receiver | UNITED STATES OF AMERICA AS REPRESENTED BY THE ADMINISTRATOR OF NASA |
11152990 | Simplified TCAS surveillance | HONEYWELL INTERNATIONAL INC. |
11152991 | Hybrid digital-analog mmwave repeater/relay with full duplex | NXGEN PARTNERS IP, LLC |
11153002 | Orbital angular momentum-based multiplexing with shared antenna elements | INTEL CORPORATION |
11153011 | Intelligent visible light with a gallium and nitrogen containing laser source | KYOCERA SLD LASER, INC. |
11153688 | Display apparatus | LG DISPLAY CO., LTD. |
11153936 | Ceramic heating resistor, electrical heating element, and device for heating a fluid | LEISTER TECHNOLOGIES AG |
11153962 | Header for semiconductor device, and semiconductor device | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
11153964 | Electronic apparatus | TOSHIBA MEMORY CORPORATION |
11153965 | Integrated vapor chamber printed circuit board (PCB) assembly | ROCKWELL COLLINS, INC. |
11153966 | Electronic circuit device | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11153971 | Printed circuit board configuration to facilitate a surface mount double density QSFP connector footprint in a belly-to-belly alignment | CISCO TECHNOLOGY, INC. |
11153972 | Passive component and electronic device | TAIYO YUDEN CO., LTD. |
11153973 | Electronic module | -- |
11153974 | Connector device | AUTONETWORKS TECHNOLOGIES, LTD. |
11153977 | Circuit carrier, package, and method for manufacturing a package | SIEMENS AKTIENGESELLSCHAFT |
11153978 | Enclosure for electronic display | -- |
11153979 | Foldable display module | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11153980 | Light-emitting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11153981 | Methods and systems for a cable management system | GE PRECISION HEALTHCARE LLC |
11153982 | Rollable structure and electronic device using same | -- |
11153983 | Power control unit and method of assembling the same | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11153984 | Electronic apparatus | INVENTEC (PUDONG) TECHNOLOGY CORPORATION |
11153985 | Modular hybrid circuit packaging | ROSEMOUNT INC. |
11153986 | Configuring a modular storage system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11153987 | Connecting assembly and cable management device thereof | -- |
11153988 | Dynamic aisles for computing devices | CORE SCIENTIFIC, INC. |
11153989 | Burning stop apparatus and immersion cooling system | FUJITSU LIMITED |
11153990 | Movable heat-transfer system | INTEL CORPORATION |
11153991 | Method and apparatus for cooling a load and system comprising corresponding apparatus and load | LINDE AKTIENGESELLSCHAFT |
11153992 | Air and fluid cooling of a data center | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11153993 | Systems and methods for preventing airflow recirculation in an information handling system after an air mover fault | DELL PRODUCTS L.P. |
11153994 | Redundant cooling module for an autonomous vehicle computer | GM CRUISE HOLDINGS, LLC |
11153995 | Powerpack station | SHENZHEN CHIFROG TECHNOLOGY CO., LTD. |
11153996 | Thermal management assemblies for electronic assemblies mounted on a motor end | TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC. |
11153997 | Display apparatus | LG DISPLAY CO., LTD. |
11153998 | Tape curving attachment and feeder | FUJI CORPORATION |
11153999 | Work machine | FUJI CORPORATION |
11154000 | Substrate work machine | FUJI CORPORATION |
11154001 | Inspection management system, inspection management apparatuses, and inspection management method | OMRON CORPORATION |
11154189 | Supercontinuum light source | NKT PHOTONICS A/S |
11154263 | Radiography apparatus, radiography apparatus operation method, and radiography apparatus operation program | FUJIFILM CORPORATION |
11154721 | Method of forming an electrical contact for implantable medical device | MEDTRONIC, INC. |
11154788 | Protective enclosure for a model vehicle | TRAXXAS LP |
11154902 | Transparent conductive substrate and method for producing same | SHOWA DENKO K.K. |
11154903 | Apparatus and method for surface coating by means of grid control and plasma-initiated gas-phase polymerization | JIANGSU FAVORED NANOTECHNOLOGY CO., LTD. |
11154945 | Method for producing a gas-tight metal-ceramic join and use of the gas-tight metal-ceramic join | TDK ELECTRONICS AG |
11154953 | Mounting system for an ultrasonic-welding installation | APTIV TECHNOLOGIES LIMITED |
11154954 | Welding base | COMBA TELECOM TECHNOLOGY (GUANGZHOU) LIMITED |
11155015 | Synthetic resin microporous film and manufacturing method thereof, and separator for power storage device and power storage device | SUMITOMO CHEMICAL COMPANY, LIMITED |
11155067 | Protective material applicator device | SUPERIOR COMMUNICATIONS, INC. |
11155178 | Power-feed connector disconnection device and charging device | SUBARU CORPORATION |
11155195 | Vehicle lower portion structure | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11155427 | Device for the surface treatment of a substrate, comprising a metallic conveyor belt | LEONHARD KURZ STIFTUNG & CO. KG |
11155674 | Polymerizable sulfonamide compounds and polymers thereof | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11155693 | Flexible crosslinked cable insulation and methods for making flexible crosslinked cable insulation | DOW GLOBAL TECHNOLOGIES LLC |
11155730 | Solvent-free varnish composition, insulated coil, process for producing same, rotating machine, and closed electric compressor | MITSUBISHI ELECTRIC CORPORATION |
11155738 | Branched triglyceride-based fluids useful for dielectric and/or heat transfer applications | DOW GLOBAL TECHNOLOGIES LLC |
11155918 | Film forming apparatus | TOKYO ELECTRON LIMITED |
11155921 | Device and method for vacuum coating | BÜHLER ALZENAU GMBH |
11155922 | Method of manufacturing semiconductor device, and recording medium | KOKUSAI ELECTRIC CORPORATION |
11156037 | Systems for securing bend stiffeners to riser systems in offshore energy production facilities | CHEVRON U.S.A. INC. |
11156242 | Magnetic attachment device | -- |
11156323 | Ceiling-type display position adjusting device employing link structure | TOP SYSTEM CO., LTD. |
11156325 | Stand assembly for an electronic device providing multiple degrees of freedom and built-in cables | GOOGLE LLC |
11156390 | Cryocooler with concentric moving mechanisms | RAYTHEON COMPANY |
11156409 | Coolant-cooled heat sinks with internal thermally-conductive fins joined to the cover | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11156459 | Microelectromechanical or/and nanoelectromechanical device with out-of-plane displacement having capacitive elements having a variable surface | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11156460 | Room-temperature exciton-polariton superfluid quantum interference device and quatron-polariton superconducting quantum interference device | ORFORD |
11156480 | Induction arrangement | -- |
11156509 | Sensors with deformable conductors and selective deformation | LIQUID WIRE INC. |
11156517 | Method and device for detecting switching value of pressure switch | BEIJING CONST INSTRUMENT TECHNOLOGY INC. |
11156524 | Breaking device including an electronic control unit for pressure measurements | KNORR-BREMSE SYSTEME FUER NUTZFAHRZEUGE GMBH |
11156550 | Terahertz-wave detector and terahertz unit | ROHM CO., LTD. |
11156629 | Auto-sampling system with inline preparation of concentrated sulfuric acid and phosphoric acid for analytic elemental determination | ELEMENTAL SCIENTIFIC, INC. |
11156639 | Probe card module | -- |
11156656 | Waveguide slide screw tuner with rotating disc probes | -- |
11156678 | Magnetic field sensor using in situ solid source graphene and graphene induced anti-ferromagnetic coupling and spin filtering | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
11156695 | Doppler radar sensor with bondwire interconnection | -- |
11156696 | Radar apparatus | DENSO CORPORATION |
11156761 | Keyboard with light guiding nameplate | DEXIN ELECTRONIC LTD. |
11156767 | Optical fiber and optical transmission system | NIPPON TELEGRAPH AND TELEPHONE CORPORATION |
11156769 | Polarization-maintaining fiber device supporting propagation in large mode field diameters | OFS FITEL, LLC |
11156779 | Optical dielectric planar waveguide process | POET TECHNOLOGIES, INC. |
11156789 | Surface coupled laser and laser optical interposer | II-VI DELAWARE, INC. |
11156836 | Free space multiple laser diode module with fast axis collimator | GOOGLE LLC |
11156848 | Wavelength beam combining laser systems with high beam quality factor | TERADIODE, INC. |
11156859 | Manufacturing method of liquid crystal antenna | TRUWIN OPTO-ELECTRONICS LIMITED |
11156872 | Display device including heatsink | SHARP KABUSHIKI KAISHA |
11156881 | Display device | -- |
11156886 | Display device, method of manufacturing the same, and electronic device | JAPAN DISPLAY INC. |
11156900 | Lens module and mobile terminal | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11156989 | Material management apparatus and material preparing method | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11157039 | Display device | LG ELECTRONICS INC. |
11157040 | Electronic device including metal housing | SAMSUNG ELECTRONICS CO., LTD. |
11157048 | Memory module with screen and motherboard module | -- |
11157050 | Compute node tray cooling | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11157060 | Systems and methods for chassis-level persistent memory sequencing and safety | DELL PRODUCTS L.P. |
11157100 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11157113 | Self-mixing interference based sensors for characterizing touch input | APPLE INC. |
11157709 | Tag reading system | TOSHIBA TEC KABUSHIKI KAISHA |
11157840 | Method and device for determining optimum batch sizes | SIEMENS AKTIENGESELLSCHAFT |
11158283 | Electronic device for determining state of display using one or more specified pins | SAMSUNG ELECTRONICS CO., LTD. |
11158395 | Reliability evaluation apparatus | TOSHIBA MEMORY CORPORATION |
11158437 | Electric cable having improved thermal conductivity | NEXANS |
11158438 | Carbon nanotube based cabling | MINNESOTA WIRE |
11158439 | Shielded two-core electric wire routing structure which can be rerouted by bent-twisting the electric wire at a number of points per unit length | YAZAKI CORPORATION |
11158440 | Capacitive power transmission cable | ENERTECHNOS HOLDINGS LIMITED |
11158441 | High-speed cable drain wire system | DELL PRODUCTS L.P. |
11158442 | Manufacturing techniques for a jacketed metal line | SCHLUMBERGER TECHNOLOGY CORPORATION |
11158443 | Soft magnetic alloy and magnetic device | TDK CORPORATION |
11158444 | Magnetic material having coated ferromagnetic filler particles | INTEL CORPORATION |
11158445 | Permanent magnet for rotating electrical machine, rotating electrical machine, method for producing permanent magnet for rotating electrical machine | KABUSHIKI KAISHA YASKAWA DENKI |
11158446 | Magnet release | -- |
11158447 | Functional component, method for controlling functional component, and terminal | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11158448 | Packaging layer inductor | -- |
11158449 | Method and device for manufacturing transformers with a core made of amorphous material, and transformer thus produced | CASOLE D'ELSA |
11158450 | Particle-based, anisotropic composite materials for magnetic cores | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11158451 | Power module | -- |
11158452 | Reactor | AUTONETWORKS TECHNOLOGIES, LTD. |
11158453 | Coil component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11158454 | Method for manufacturing electronic component with coil | SUMIDA CORPORATION |
11158455 | Electronic component having body with exposed lower portion | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11158456 | Trench capacitor | TAIYO YUDEN CO., LTD. |
11158457 | Dielectric ceramic composition and multilayer ceramic capacitor | MURATA MANUFACTURING CO., LTD. |
11158458 | Multi-layered ceramic electronic component and manufacturing method thereof | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11158459 | Ceramic electronic component and method for manufacturing ceramic electronic component | MURATA MANUFACTURING CO., LTD. |
11158460 | Ceramic electronic component with lead terminals having a coating layer | TDK CORPORATION |
11158461 | Capacitor | MEIDENSHA CORPORATION |
11158462 | Multilayer ceramic capacitor and multilayer ceramic capacitor mount structure | MURATA MANUFACTURING CO., LTD. |
11158463 | Electrolytic capacitor and method for manufacturing same | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11158464 | Hybrid polymer aluminum electrolytic capacitor and method of manufacturing a capacitor | TDK ELECTRONICS AG |
11158465 | Winder | KAIDO MANUFACTURING CO., LTD. |
11158466 | Switch | MITSUBISHI ELECTRIC CORPORATION |
11158467 | Dual power automatic transfer switch mechanism | SCHNEIDER ELECTRIC INDUSTRIES SAS |
11158468 | Drawer type circuit breaker | ZHEJIANG CHINT ELECTRICS CO., LTD. |
11158469 | Framework structure and keyboard device | LITE-ON ELECTRONICS (GUANGZHOU) LIMITED |
11158470 | Luminous key | LITE-ON ELECTRONICS (GUANGZHOU) LIMITED |
11158471 | Housing of electronic device, method of manufacturing housing of electronic device, and breaker having the same | BOURNS KK |
11158472 | Electric circuit breaker device | DAICEL CORPORATION |
11158473 | Solid state circuit breaker button interlocking system | EATON INTELLIGENT POWER LIMITED |
11158474 | Electromagnetic relay | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11158475 | Relay | BYD COMPANY LIMITED |
11158476 | Electromagnetic relay | DENSO ELECTRONICS CORPORATION |
11158477 | Motor control center with enhanced circuit disconnect | ROCKWELL AUTOMATION TECHNOLOGIES, INC. |
11158478 | Electric fuse | -- |
11158479 | Cathode structure for cold field electron emission and method of fabricating the same | NATIONAL UNIVERSITY OF SINGAPORE |
11158480 | Combined thermal and voltage transfer system for an x-ray source | VISURAY INTECH LTD (BVI) |
11158481 | Ion milling device, ion source, and ion milling method | HITACHI HIGH-TECH CORPORATION |
11158482 | Multi-beam particle microscope | CARL ZEISS MULTISEM GMBH |
11158483 | Charged particle beam deflection device | KABUSHIKI KAISHA TOSHIBA |
11158484 | Electron beam inspection tool and method of controlling heat load | ASML NETHERLANDS B.V. |
11158485 | Operating a particle beam device | CARL ZEISS MICROSCOPY GMBH |
11158486 | Method for SEM-guided AFM scan with dynamically varied scan speed | JIANGSU JITRI MICRO-NANO AUTOMATION INSTITUTE CO., LTD. |
11158487 | Diagonal compound mill | FEI COMPANY |
11158488 | High speed synchronization of plasma source/bias power delivery | MKS INSTRUMENTS, INC. |
11158489 | Methods and systems to modulate film stress | APPLIED MATERIALS, INC. |
11158490 | Processing method in processing apparatus using halogen-based gas | TOKYO ELECTRON LIMITED |
11158491 | Target assembly for safe and economic evaporation of brittle materials | OERLIKON SURFACE SOLUTIONS AG, PFAFFIKON |
11158492 | Film forming apparatus and film forming method | TOKYO ELECTRON LIMITED |
11158493 | Organic silica thin film, method for producing the same, laser desorption/ionization mass spectrometric substrate using the same, and laser desorption/ionization mass spectrometric method | KABUSHIKI KAISHA TOSHIBA |
11158494 | Ion front tilt correction for time of flight (TOF) mass spectrometer | THERMO FISHER SCIENTIFIC (BREMEN) GMBH |
11158495 | Multi-reflecting time-of-flight mass spectrometer | LECO CORPORATION |
11158496 | Miniature charged particle trap with elongated trapping region for mass spectrometry | THE UNIVERSITY OF NORTH CAROLINA AT CHAPEL HILL |
11158507 | In-situ high power implant to relieve stress of a thin film | APPLIED MATERIALS, INC. |
11158516 | Plasma processing methods using low frequency bias pulses | TOKYO ELECTRON LIMITED |
11158558 | Package with underfill containment barrier | INTEL CORPORATION |
11158562 | Conformal integrated circuit (IC) device package lid | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11158564 | Apparatus, system, and method for dynamic compensation of heatsink-clamping mechanisms | JUNIPER NETWORKS, INC. |
11158567 | Package with stacked power stage and integrated control die | TEXAS INSTRUMENTS INCORPORATED |
11158593 | Structures for bonding a group III-V device to a substrate by stacked conductive bumps | -- |
11158625 | Electrostatic protection device and manufacturing method thereof and array substrate | BOE TECHNOLOGY GROUP CO., LTD. |
11158670 | Magnetic structures, semiconductor structures, and semiconductor devices | MICRON TECHNOLOGY, INC. |
11158746 | Thick-film pastes containing lead-tellurium-lithium-oxides, and their use in the manufacture of semiconductor devices | SOLAR PASTE, LLC |
11158758 | Superluminescent diodes and diode modules | EXALOS AG |
11158766 | Lid material for packages including reflowed gold-tin layers, and method for manufacturing package | MITSUBISHI MATERIALS CORPORATION |
11158775 | Semiconductor device and method | -- |
11158798 | System for continuous, scalable deposition of aligned carbon nanotubes using sprays of carbon nanotube solutions | CARBONICS INC. |
11158834 | Foldable display device and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11158851 | Electrode for electrochemical device, electrochemical device, and method for manufacturing same | ENVISION AESC ENERGY DEVICES LTD. |
11158852 | Positive electrode material for lithium-ion secondary batteries, positive electrode for lithium-ion secondary batteries, and lithium-ion secondary battery | SUMITOMO OSAKA CEMENT CO., LTD. |
11158875 | Method of production of channel member for fuel cell | FUTAMURA KAGAKU KABUSHIKI KAISHA |
11158876 | Method of production of channel member for fuel cell | FUTAMURA KAGAKU KABUSHIKI KAISHA |
11158885 | Electronic device and method of detecting status of battery thereof | SAMSUNG ELECTRONICS CO., LTD. |
11158891 | Power storage module | AUTONETWORKS TECHNOLOGIES, LTD. |
11158909 | Fuel cell module, manufacturing method for fuel cell module, and connector | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11158910 | Battery busbar | -- |
11158911 | Terminal connection structure, battery stack body, and method for forming terminal connection structure | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11158912 | Bus bar assembly for electrode lead bonding and battery module including same | LG CHEM, LTD. |
11158916 | Phase shifter and liquid crystal antenna | BEIJING BOE SENSOR TECHNOLOGY CO., LTD. |
11158917 | Dual-substrate waveguide filter | INTEL CORPORATION |
11158918 | Band-stop filter, transmission line for band-stop filter and multiplexer | COMMSCOPE ITALY, S.R.L. |
11158919 | Band-pass filter comprising a substrate enclosed by conductive layer pairs and a post wall to define a plurality of resonators having recesses of different depths | FUJIKURA LTD. |
11158920 | High powered RF part for improved manufacturability | TTM TECHNOLOGIES INC. |
11158921 | Fast impedance tuner calibration | -- |
11158922 | Transmission line | FUJIKURA LTD. |
11158923 | Dielectric waveguide connector assembly comprising a waveguide ferrule engaged with a waveguide socket using complementary coding members | TE CONNECTIVITY GERMANY GMBH |
11158924 | LTCC wide stopband filtering balun based on discriminating coupling | SOUTH CHINA UNIVERSITY OF TECHNOLOGY |
11158925 | Single-pole multi-throw switch device having simple structure | SAMSUNG ELECTRONICS CO., LTD. |
11158926 | Antenna structure, for different range communication modes | SIGNIFY HOLDING B.V. |
11158927 | Wireless access point vertical mount | JUNIPER NETWORKS, INC. |
11158928 | Chip antenna module | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11158929 | Antenna placement arrangements on device with extendable display | FUTUREWEI TECHNOLOGIES, INC. |
11158930 | Antenna device and mobile apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11158931 | Terminal housing and terminal | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11158932 | Full screen electronic device and antenna thereof | AAC TECHNOLOGIES PTE. LTD. |
11158933 | Antenna system and method | UBIQUITI INC. |
11158934 | Base station antenna | AAC TECHNOLOGIES PTE. LTD. |
11158935 | Ear-worn devices with high-dielectric structural elements | STARKEY LABORATORIES, INC. |
11158936 | Transmit-receive port for half-duplex transceivers | TEXAS INSTRUMENTS INCORPORATED |
11158937 | Methods and apparatus of communicating via planar, surface mounted semi-circular antennas | TAOGLAS GROUP HOLDINGS LIMITED |
11158938 | Reconfigurable antenna systems integrated with metal case | SKYWORKS SOLUTIONS, INC. |
11158939 | Mm-wave wireless channel control using spatially adaptive antenna arrays | UNIVERSITY OF SOUTH FLORIDA |
11158940 | Self-calibration of antenna array system | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11158941 | Decorrelation of intermodulation products in mixer circuits | BAE SYSTEMS INFORMATION AND ELECTRONIC SYSTEMS INTEGRATION INC. |
11158942 | Apparatus and method for providing probabilistic additive gain, calibration, and linearization in a phased array using single bit sources | EPIRUS, INC. |
11158943 | Wireless communication terminal | IRIDIUM SATELLITE LLC |
11158944 | Integrated multi-channel RF circuit with phase sensing | INFINEON TECHNOLOGIES AG |
11158945 | Phased array antenna apparatus and control method therefor | NEC CORPORATION |
11158946 | Dual loop antenna | NIPPON TELEGRAPH AND TELEPHONE CORPORATION |
11158947 | Monopole wire-plate antenna | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11158948 | Antenna apparatus | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11158949 | Deployable horn antenna and associated methods | EAGLE TECHNOLOGY, LLC |
11158950 | Continuous resonance trap refractor based antenna | SHALOM WERTSBERGER |
11158951 | Antipodal vivaldi antenna systems | SRI INTERNATIONAL |
11158952 | Low profile omnidirectional antenna | AMAZON TECHNOLOGIES, INC. |
11158953 | Flat-plate, low sidelobe, two-dimensional, steerable leaky-wave planar array antenna | HUAWEI TECHNOLOGIES CO., LTD. |
11158954 | Dielectrically loaded waveguide hemispherical antenna | THE BOEING COMPANY |
11158955 | Low profile phased array | RAYTHEON COMPANY |
11158956 | Integrated filter radiator for a multiband antenna | JOHN MEZZALINGUA ASSOCIATES, LLC |
11158957 | Co-located antennas with coupled arms | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11158958 | Dual band antenna | SHURE ACQUISITION HOLDINGS, INC. |
11158959 | Terminal crimping method and terminal crimping structure | YAZAKI CORPORATION |
11158960 | Electric wire connector | TE CONNECTIVITY NEDERLAND BV |
11158961 | Method for producing an electrical line arrangement | LISA DRAEXLMAIER GMBH |
11158962 | Terminal cover | DENSO CORPORATION |
11158963 | Connector device | HIROSE ELECTRIC CO., LTD. |
11158964 | Electronic component and substrate | FUJITSU LIMITED |
11158965 | Connecting module and electronic device | -- |
11158966 | Collapsable alignment member | TE CONNECTIVITY SERVICES GMBH |
11158967 | Board-to-board electrical connector with lock disengageable by an operation portion | FUJIKURA LTD. |
11158968 | Connector and connector assembly | MOLEX, LLC |
11158969 | Connectors for integrated circuit packages | INTEL CORPORATION |
11158970 | Electrical connector including heat dissipation holes | FCI USA LLC |
11158971 | Pin setting device for retaining a connector in a cable tap | PPC BROADBAND, INC. |
11158972 | Socket connector assembly | TE CONNECTIVITY GERMANY GMBH |
11158973 | Connector and connector assembly for fixing connection terminals of different sizes | TYCO ELECTRONICS (SHANGHAI) CO. LTD. |
11158974 | Electrical connector housing compatible with two terminal types | APTIV TECHNOLOGIES LIMITED |
11158975 | Base of electrical connector and electrical connector | DONGGUAN LUXSHARE TECHNOLOGIES CO., LTD |
11158976 | Apparatus and method for protecting an electrical connection from the environment | -- |
11158977 | Connector including guiding structure between cover and housing | YAZAKI CORPORATION |
11158978 | Electrical connector having a locking clip | HARTING ELECTRIC GMBH & CO. KG |
11158979 | Connector position assurance locking mechanism and method of operating the connector position assurance locking mechanism | J.S.T. CORPORATION |
11158980 | Modular telecommunications plug and method | COMMSCOPE TECHNOLOGIES LLC |
11158981 | Coaxial cable connector | -- |
11158982 | Active cover plates | SNAPRAYS LLC |
11158983 | USB socket, button controller and smart appliance | LIMOSS (SHENZHEN) CO., LTD. |
11158984 | RF connector with low passive intermodulation | SPINNER GMBH |
11158985 | HDMI USB combination cord | E-FILLIATE, INC. |
11158986 | Shorting terminal and connector | SUMITOMO WIRING SYSTEMS, LTD. |
11158987 | Multidirectional linecord | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11158988 | Brush assembly | RATIER-FIGEAC SAS |
11158989 | Laser source device, extreme ultraviolet lithography device and method | -- |
11158990 | Optical fiber amplifier system and methods of using same | NUFERN |
11158991 | Cover for an optoelectronic component and optoelectronic device | OSRAM OLED GMBH |
11158992 | Systems and methods for calibrating, operating, and setting a laser diode in a weapon | AXON ENTERPRISE, INC. |
11158993 | Light-emitting device, method for manufacturing the same, and projector | SEIKO EPSON CORPORATION |
11158994 | LED with emitted light confined to fewer than ten transverse modes | SILANNA UV TECHNOLOGIES PTE LTD |
11158995 | Laser diode with defect blocking layer | -- |
11158996 | Laser architectures using quantum well intermixing techniques | APPLE INC. |
11158997 | Spark plug and manufacturing method thereof | NANO SPARK, INC. |
11158998 | Heat sink for power supply panel | ABB SCHWEIZ AG |
11158999 | Equipment enclosure with self-sealing multilayer wall structure | EATON INTELLIGENT POWER LIMITED |
11159000 | Front connected switchgear assembly having an integrated arc flash venting system | SIEMENS INDUSTRY, INC. |
11159003 | Method of removing foil shield from cable | TE CONNECTIVITY CORPORATION |
11159006 | Brackets for mounting antenna cables | COMMSCOPE TECHNOLOGIES LLC |
11159007 | Electric circuit device with a residual current monitoring module for detecting a closed switch contact as well as a protection ground conductor interruption in a one or multiphase electric supply line | BENDER GMBH & CO. KG |
11159008 | System, method, and apparatus for power distribution in an electric mobile application using a combined breaker and relay | EATON INTELLIGENT POWER LIMITED |
11159013 | Disconnection and switch-over device for overvoltage protection, particularly for DC systems | DEHN SE + CO KG |
11159033 | Modular electric charging apparatus | -- |
11159047 | Thermally optimized RX wireless charger for small RX devices | APPLE INC. |
11159049 | Power supply system | AUTONETWORKS TECHNOLOGIES, LTD. |
11159052 | System and method for inductive energy transmission | TECHNISCHE HOCHSCHULE INGOLSTADT |
11159053 | Coupled inductor power transfer system | TRIUNE SYSTEMS, LLC |
11159054 | Wireless power transmitting devices | APPLE INC. |
11159057 | Loop antennas with selectively-activated feeds to control propagation patterns of wireless power signals | ENERGOUS CORPORATION |
11159071 | Busbar unit for an electric motor | NIDEC CORPORATION |
11159138 | Composite electronic component and electronic circuit | MURATA MANUFACTURING CO., LTD. |
11159147 | Front end module | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11159185 | Antenna of mobile terminal and switching method thereof and the mobile terminal | JRD COMMUNICATION (SHENZHEN) LTD. |
11159187 | Microcomponent massive MIMO arrays | PARALLEL WIRELESS, INC. |
11159225 | Control for multi-panel UE activation/deactivation | QUALCOMM INCORPORATED |
11159238 | External laser enabled co-packaged optics architectures | JUNIPER NETWORKS, INC. |
11159247 | Optical communication system and method using laser arrays | UNITED STATES OF AMERICAS AS REPRESENTED BY THE ADMINISTRATOR OF NASA |
11159659 | Communication device having improved antenna coverage | HUAWEI TECHNOLOGIES CO., LTD. |
11159660 | Electronic device including housing containing metallic materials | SAMSUNG ELECTRONICS CO., LTD. |
11159665 | Generate user-friendly string to replace telephone number | LENOVO (SINGAPORE) PTE. LTD. |
11159869 | Eartip with control leak | APPLE INC. |
11160078 | Backhaul radio with adaptive beamforming and sample alignment | SKYLINE PARTNERS TECHNOLOGY, LLC |
11160090 | Dynamical time division duplex uplink and downlink configuration in a communications network | APPLE INC. |
11160160 | PCB for bare die mount and process therefore | -- |
11160161 | Electronic device including antenna and heat dissipation structure | SAMSUNG ELECTRONICS CO., LTD. |
11160167 | Voltage regulator module and voltage regulation device with same | -- |
11160173 | Fixing apparatus | TYCO ELECTRONICS (SHANGHAI) CO. LTD. |
11160175 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11160176 | Display device and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11160177 | Electronic control unit for a vehicle, in particular commercial vehicle | WABCO EUROPE BVBA |
11160178 | Waterproof case | INTUIT INC. |
11160179 | Methods, systems, and apparatuses for preventing moisture accumulation on a display screen | HAND HELD PRODUCTS, INC. |
11160180 | Securing apparatus and electronic device using the same | HONGFUJIN PRECISION ELECTRONICS (TIANJIN) CO., LTD. |
11160181 | Adapter for insertion boards | FANUC CORPORATION |
11160182 | Split door submersible network protector housings for electrical distribution systems | RICHARDS MANUFACTURING COMPANY, A NEW JERSEY LIMITED PARTNERSHIP |
11160183 | Fixing assembly and functional module having fixing assembly | HONGFUJIN PRECISION ELECTRONICS (TIANJIN) CO., LTD. |
11160184 | Vehicle circuit card assembly | HAMILTON SUNDSTRAND CORPORATION |
11160185 | Modular high density communications chassis | -- |
11160186 | Control of local service modes for a management console | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11160187 | Container caddy for computer network transceivers | FACEBOOK, INC. |
11160188 | Control panel module assembly devices and techniques | SCHWEITZER ENGINEERING LABORATORIES, INC. |
11160189 | Server device and its latch mechanism | -- |
11160190 | Apparatus, system, and method for preventing demate between field-replaceable units and telecommunications systems | JUNIPER NETWORKS, INC. |
11160191 | Flow-rate adjustment component and liquid cooling device | -- |
11160192 | Apparatus, system, and method for increasing the cooling efficiency of cold plate devices | JUNIPER NETWORKS, INC. |
11160193 | Shrinking device for liquid cooling system and the liquid cooling system having the same | BEIJING DEEPCOOL INDUSTRIES CO., LTD. |
11160194 | Hot swap condensor for immersion cooling | LIQUIDSTACK HOLDING B.V. |
11160195 | Electrical junction box | AUTONETWORKS TECHNOLOGIES, LTD. |
11160196 | Micro-strand heat dissipation system | DELL PRODUCTS L.P. |
11160197 | Heat dissipation unit | -- |
11160198 | Power electric device for a vehicle | MAHLE INTERNATIONAL GMBH |
11160199 | Enclosures and corresponding magnetic joints | ILLUMINA, INC. |
11160200 | Flexible and foldable electromagnetic shielding | WARWICK MILLS, INC. |
11160201 | Component mounting system and component data creation method | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11160455 | Multi-wavelength wearable device for non-invasive blood measurements in tissue | OMNI MEDSCI, INC. |
11160483 | Neurological monitoring cable for magnetic resonance environments | RHYTHMLINK INTERNATIONAL, LLC |
11160915 | Redundant controls for negative pressure wound therapy systems | SMITH & NEPHEW PLC |
11160936 | Non-combustible vaping device | ALTRIA CLIENT SERVICES LLC |
11160988 | Discrete cofired feedthrough filter for medical implanted devices | AVX CORPORATION |
11161035 | Button assembly and controller including the same | -- |
11161146 | IC die, probe and ultrasound system | KONINKLIJKE PHILIPS N.V. |
11161175 | Iron-based nanoparticles and grains | REGENTS OF THE UNIVERSITY OF MINNESOTA |
11161205 | Robotic wire termination system | ONANON, INC. |
11161253 | Retrieving magnetically attracted objects from substantially inaccessible cavities | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11161415 | System and method for electronic power take-off controls | OSHKOSH CORPORATION |
11161423 | Battery charging system for electric vehicle and method for charging the electric vehicle | HYUNDAI MOTOR COMPANY |
11161484 | Electronic park brake interface module, park brake controller and system | BENDIX COMMERCIAL VEHICLE SYSTEMS LLC |
11161555 | Tailgate deactivation system | BANKS MORRISON INNOVATIONS LLC |
11161607 | Flying body and system | HAPSMOBILE INC. |
11161744 | Methods of fabricating laser-induced graphene and compositions thereof | WILLIAM MARSH RICE UNIVERSITY |
11161775 | Conductive composition, method for producing conductor, and method for forming wire of electronic component | SUMITOMO METAL MINING CO., LTD. |
11161776 | Black lithium silicate glass ceramics | CORNING INCORPORATED |
11161778 | Coated glass articles and processes for producing the same | CORNING INCORPORATED |
11161779 | Functional building material for windows | LG HAUSYS, LTD. |
11161955 | Azeotropic and azeotrope-like compositions comprising Z-1,1,1,4,4,4-hexafluoro-2-butene and methyl perfluoropropyl ether | THE CHEMOURS COMPANY FC, LLC |
11161959 | Composite shielding material and a process of making the same | TALLINN UNIVERSITY OF TECHNOLOGY |
11162128 | Mass spectrometry ionization based-assay for the detection of enzyme activity and/or presence | THE UNITED STATES OF AMERICA AS REPRESENTED BY THE SECRETARY OF THE ARMY |
11162154 | Non-oriented electrical steel having excellent magnetic properties | BAOSHAN IRON & STEEL CO., LTD. |
11162155 | Non-oriented electrical steel sheet and method for producing same | POSCO |
11162170 | Methods for reducing material overhang in a feature of a substrate | APPLIED MATERIALS, INC. |
11162189 | Semiconductor substrate, gallium nitride single crystal, and method for producing gallium nitride single crystal | DEXERIALS CORPORATION |
11162190 | Method for producing nitride crystal and nitride crystal | MITSUBISHI CHEMICAL CORPORATION |
11162203 | Appliance control module with in-molded electronics | HAIER US APPLIANCE SOLUTIONS, INC. |
11162334 | Addressable switch assembly for wellbore systems and method | GEODYNAMICS, INC. |
11162355 | Electromagnetic surface wave communication in a pipe | SHARPKEEN ENTERPRISES, INC. |
11162487 | Air circulation control device | -- |
11162515 | Servo valve | SMC CORPORATION |
11162519 | Strapping device | THALES |
11162523 | Push pin with antirotational stopper and method of use thereof | -- |
11162530 | Gas laser apparatus and magnetic bearing control method | GIGAPHOTON INC. |
11162531 | Solenoid low friction bearing liner | SAINT-GOBAIN PERFORMANCE PLASTICS CORPORATION |
11162615 | Features for cable managers and other electronic equipment structures | CHATSWORTH PRODUCTS, INC. |
11162633 | Magnetic mount for electronic devices | MICROSOFT TECHNOLOGY LICENSING, LLC |
11162645 | Light emitting device including heat dissipation member | NICHIA CORPORATION |
11162670 | Backlight module and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11162673 | Illumination device having function of cooling light emitting part and control method therefor | CANON KABUSHIKI KAISHA |
11162685 | Knob assembly for cook top | LG ELECTRONICS INC. |
11162734 | Heat exchanger assemblies for electronic devices and related methods | QORVO US, INC. |
11162750 | Detection of firearms in a security zone using radio frequency identification tag embedded within weapon bolt carrier | -- |
11162778 | Method for determining material removal and device for the beam machining of a workpiece | CARL ZEISS SMT GMBH |
11162894 | Coherent terahertz magnon laser and coherent terahertz communication system | MAGTERA, INC. |
11162985 | Electronic measuring device | FLUKE CORPORATION |
11162991 | Electronic device and method by which electronic device recognizes connection terminal of external device | SAMSUNG ELECTRONICS CO., LTD. |
11162997 | Automatic circuit board test system and automatic circuit board test method applied therein | -- |
11162999 | Device and system for testing magnetic devices | MELEXIS TECHNOLOGIES SA |
11163015 | Power pedestal, ground fault indication system, and printed circuit board assembly therefor | EATON INTELLIGENT POWER LIMITED |
11163017 | Optical monitoring to detect contamination of power grid components | PALO ALTO RESEARCH CENTER INCORPORATED |
11163023 | Magnetic device | KOREA UNIVERSITY RESEARCH AND BUSINESS FOUNDATION |
11163037 | Antenna array that includes analog beam-steering transmit antenna and analog beam-steering receive antenna arranged orthogonally to the transmit antenna, and related subsystem, system, and method | ECHODYNE CORP. |
11163038 | Antenna, sensor, and in-vehicle system | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
11163039 | Radar apparatus | DENSO CORPORATION |
11163052 | System and method for processing multi-directional frequency modulated continuous wave wireless backscattered signals | KOKO HOME, INC. |
11163054 | Radar radiation redirecting tape | 3M INNOVATIVE PROPERTIES COMPANY |
11163085 | Apparatus for orienting an electromagnetic field sensor, and related receiver unit and method | ADVANCED HYDROCARBON MAPPING AS |
11163111 | Multi-clad optical fiber | NUBURU, INC. |
11163121 | Detection method for electronic devices | -- |
11163126 | Light source assembly supporting direct coupling to an integrated circuit | LUXTERA LLC |
11163148 | Telescope system | JAPAN AEROSPACE EXPLORATION AGENCY |
11163150 | Adaptive optical system and adaptive optical method comprising a controller with Zernike coefficients and Zernike polunomial calculation | MITSUBISHI HEAVY INDUSTRIES, LTD. |
11163181 | Method and signal generator for controlling an acousto-optical element, microscope with an arrangement comprising the signal generator and an acousto-optical element | LEICA MICROSYSTEMS CMS GMBH |
11163186 | Display device | MITSUBISHI ELECTRIC CORPORATION |
11163209 | Metamaterial-boosted quantum electromechanical transducer for microwave-optical interfacing | SYRACUSE UNIVERSITY |
11163225 | Laser projection structure and electronic device | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
11163333 | Head-mounted display | -- |
11163338 | Flexible conductive member within hinge of computing device to selectively make conductive contact with antenna | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11163341 | Computing device support surface mounting system | DELL PRODUCTS L.P. |
11163342 | System and method for securing components in a chassis | DELL PRODUCTS L.P. |
11163343 | Flexible power supply unit (PSU) bay | DELL PRODUCTS L.P. |
11163348 | Connectors that connect a storage device and power supply control device, and related power supply control devices and host interface devices | SAMSUNG ELECTRONICS CO., LTD. |
11163375 | Slider structure for a mechanical keyboard | LOGITECH EUROPE S.A. |
11163405 | Touch- and/or proximity-sensitive input device, method of using the input device and sensor film | DIEHL AKO STIFTUNG & CO. KG |
11164063 | Multilayer stack including RFID tag | 3M INNOVATIVE PROPERTIES COMPANY |
11164101 | Dispersive-resistive hybrid attenuator for quantum microwave circuits | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164102 | External port measurement of qubit port responses | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164607 | Identification of storage resources in multiple domains | DELL PRODUCTS L.P. |
11164654 | Method for driving an electronic device including a semiconductor memory in a test mode | SK HYNIX INC. |
11164684 | Linear shape member and producing method therefor | HITACHI METALS, LTD. |
11164685 | Wire harness, wire harness manufacturing method and wire harness manufacturing device | YAZAKI CORPORATION |
11164686 | Method for manufacturing solid insulation member and insulation member thereof | HYOSUNG HEAVY INDUSTRIES CORPORATION |
11164687 | Shunt resistor mount structure | KOA CORPORATION |
11164688 | Chip resistor | KOA CORPORATION |
11164689 | Increased resonant frequency potassium-doped hexagonal ferrite | SKYWORKS SOLUTIONS, INC. |
11164690 | Actuator and method for manufacturing actuator | ECO HOLDING 1 GMBH |
11164691 | Split-body electromagnet | JING-JIN ELECTRIC TECHNOLOGIES CO., LTD. |
11164692 | Coil device | TDK CORPORATION |
11164693 | Coil component and electronic device | TAIYO YUDEN CO., LTD. |
11164694 | Low-spurious electric-field inductor design | APPLE INC. |
11164695 | Inductor component | MURATA MANUFACTURING CO., LTD. |
11164696 | Low common mode noise transformer structure with external float wire mount | POWER INTEGRATIONS, INC. |
11164697 | Coil-integrated-type yoke and manufacturing method of the same | EBARA CORPORATION |
11164698 | Ceramic electronic component, manufacturing method of ceramic electronic component, and electronic component-mounting circuit board | TAIYO YUDEN CO., LTD |
11164699 | Electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11164700 | Multilayer capacitor | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11164701 | Ceramic electronic device and manufacturing method of ceramic electronic device | TAIYO YUDEN CO., LTD. |
11164702 | Multi-layered ceramic electronic component having step absorption layer | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11164703 | High voltage supercapacitor | OXCION LIMITED |
11164704 | Motor device for a switch drive of an electric switch | SIEMENS ENERGY GLOBAL GMBH & CO. KG |
11164705 | Switching apparatus and associated switch | ABB SCHWEIZ AG |
11164706 | Elastomeric keypad | MERIT AUTOMOTIVE ELECTRONICS SYSTEMS S.L.U. |
11164707 | Touch device and input module | LITE-ON ELECTRONICS (GUANGZHOU) LIMITED |
11164708 | Backlit switches | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11164709 | Devices for limiting switch positions for electrical devices | SIGNIFY HOLDING B.V. |
11164710 | Brush switch with resistors and manufacturing method thereof | MIK ELECTRONIC CORPORATION |
11164711 | DC contactor with dual micro switches | XIAMEN HONGFA ELECTRIC POWER CONTROLS CO., LTD. |
11164712 | Fixing and unlocking mechanism for plug-in type circuit breaker | SHANGHAI LIANGXIN ELECTRICAL CO., LTD |
11164713 | X-ray generation apparatus | ENERGETIQ TECHNOLOGY, INC. |
11164714 | X-ray tube insulator | KONINKLIJKE PHILIPS N.V. |
11164715 | Charged particle beam system | CARL ZEISS MULTISEM GMBH |
11164716 | Charged particle beam device | HITACHI HIGH-TECH CORPORATION |
11164717 | Electron microscope | HITACHI HIGH-TECH CORPORATION |
11164718 | Electron beam detection element, electron microscope, and transmission electron microscope | CANON KABUSHIKI KAISHA |
11164719 | Image contrast enhancement in sample inspection | ASML NETHERLANDS B.V. |
11164720 | Scanning electron microscope and calculation method for three-dimensional structure depth | HITACHI HIGH-TECH CORPORATION |
11164721 | System and method for learning-guided electron microscopy | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11164722 | Ion implantation method | -- |
11164723 | Methods and apparatus for etching semiconductor structures | APPLIED MATERIALS, INC. |
11164724 | Seasoning process for establishing a stable process and extending chamber uptime for semiconductor chip processing | APPLIED MATERIALS, INC. |
11164725 | Generation of hydrogen reactive species for processing of workpieces | BEIJING E-TOWN SEMICONDUCTOR TECHNOLOGY CO., LTD. |
11164726 | Gas supply member, plasma processing apparatus, and method for forming coating film | TOSHIBA MEMORY CORPORATION |
11164727 | Processing of workpieces using hydrogen radicals and ozone gas | BEIJING E-TOWN SEMICONDUCTOR TECHNOLOGY CO., LTD. |
11164728 | Plasma treatment apparatus and driving method thereof | PLASMA ION ASSIST CO., LTD. |
11164729 | Measuring device and operation method of system for inspecting focus ring | TOKYO ELECTRON LIMITED |
11164730 | Plasma probe device and plasma processing apparatus | TOKYO ELECTRON LIMITED |
11164731 | Ionization vacuum measuring cell | INFICON AG |
11164732 | Mass spectrometry detection device and mass spectrometer | SHIMADZU CORPORATION |
11164733 | Fabrication of mass spectrometry surface | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
11164734 | Laser desorption, ablation, and ionization system for mass spectrometry analysis of samples including organic and inorganic materials | EXUM INSTRUMENTS |
11164735 | Ion migration rate analysis device and analysis method applied | SHIMADZU RESEARCH LABORATORY (SHANGHAI) CO., LTD. |
11164736 | Electrode for a discharge lamp, discharge lamp and method for producing an electrode | OSRAM GMBH |
11164737 | Integrated epitaxy and preclean system | APPLIED MATERIALS, INC. |
11164753 | Self-aligned double patterning with spatial atomic layer deposition | APPLIED MATERIALS, INC. |
11164759 | Tools and systems for processing one or more semiconductor devices, and related methods | MICRON TECHNOLOGY, INC. |
11164763 | Carrier tape system and methods of using carrier tape system | -- |
11164764 | Carrier tape system and methods of using carrier tape system | -- |
11164767 | Integrated system for semiconductor process | APPLIED MATERIALS, INC. |
11164844 | Double etch stop layer to protect semiconductor device layers from wet chemical etch | -- |
11164997 | III-nitride tunnel junction light emitting diode with wall plug efficiency of over seventy percent | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
11165012 | Magnetic device and magnetic random access memory | -- |
11165027 | Organic electroluminescent device, compound and use thereof | KYUSHU UNIVERSITY, NATIONAL UNIVERSITY CORPORATION |
11165034 | Organic-inorganic hybrid solar cell | LG CHEM, LTD. |
11165053 | Conductive-flake strengthened, polymer stabilized electrode composition and method of preparing | ADVEN INDUSTRIES INC. |
11165054 | Electroactive materials for metal-ion batteries | NEXEON LIMITED |
11165057 | Negative electrode active material for power storage device | NIPPON ELECTRIC GLASS CO., LTD. |
11165123 | Electrical connector positioned in a battery pack | GOGORO INC. |
11165127 | T-shaped broadband bandpass filter | SAAB AB |
11165128 | High-frequency device | TDK CORPORATION |
11165129 | Dispersion reduced dielectric waveguide comprising dielectric materials having respective dispersion responses | INTEL CORPORATION |
11165130 | Three-way divider | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11165131 | Heat structure for thermal mitigation | MOTOROLA MOBILITY LLC |
11165132 | Antenna assembly for a vehicle | AIRGAIN, INC. |
11165133 | Tilt bracket for antenna structure | HUAWEI TECHNOLOGIES CO., LTD. |
11165134 | Biased antenna mount for mounting an antenna on a support member | KINGMAN AG, LLC |
11165135 | Antenna unit for glass, glass sheet with antenna, and production method of antenna unit for glass | AGC INC. |
11165136 | Flex integrated antenna array | QUALCOMM INCORPORATED |
11165137 | Antenna-integrated radio frequency module | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11165138 | Antenna element and related apparatus | QORVO US, INC. |
11165139 | Electronic devices having antennas that radiate through a display | APPLE INC. |
11165140 | Wrap around antenna | COMMSCOPE TECHNOLOGIES LLC |
11165141 | Antenna assemblies for watch bands | APPLE INC. |
11165142 | System and apparatus for driving antenna | VIASAT, INC. |
11165143 | Antenna module and electronic system including the same | SAMSUNG ELECTRONICS CO., LTD. |
11165144 | Device antennas | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11165145 | Base station antenna | COMMSCOPE TECHNOLOGIES LLC |
11165146 | Base station antenna radomes with non-uniform wall thickness | COMMSCOPE TECHNOLOGIES LLC |
11165147 | Over-molded thin film antenna device | PCTEL, INC |
11165148 | Matching network system and method combined with circulator | LG ELECTRONICS INC. |
11165149 | Electromagnetic band gap structure (EBG) | APTIV TECHNOLOGIES LIMITED |
11165150 | Dual polarization antenna with high isolation | KOREA ADVANCED INSTITUTE OF SCIENCE AND TECHNOLOGY |
11165151 | True time delay compensation in wideband phased array fed reflector antenna systems | VIASAT, INC. |
11165152 | Antenna and electronic device | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11165153 | Antenna and terminal | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11165154 | Coil antenna | MURATA MANUFACTURING CO., LTD. |
11165155 | Film antenna and display device including the same | DONGWOO FINE-CHEM CO., LTD. |
11165156 | Chip antenna and manufacturing method thereof | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11165157 | Antenna device | DENSO CORPORATION |
11165158 | Integrated antenna element, antenna unit, multi-array antenna, transmission method and receiving method of same | TONGYU COMMUNICATION INC. |
11165159 | Antennas in frames for display panels | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11165160 | Antenna testing | KYMETA CORPORATION |
11165161 | Small cell base station integrated with storefront sign | COMMSCOPE TECHNOLOGIES LLC |
11165162 | Dichroic spherical antenna | NEW MEXICO AEROSPACE LLC |
11165163 | Glass structure including lens and receiver including lens | SAMSUNG ELECTRONICS CO., LTD. |
11165164 | Dual-polarization rippled reflector antenna | VIASAT, INC. |
11165165 | Antenna system, base station, and communications system | HUAWEI TECHNOLOGIES CO., LTD. |
11165166 | Antenna feeding network | CELLMAX TECHNOLOGIES AB |
11165167 | Antenna system for circularly polarized signals | DEERE & COMPANY |
11165168 | Antenna apparatus | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11165169 | Antenna structure and display device including the same | DONGWOO FINE-CHEM CO., LTD. |
11165170 | Triaxial antenna reception and transmission | EAGLE TECHNOLOGY, LLC |
11165171 | Transparent antenna stack and assembly | 3M INNOVATIVE PROPERTIES COMPANY |
11165172 | Terminal and wire with terminal | AUTONETWORKS TECHNOLOGIES, LTD. |
11165173 | External switch to connect or disconnect underground tracer wire and ground wire | COPPERHEAD INDUSTRIES, LLC |
11165174 | Electrical connector with shearable fastener | HUBBELL INCORPORATED |
11165175 | Mechanical grounding clamp | HUBBELL INCORPORATED |
11165176 | Block terminal for motor and method of manufacturing same | HYUNDAI MOBIS CO., LTD. |
11165177 | Board connector | AUTONETWORKS TECHNOLOGIES, LTD. |
11165178 | Electrical interconnection system and method for electrically interconnecting electrical components of a module | LEAR CORPORATION |
11165179 | Connector and connecting method | JAPAN AVIATION ELECTRONICS INDUSTRY, LIMITED |
11165180 | Connection terminal and terminal connection body | AUTONETWORKS TECHNOLOGIES, LTD. |
11165181 | Female terminal | SUMITOMO WIRING SYSTEMS, LTD. |
11165182 | Functional contactor | AMOTECH CO., LTD. |
11165183 | Connector cover with separate activation and latching mechanisms | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11165184 | Orientation device | THE DOGOLDOGOL FAMILY TRUST |
11165185 | Electrical connector heat sink with protective ramp | MOLEX, LLC |
11165186 | Coaxial cable connector | -- |
11165187 | Connector | SUMITOMO WIRING SYSTEMS, LTD. |
11165188 | Electric connector panel to be mounted in a device housing | AMPHENOL-TUCHEL ELECTRONICS GMBH |
11165189 | Waterproof connector and method of assembling same | MITSUBISHI ELECTRIC CORPORATION |
11165190 | Waterproof sealing ring and waterproof connector including the same | -- |
11165191 | Receptacle connector | LS MTRON LTD. |
11165192 | Plug | GEMMY INDUSTRIES CORPORATION |
11165193 | Electrical connection structure and wearable device | HUAWEI TECHNOLOGIES CO., LTD. |
11165194 | Waterproof communication port and terminal device equipped with waterproof communication port | HUAWEI TECHNOLOGIES CO., LTD. |
11165195 | Connector assembly applied to high-voltage interface | -- |
11165196 | Connection device comprising a multipolar magnetic circuit | A. RAYMOND ET CIE |
11165197 | Connector release assist system | DELL PRODUCTS L.P. |
11165198 | Connector | SUMITOMO WIRING SYSTEMS, LTD. |
11165199 | Cable connector | BIZLINK (KUNSHAN) CO., LTD. |
11165200 | Electrical plug connector with closing aid | HIRSCHMANN AUTOMOTIVE GMBH |
11165201 | Oblique-insert-proof structure and interface card comprising the same | -- |
11165202 | Communication jack having a dielectric film between plug interface contacts | PANDUIT CORP. |
11165203 | Socket | LUXSHARE PRECISION INDUSTRY (KUNSHAN) CO., LTD. |
11165204 | Plug and socket having a shield plate to ground plate connection | SMK CORPORATION |
11165205 | Multi-phase connector for electric powertrain system | DANA TM4 INC. |
11165206 | Receptacle connector | -- |
11165207 | High capacity battery charger with robust adapter support | BREN-TRONICS, INC. |
11165208 | Electrical contact and connector | 3M INNOVATIVE PROPERTIES COMPANY |
11165209 | Assembling structure for a conductive plate of a handheld power tool | -- |
11165210 | Slip ring and slip ring unit having a slip ring | LTN SERVOTECHNIK GMBH |
11165211 | Device and method for producing a tested weld joint | SCHUNK SONOSYSTEMS GMBH |
11165212 | Crimping device for a cable terminal | -- |
11165213 | Ultra-fast and stable method to change the divergence of a laser beam | BAE SYSTEMS INFORMATION AND ELECTRONIC SYSTEMS INTEGRATION INC. |
11165214 | Methods and laser systems for generating amplified pulse-on-demand output laser pulses | TRUMPF LASER GMBH |
11165215 | Purging system for a laser system | M SQUARED LASERS LIMITED |
11165216 | Arrangement of expanding optical flows for efficient laser extraction | INNOVEN ENERGY LLC |
11165217 | Laser beam irradiation detection device, laser beam irradiation detection method, and laser beam irradiation detection system | JVCKENWOOD CORPORATION |
11165218 | Low repetition rate infrared tunable femtosecond laser source | MKS INSTRUMENTS, INC. |
11165219 | Swept light source and drive data generation method and optical deflector for swept light source | NIPPON TELEGRAPH AND TELEPHONE CORPORATION |
11165220 | Structure comprising a strained semiconductor layer on a heat sink | STMICROELECTRONICS (CROLLES 2) SAS |
11165221 | Optical device based on series push-pull operation | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
11165222 | Optically matched vertical-cavity surface-emitting laser (VCSEL) with passivation | MELLANOX TECHNOLOGIES, LTD. |
11165223 | Semiconductor light source | OSRAM OLED GMBH |
11165224 | Vertical-cavity surface-emitting laser layout for high bandwidth output | MELLANOX TECHNOLOGIES, LTD. |
11165225 | Optoelectronic device comprising a central segment tensilely strained along a first axis and electrically biased along a second axis | COMMISSARIAT A L ' ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11165226 | Spark plug including a multi-step insulator seat | ROBERT BOSCH GMBH |
11165227 | Power distribution unit, such as a fuse box for a vehicle | VOLVO TRUCK CORPORATION |
11165229 | Switch seat body assembling structure | SWITCHLAB, INC. |
11165232 | Method for assembling a housing assembly | SIGMA INNOVATIONS LLC |
11165235 | Bracket-equipped conductive path | SUMITOMO WIRING SYSTEMS, LTD. |
11165241 | Conductor temperature detector | SOLAREDGE TECHNOLOGIES LTD. |
11165246 | Overvoltage protection device including multiple varistor wafers | RIPD RESEARCH AND IP DEVELOPMENT LTD. |
11165248 | Air gap metal tip electrostatic discharge protection | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11165252 | Method and apparatus for automatic detection and selection of power over ethernet and power over powered data lines | COMMSCOPE TECHNOLOGIES LLC |
11165273 | Wireless charging systems for electronic devices | APPLE INC. |
11165286 | Adaptive baseline correction for delta amplification | STMICROELECTRONICS ASIA PACIFIC PTE LTD |
11165290 | Stationary induction apparatus and power converter using same | FUJI ELECTRIC CO., LTD. |
11165305 | Motor connector and motor having same | LG INNOTEK CO., LTD. |
11165315 | Method and apparatus for manufacturing interior permanent magnet-type inner rotor | AICHI STEEL CORPORATION |
11165333 | Snubber device and power conversion apparatus | FUJI ELECTRIC CO., LTD. |
11165341 | Noise reduction circuit and noise reduction element | MURATA MANUFACTURING CO., LTD. |
11165363 | Electronic module | SHINDENGEN ELECTRIC MANUFACTURING CO., LTD. |
11165391 | Magnetic absorbers for passive intermodulation mitigation | 3M INNOVATIVE PROPERTIES COMPANY |
11165402 | LC composite component including a coil and configured to reduce the Q-value of the coil | MURATA MANUFACTURING CO., LTD. |
11165403 | Antenna module using transmission line length and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11165406 | Lamb wave element and bulk acoustic wave resonator on common substrate | SKYWORKS SOLUTIONS, INC. |
11165427 | Configurable linear accelerator frequency control system and method | VAREX IMAGING CORPORATION |
11165458 | Docking sleeve with electrical adapter | NATIONAL PRODUCTS, INC. |
11165469 | RF-antenna architecture in mobile communication devices | MOTOROLA MOBILITY LLC |
11165478 | Multi-beam antenna system with a baseband digital signal processor | VIASAT, INC. |
11165489 | Dynamic shielding system of cellular signals for an antenna of an unmanned aerial vehicle | AT&T INTELLECTUAL PROPERTY I, L.P. |
11165498 | Power over fiber system | KYOCERA CORPORATION |
11165501 | Excitation light source apparatus and optical transmission system | MITSUBISHI ELECTRIC CORPORATION |
11165612 | Direct radiation wireless digital communications system and method based on digital coding metamaterial | SOUTHEAST UNIVERSITY |
11165939 | Portable image capturing electronic device and image capturing system therewith | -- |
11166146 | Combined riser in building emergency repeater system | HIRISETECH LTD. |
11166280 | Backhaul radio with advanced error recovery | SKYLINE PARTNERS TECHNOLOGY, LLC |
11166354 | Retrofit remote control devices | LUTRON TECHNOLOGY COMPANY LLC |
11166359 | Method for making static-dissipative composite structure with exposed conductive fiber | PETRO GUARDIAN, L.L.C. |
11166363 | Electrical node, method for manufacturing electrical node and multilayer structure comprising electrical node | TACTOTEK OY |
11166364 | Electrical node, method for manufacturing electrical node and multilayer structure comprising electrical node | TACTOTEK OY |
11166366 | Heat sink for a printed circuit board | TRI-TECH INTERNATIONAL |
11166367 | Setting the impedance of signal traces of a circuit board using a reference trace | SUPER MICRO COMPUTER, INC. |
11166371 | Electronic device and mainboard and system in package module thereof | -- |
11166372 | Electronic device | MURATA MANUFACTURING CO., LTD. |
11166374 | Connection plate, circuit board assembly, and electronic device | HUAWEI TECHNOLOGIES CO., LTD. |
11166375 | Composite electronic component and board having the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11166376 | Electronic component with SA/BW ratio and board having the same mounted thereon | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11166379 | Integrated circuit package substrate | INTEL CORPORATION |
11166380 | Method of manufacture of a structure and structure | TACTOTEK OY |
11166386 | Interposer substrate, circuit module, and interposer substrate manufacturing method | MURATA MANUFACTURING CO., LTD. |
11166388 | Display apparatus for vehicle | LG ELECTRONICS INC. |
11166389 | Assembly structure and display device | -- |
11166390 | Safety circuit apparatus | LPW TECHNOLOGY LTD |
11166391 | Electronic module and combination of an electronic module and a hydraulic plate | ROBERT BOSCH GMBH |
11166392 | Spring-type latch for securing a networking module within a slot of a chassis | CIENA CORPORATION |
11166393 | Storage device and an array of disks, and an apparatus for arranging disk | EMC IP HOLDING COMPANY LLC |
11166394 | Display card | ASUS GLOBAL PTE. LTD. |
11166395 | Header panel assembly for preventing air circulation above electronic equipment enclosure | CHATSWORTH PRODUCTS, INC. |
11166396 | Box-type vehicle-mounted control device | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
11166397 | Power conversion device | TOSHIBA MITSUBISHI—ELECTRIC INDUSTRIAL SYSTEMS CORPORATION |
11166398 | Functional contactor | AMOTECH CO., LTD. |
11166399 | Overmolded electronic module with an integrated electromagnetic shield using SMT shield wall components | SKYWORKS SOLUTIONS, INC. |
11166400 | Component supply device | YAMAHA HATSUDOKI KABUSHIKI KAISHA |
11166401 | Dye and pry process for surface mount technology dual in-line memory module | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11166472 | Devices and methods for pasteurizing and/or sterilizing particulate material, and cartridge | BÜHLER AG |
11166532 | Electromagnetic shielded dry bag with magnetic closure system | MERAKAI, LLC |
11166763 | Apparatus for emitting laser pulses | BOSTON SCIENTIFIC SCIMED, INC. |
11166782 | Implantable marker and a method of implanting markers | SIRIUS MEDICAL SYSTEMS B.V. |
11166858 | Module-housing system and method | BIOLINK SYSTEMS LLC |
11167296 | Applicator comprising an integrated control circuit | DüRR SYSTEMS AG |
11167348 | Joining metal or alloy components using electric current | ROLLS-ROYCE CORPORATION |
11167371 | Method and device for establishing a shield connection of a shielded cable | AUTO-KABEL MANAGEMENT GMBH |
11167391 | Fabrication of flexible conductive films, with semiconductive material, formed with rubbing-in technology for elastic or deformable devices | KING ABDULAZIZ UNIVERSITY |
11167432 | Robot | FANUC CORPORATION |
11167474 | Structures formed from high technology conductive phase materials | HAMILTON SUNDSTRAND CORPORATION |
11167603 | Tire pressure sensor | AUTEL INTELLIGENT TECHNOLOGY CORP., LTD. |
11167652 | Primary coil unit | BAYERISCHE MOTOREN WERKE AKTIENGESELLSCHAFT |
11167655 | Charging station and connector therefor, and method of charging an electric vehicle with a charging station | EATON INTELLIGENT POWER LIMITED |
11167701 | Mounting bracket assembly for in-module media assembly | NISSAN NORTH AMERICA, INC. |
11167702 | Wiring module, composite wiring module, and fixing target member equipped with wiring module | AUTONETWORKS TECHNOLOGIES, LTD. |
11167740 | Coil assembly and brake control device | VEONEER NISSIN BRAKE SYSTEMS JAPAN CO., LTD. |
11167908 | Securing mechanism for casing for transporting communications computers and electronics racks | -- |
11167987 | Secondary particles for anisotropic magnetic powder and method of producing anisotropic magnetic powder | NICHIA CORPORATION |
11167990 | NASICON-type sodium cathode material | SAMSUNG ELECTRONICS CO., LTD. |
11167999 | Biomimetic composite materials for vibration isolation | THE REGENTS OF THE UNIVERSITY OF MICHIGAN |
11168022 | Glass ceramics sintered body and coil electronic component | TDK CORPORATION |
11168167 | Hydrophilic compositions | SUPERDIELECTRICS LTD |
11168216 | Resin material and laminate | SEKISUI CHEMICAL CO., LTD. |
11168235 | Electrically conductive adhesive | 3M INNOVATIVE PROPERTIES COMPANY |
11168376 | Annealing separator composition for oriented electrical steel sheet, oriented electrical steel sheet, and method for manufacturing oriented electrical steel sheet | POSCO |
11168393 | Gallium nitride sintered body or gallium nitride molded article, and method for producing same | TOSOH CORPORATION |
11168431 | Washing machine | LG ELECTRONICS INC. |
11168539 | Intermittent pressure switch for pneumatic valves | -- |
11168805 | Thermally actuated flow control valve | HAMILTON SUNDSTRAND CORPORATION |
11168842 | Lamp | LEDVANCE GMBH |
11168846 | Electronic device, electronic module and electronic device assembly | TYCO ELECTRONICS (SHANGHAI) CO. LTD. |
11168877 | Strip lighting with connection mechanism and installation module | SELF ELECTRONICS CO., LTD. |
11168878 | LED luminaire | COMPONENT HARDWARE GROUP, INC. |
11168901 | Refrigeration cycle apparatus | MITSUBISHI ELECTRIC CORPORATION |
11168919 | Removable DIP switch for setting address | JOHNSON CONTROLS TYCO IP HOLDINGS LLP |
11168937 | Refrigerator | LG ELECTRONICS INC. |
11169029 | Coaxial fiber optical pyrometer with laser sample heater | WAVIKS, INC. |
11169098 | System, method, and apparatus for x-ray backscatter inspection of parts | THE BOEING COMPANY |
11169116 | Probe for nondestructive testing device using crossed gradient induced current and method for manufacturing induction coil for nondestructive testing device | INDUSTRY-ACADEMIC COOPERATION FOUNDATION, CHOSUN UNIVERSITY |
11169175 | Multilayer excitation ring | HONEYWELL INTERNATIONAL INC. |
11169177 | Scanning probe and electron microscope probes and their manufacture | TIPTEK, LLC |
11169186 | Heat-resistance element for current detection | NGK INSULATORS, LTD. |
11169209 | Circuit arrangement for evaluating at least two switching states of an actuating element, method for operating a circuit arrangement and switching device | VALEO SCHALTER UND SENSOREN GMBH |
11169220 | Deterioration degree diagnosis device and deterioration degree diagnosis method for electrical connection portion | YAZAKI CORPORATION |
11169226 | Magnetic sensor bias point adjustment method | WESTERN DIGITAL TECHNOLOGIES, INC. |
11169232 | Device and method for electrically linking electronic assemblies by means of symmetrical shielded cables | MAX-PLANCK-GESELISCHAFT ZUR FöRDERUNG DER WISSENSCHAFTEN E.V |
11169240 | Systems and methods for determining an angle of arrival of a signal at a planar array antenna | BALL AEROSPACE & TECHNOLOGIES CORP. |
11169241 | Sensor and control method thereof | SUZHOU JSOLAR INCORPORATED |
11169250 | Radar module incorporated with a pattern-shaping device | -- |
11169267 | Apparatus and methods for aligning devices for LIDAR systems | VELODYNE LIDAR USA, INC. |
11169284 | Radiation imaging apparatus | HITACHI, LTD. |
11169297 | Cross-slot bobbin and antenna shield for co-located antennas | HALLIBURTON ENERGY SERVICES, INC. |
11169320 | Light source member and display device having the same | SAMSUNG DISPLAY CO., LTD. |
11169337 | Optical module and optical transmission equipment | LUMENTUM JAPAN, INC. |
11169340 | Interconnection system | FOXCONN (KUNSHAN) COMPUTER CONNECTOR CO., LTD. |
11169351 | Systems and methods for installing fiber optic cable about a powerline conductor | FACEBOOK, INC. |
11169382 | Wireless data transport system for head-mounted displays | VALVE CORPORATION |
11169388 | Laser beam combining apparatus and method | THE PENN STATE RESEARCH FOUNDATION |
11169402 | Superlattice material, and preparation method and application thereof | UNIVERSITY OF ELECTRONIC SCIENCE AND TECHNOLOGY OF CHINA |
11169407 | Self-conducting light valve module and light valve heat dissipation device | NANHUA INTELLIGENT PRECISION MACHINE (SHENZHEN) CO., LTD. |
11169415 | Backlight device | -- |
11169434 | Optical engine module and projector | -- |
11169568 | Display device | SAMSUNG DISPLAY CO., LTD. |
11169578 | Pivot shaft structure moving around virtual axis | -- |
11169579 | Shaft assembly and electronic device including the same | INVENTEC (PUDONG) TECHNOLOGY CORPORATION |
11169581 | Cover interlock mechanism | EMC IP HOLDING COMPANY LLC |
11169582 | Immersion cooling tank and cooling system | HONGFUJIN PRECISION ELECTRONICS(TIANJIN)CO., LTD. |
11169584 | Dual-connector storage system and method for simultaneously providing power and memory access to a computing device | WESTERN DIGITAL TECHNOLOGIES, INC. |
11169644 | Electronic device | SAMSUNG DISPLAY CO., LTD. |
11170148 | Simulation apparatus, simulation method, and storage medium | NIPPON CONTROL SYSTEM CORPORATION |
11170281 | Information carrying card comprising crosslinked polymer composition, and method of making the same | IDEMIA AMERICA CORP. |
11170483 | Sample observation device and sample observation method | HITACHI HIGH-TECH CORPORATION |
11170595 | System and method for access control | HONG KONG R&D CENTRE FOR LOGISTICS AND SUPPLY CHAIN MANAGEMENT ENABLING TECHNOLOGIES LIMITED |
11170617 | Elongated wireless sensor assembly | SENSATIVE AB |
11170805 | Multi-layer magnetoelectronic device | DEUTSCHES ELEKTRONEN-SYNCHROTRON DESY |
11170813 | Magnetic powder, method of producing the same, and magnetic recording medium | SONY CORPORATION |
11170846 | Qubit apparatus and a qubit system | TECHNISCHE UNIVERSITEIT DELFT |
11170907 | Radioisotope production | ASML NETHERLANDS B.V. |
11170908 | Cable comprising a silane crosslinkable polymer composition | BOREALIS AG |
11170909 | Sunflower seed shell dielectric substrates | THE UNIVERSITY OF NORTH DAKOTA |
11170910 | Power cables for electric submersible pump | PRYSMIAN S.P.A. |
11170911 | Wiring member | AUTONETWORKS TECHNOLOGIES, LTD. |
11170912 | Resilient air-cooled induction heating cables | ILLINOIS TOOL WORKS INC. |
11170913 | Waterproofing structure and waterproofing method for shielded cable | YAZAKI CORPORATION |
11170914 | Fixing member-attached wire harness | AUTONETWORKS TECHNOLOGIES, LTD. |
11170915 | Subsea cable system and a method for supplying electrical power to a subsea device | NEXANS |
11170916 | Cover | LEAR CORPORATION |
11170917 | Zinc oxide varistor ceramics | RIPD INTELLECTUAL ASSETS LTD |
11170918 | Chip resistor and chip resistor production method | KOA CORPORATION |
11170919 | Near net shape bulk laminated silicon iron electric steel for improved electrical resistance and low high frequency loss | IOWA STATE UNIVERSITY RESEARCH FOUNDATION, INC. |
11170920 | Fe-based nanocrystalline alloy powder, method of producing the same, Fe-based amorphous alloy powder, and magnetic core | HITACHI METALS, LTD. |
11170921 | Marker coil and marker coil unit | RICOH COMPANY, LTD. |
11170922 | Coil device and holder | IHI CORPORATION |
11170923 | Magnet structure, method of manufacturing magnet structure, and motor | TDK CORPORATION |
11170924 | Computing devices, removable support devices, and methods of use | MICROSOFT TECHNOLOGY LICENSING, LLC |
11170925 | Flywheel device with magnetically floating function | -- |
11170926 | Isolated coupling structure | ALPHA AND OMEGA SEMICONDUCTOR (CAYMAN) LTD. |
11170927 | Coil component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11170928 | Automotive variable voltage converter with inductor having hidden air gap | FORD GLOBAL TECHNOLOGIES, LLC |
11170929 | Inductor component | MURATA MANUFACTURING CO., LTD. |
11170930 | Inductor component | MURATA MANUFACTURING CO., LTD. |
11170931 | System for an inductive energy transmission from a primary-conductor system to a vehicle having a secondary winding | SEW-EURODRIVE GMBH & CO. KG |
11170932 | Wireless load control device | LUTRON TECHNOLOGY COMPANY, LLC |
11170933 | Stress management scheme for fabricating thick magnetic films of an inductor yoke arrangement | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11170934 | Bifilar layer-insulated magnetic core and method for the production of a wound nanocrystalline magnetic core | VACUUMSCHMELZE GMBH & CO. KG |
11170935 | Manufacturing method for electronic component including electrode formed by removal of insulating layer by laser light | MURATA MANUFACTURING CO., LTD. |
11170936 | Multilayer ceramic capacitor and method of manufacturing the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11170937 | Multilayer ceramic electronic component | MURATA MANUFACTURING CO., LTD. |
11170938 | Multilayer ceramic capacitor and substrate including the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11170939 | Tantalum capacitor including body and lead frame having bent portion forming inclination angle toward the body | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11170940 | Tantalum capacitor | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11170941 | Solid electrolytic capacitor | MURATA MANUFACTURING CO., LTD. |
11170942 | Solid electrolytic capacitor with improved leakage current | AVX CORPORATION |
11170943 | Supercapacitor electrode, manufacturing method thereof, and supercapacitor | -- |
11170944 | Ultracapacitor with a low leakage current | KYOCERA AVX COMPONENTS CORPORATION |
11170945 | Supercapacitor with electrolyte | PARIS SCIENCES ET LETTRES—QUARTIER LATIN |
11170946 | High voltage supercapacitor | OXCION LIMITED |
11170947 | Doping system, and method for manufacturing electrodes, batteries and capacitors | MUSASHI ENERGY SOLUTIONS CO., LTD. |
11170948 | Method for preparing an electrode comprising a substrate, aligned carbon nanotubes and a metal oxide deposited by reductive deposition | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11170949 | Winder | KAIDO MANUFACTURING CO., LTD. |
11170950 | Keyboard backlight module with light-guide pattern, reflector pattern, and applications thereof | LITE-ON ELECTRONICS (GUANGZHOU) LIMITED |
11170951 | Method of manufacturing electric contact | UCHIYA THERMOSTAT CO., LTD. |
11170952 | Elastic switch device having vent cavity | KABUSHIKI KAISHA TOKAI RIKA DENKI SEISAKUSHO |
11170953 | Trigger switch | OMRON CORPORATION |
11170954 | Input apparatus | ALPS ALPINE CO., LTD. |
11170955 | Input apparatus for controlling vehicle devices | HYUNDAI MOTOR COMPANY |
11170956 | Switching arrangement | TE CONNECTIVITY GERMANY GMBH |
11170957 | Seal structure of electronic device, electronic device provided with seal structure, and manufacturing method of electronic device | OMRON CORPORATION |
11170958 | High frequency relay | OMRON CORPORATION |
11170959 | Electromagnetic relay | -- |
11170960 | Electromagnetic relay | -- |
11170961 | Relay | OMRON CORPORATION |
11170962 | Rotary relay contactor | HAMILTON SUNDSTRAND CORPORATION |
11170964 | Intelligent circuit breakers with detection circuitry configured to detect fault conditions | AMBER SOLUTIONS, INC. |
11170965 | System for generating X-ray beams from a liquid target | KING FAHD UNIVERSITY OF PETROLEUM AND MINERALS |
11170967 | Liquid metal ion source | AXCELIS TECHNOLOGIES, INC. |
11170968 | MEMS frame heating platform for electron imagable fluid reservoirs or larger conductive samples | PROTOCHIPS, INC. |
11170969 | Electron beam observation device, electron beam observation system, and control method of electron beam observation device | HITACHI HIGH-TECH CORPORATION |
11170970 | Methods and devices for examining an electrically charged specimen surface | CARL ZEISS SMT GMBH |
11170971 | Multiple working distance height sensor using multiple wavelengths | KLA CORPORATION |
11170972 | Scanning electron microscope and method for analyzing secondary electron spin polarization | HITACHI HIGH-TECH CORPORATION |
11170973 | Temperature control for insertable target holder for solid dopant materials | APPLIED MATERIALS, INC. |
11170974 | Obtaining an energy spectrum of a focused ion beam | RAYSEARCH LABORATORIES AB |
11170975 | Ion implantation apparatus | XIA TAI XIN SEMICONDUCTOR (QING DAO) LTD. |
11170976 | Multi-beam writing method and multi-beam writing apparatus | NUFLARE TECHNOLOGY, INC. |
11170979 | Plasma etching method and plasma etching apparatus | TOKYO ELECTRON LIMITED |
11170980 | Methods of treating a surface of a polymer material by atmospheric pressure plasma | CORNING INCORPORATED |
11170981 | Broadband plasma processing systems and methods | TOKYO ELECTRON LIMITED |
11170982 | Methods and apparatus for producing low angle depositions | APPLIED MATERIALS, INC. |
11170983 | Electron multiplier that suppresses and stabilizes a variation of a resistance value in a wide temperature range | HAMAMATSU PHOTONICS K.K. |
11170984 | Small gap device system and method of fabrication | SPARK THERMIONICS, INC. |
11170985 | Surface-assisted laser desorption/ionization method, mass spectrometry method and mass spectrometry device | HAMAMATSU PHOTONICS K.K. |
11170986 | Luminescence based fiber optic probe for the detection of rare earth elements | U.S. DEPARTMENT OF ENERGY |
11170987 | Short-arc discharge lamp | USHIO DENKI KABUSHIKI KAISHA |
11170991 | Plasma processing apparatus | TOKYO ELECTRON LIMITED |
11171007 | Plasma processing apparatus and plasma etching method | TOKYO ELECTRON LIMITED |
11171008 | Abatement and strip process chamber in a dual load lock configuration | APPLIED MATERIALS, INC. |
11171011 | Method for etching an etch layer | LAM RESEARCH CORPORATION |
11171012 | Method and apparatus for formation of protective sidewall layer for bow reduction | TOKYO ELECTRON LIMITED |
11171014 | Substrate processing method and substrate processing apparatus | TOKYO ELECTRON LIMITED |
11171021 | Internal plasma grid for semiconductor fabrication | LAM RESEARCH CORPORATION |
11171030 | Methods and apparatus for dechucking wafers | APPLIED MATERIALS, INC. |
11171065 | Automated inspection tool | -- |
11171067 | Module having a sealing resin layer with radiating member filled depressions | MURATA MANUFACTURING CO., LTD. |
11171088 | Electronic apparatus including antennas and directors | -- |
11171161 | TFT substrate, scanning antenna provided with TFT substrate, and method for producing TFT substrate | SHARP KABUSHIKI KAISHA |
11171229 | Low switching loss high performance power module | CREE, INC. |
11171251 | Process for forming conductive track or coating | JOHNSON MATTHEY PUBLIC LIMITED COMPANY |
11171286 | Method of processing workpiece | TOKYO ELECTRON LIMITED |
11171294 | Esters containing aromatic groups as solvents for organic electronic formulations | MERCK PATENT GMBH |
11171386 | Inorganic particles for nonaqueous electrolyte battery | ASAHI KASEI KABUSHIKI KAISHA |
11171387 | Single-layer lithium ion battery separator | DREAMWEAVES INTL., INC. |
11171395 | Transmission line and air bridge structure | ANRITSU CORPORATION |
11171396 | Broadband polarizing screen with one or more radiofrequency polarizing cells | THALES |
11171397 | Dielectric resonator and filter | HUAWEI TECHNOLOGIES CO., LTD. |
11171398 | Electronic device | SONY INTERACTIVE ENTERTAINMENT INC. |
11171399 | Meandering waveguide ridges and related sensor assemblies | VEONEER US, INC. |
11171400 | Loop gap resonators for spin resonance spectroscopy | AMHERST COLLEGE |
11171401 | Dual-circular polarized antenna system | VIASAT, INC. |
11171402 | Wireless telecommunication system for an equipment in an underground structure | HYDRO-QUÉBEC |
11171403 | Auto orientating antenna device | -- |
11171404 | Antenna and window glass for vehicle | AGC INC. |
11171405 | Planar flexible RF tag and charging device | ISOLYNX, LLC |
11171406 | Antenna structure including conductive patch fed using multiple electrical paths and electronic device including the antenna structure | SAMSUNG ELECTRONICS CO., LTD. |
11171407 | Electronic device, and method for controlling amplifier on basis of state of electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11171408 | Communication device | -- |
11171409 | Mobile device | -- |
11171410 | Wireless audio system and method for wirelessly communicating audio information using the same | BESTECHNIC (SHANGHAI) CO., LTD. |
11171411 | Base station antenna | KUNSHAN LUXSHARE RF TECHNOLOGY CO., LTD. |
11171412 | Antennas and unmanned aerial vehicle | SZ DJI TECHNOLOGY CO., LTD. |
11171413 | Movable device | SZ DJI TECHNOLOGY CO., LTD. |
11171414 | Electronic device having plurality of antennas | SAMSUNG ELECTRONICS CO., LTD. |
11171415 | Method and apparatus for measuring phase of transmission line connecting between RF chips | SAMSUNG ELECTRONICS CO., LTD. |
11171416 | Multi-element antenna array with integral comparison circuit for phase and amplitude calibration | HONEYWELL INTERNATIONAL INC. |
11171417 | Method and apparatus for calibrating antenna | DATANG MOBILE COMMUNICATIONS EQUIPMENT CO., LTD. |
11171418 | Method to utilize bias current control in vertical or horizontal channels for polarization rotation with less power consumption | INTEGRATED DEVICE TECHNOLOGY, INC. |
11171419 | Antenna structure | -- |
11171420 | Antenna module | AMOTECH CO., LTD. |
11171421 | Antenna module and communication device equipped with the same | MURATA MANUFACTURING CO., LTD. |
11171422 | Antenna-like matching component | ETHERTRONICS, INC. |
11171423 | Meta-structure having multifunctional properties and device using the same | KOREA INSTITUTE OF MACHINERY & MATERIALS |
11171424 | Solution for beam tilting associated with dual-polarized MM-wave antennas in 5G terminals | SAMSUNG ELECTRONICS CO., LTD. |
11171425 | Spherical reflector antenna for terrestrial and stratospheric applications | ARIZONA BOARD OF REGENTS ON BEHALF OF UNIVERSITY OF ARIZONA |
11171427 | Front-end chip for dual-pole antenna array | INTEGRATED DEVICE TECHNOLOGY, INC. |
11171428 | Terminal metal part with protective film layers to suppress galvanic corrosion | YAZAKI CORPORATION |
11171429 | Terminal block assemblies | HAMILTON SUNDSTRAND CORPORATION |
11171430 | Ice test system and methods | -- |
11171431 | Component insertion device with dummy component, and component insertion method and computer readable recording medium of using the same | OMRON CORPORATION |
11171432 | Anti-backout latch for interconnect system | SAMTEC, INC. |
11171433 | Cartridge | NINTENDO CO., LTD. |
11171434 | Electric connection socket | ENPLAS CORPORATION |
11171435 | Cable bushing | EBERSPACHER CATEM GMBH & CO. KG |
11171436 | Battery connector | -- |
11171437 | Connector | AUTONETWORKS TECHNOLOGIES, LTD. |
11171438 | Unitized cable plug array for mobile power generation equipment | ENERGY SERVICES LLC |
11171439 | Connector-equipped case, connector-equipped wire harness, and engine control unit | AUTONETWORKS TECHNOLOGIES, LTD. |
11171440 | Backing plate for mounting and sealing an electrical connector to an intermediate surface | APTIV TECHNOLOGIES LIMITED |
11171441 | Seal member and waterproof connector | AUTONETWORKS TECHNOLOGIES, LTD. |
11171442 | Housing | YAZAKI CORPORATION |
11171443 | Connector system with thermal cooling | MOLEX, LLC |
11171444 | Apparatus and method for coupling the apparatus to a reciprocal apparatus | NOKIA TECHNOLGIES OY |
11171445 | Cable end connector | DONGGUAN LUXSHARE TECHNOLOGIES CO., LTD |
11171446 | Contact for plug connector | HIRSCHMANN AUTOMOTIVE GMBH |
11171447 | Plug and socket assemblies that operatively associate by way of a safety locking mechanism for facilitating plugging and unplugging of electrical fixtures | -- |
11171448 | Charger and charging system | NINTENDO CO., LTD. |
11171449 | Charger and charging system | NINTENDO CO., LTD. |
11171450 | Method and apparatus for the alignment and locking of removable elements with a connector | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11171451 | Electronic device, interface and method for operating an interface | VESTEL ELEKTRONIK SANAYI VE TICARET A.S. |
11171452 | Connector | YAZAKI CORPORATION |
11171453 | Electrical connector with grounding structure and shielding | -- |
11171454 | USB connector with double shield layers | HUAWEI TECHNOLOGIES CO., LTD. |
11171455 | Electrical device | -- |
11171456 | Electrical connector for a multi-wire electrical cable | MD ELEKTRONIK GMBH |
11171457 | Holder for slip ring brushes | SCHLEIFRING GMBH |
11171458 | Contact element, power semiconductor module with a contact element and method for producing a contact element | INFINEON TECHNOLOGIES AG |
11171459 | Method and system for alignment of wire contact with wire contact insertion holes of a connector | THE BOEING COMPANY |
11171460 | Tools for coupling and decoupling a cable connector | SYNOPSYS, INC. |
11171461 | Wavelength discriminating slab laser | ROFIN-SINAR LASER GMBH |
11171462 | Fiber laser apparatus | FURUKAWA ELECTRIC CO., LTD. |
11171463 | Narrow-linewidth tunable external cavity laser | INNOLIGHT TECHNOLOGY (SUZHOU) LTD. |
11171464 | Laser integration techniques | APPLE INC. |
11171465 | Submount for semiconductor laser device on heat assisted recording device | NUVOTON TECHNOLOGY CORPORATION JAPAN |
11171466 | On-chip wavelength locker | LUMENTUM OPERATIONS LLC |
11171467 | Compact diode laser source | THE REGENTS OF THE UNIVERSITY OF COLORADO, A BODY CORPORATE |
11171468 | Laser arrangement with irregular emission pattern | TRUMPF PHOTONIC COMPONENTS GMBH |
11171471 | Electric connection box | YAZAKI CORPORATION |
11171472 | Electronic controller | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
11171479 | Superconducting magnet device and method for limiting current decrease in case of abnormality therein | RIKEN |
11171497 | Automatic working system, charging station and method for returning robotic mower to charging station | POSITEC POWER TOOLS (SUZHOU) CO., LTD. |
11171506 | Automatic transfer switch (ATS) bypass switch | EATON INTELLIGENT POWER LIMITED |
11171514 | Wireless power transmission system and sheet coil | NITTO DENKO CORPORATION |
11171515 | Wireless power transceiver and display apparatus with the same | SAMSUNG ELECTRONICS CO., LTD. |
11171537 | Electric compressor for a vehicle | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11171561 | Transformer and series resonant converter including the same | -- |
11171590 | Electronic device, in particular an alternator regulator, and method for regulating such a device | MOTEURS LEROY-SOMER |
11171600 | Device and method for voltage controlled oscillator comprising distributed active transformer cores | STMICROELECTRONICS S.R.L. |
11171605 | Spin torque oscillator with an antiferromagnetically coupled assist layer and methods of operating the same | WESTERN DIGITAL TECHNOLOGIES, INC. |
11171606 | Radio frequency oscillator | THALES |
11171622 | Balanced filter | MURATA MANUFACTURING CO., LTD. |
11171663 | Digital-to-analog converter | INTEL CORPORATION |
11171677 | Interference cancellation using circular polarized antennas | TELCOM VENTURES, LLC |
11171686 | Near-field communication device | CONTINENTAL AUTOMOTIVE FRANCE |
11171716 | Satellite for end to end beamforming | VIASAT, INC. |
11171717 | Method for adaptive demodulation and system implementing such a method | EUTELSAT S A |
11171718 | Beam super surge methods and apparatus for small geostationary (GEO) communication satellites | ASTRANIS SPACE TECHNOLOGIES CORP. |
11172060 | Communication device having antenna tuning based on hand position detected by edge display | MOTOROLA MOBILITY LLC |
11172309 | Bone conduction speaker | SHENZHEN VOXTECH CO., LTD. |
11172557 | Controllable power and lighting system | INTELLIGENT GROWTH SOLUTIONS LIMITED |
11172571 | Multipiece element storage package and multipiece optical semiconductor device | KYOCERA CORPORATION |
11172572 | Multilayer electronics assembly and method for embedding electrical circuit components within a three dimensional module | CRANE ELECTRONICS, INC. |
11172573 | Power supply device | MITSUBISHI ELECTRIC CORPORATION |
11172576 | Method for producing a printed circuit board structure | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
11172583 | Display device | LG DISPLAY CO., LTD. |
11172585 | Magnetic operator interface assembly | NEW FRONTIER TECHNOLOGIES LLC |
11172586 | Modular multipurpose telecommunications enclosure | -- |
11172587 | Method and apparatus for maintaining cooling of modular electronic system during module removal | CISCO TECHNOLOGY, INC. |
11172588 | Server device | INVENTEC (PUDONG) TECHNOLOGY CORPORATION |
11172589 | High density communications device | ZPE SYSTEMS, INC. |
11172590 | Electronic device and fluid driving device | INVENTEC (PUDONG) TECHNOLOGY CORPORATION |
11172591 | Normally open anti-recirculation system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11172592 | Pump-driven coolant filling device and methods | ROUCHON INDUSTRIES INC. |
11172593 | Heat dissipation system | LENOVO (BEIJING) CO., LTD. |
11172594 | Heat dissipation structure | -- |
11172595 | Remote tuner module with improved thermal properties | HIRSCHMANN CAR COMMUNICATION GMBH |
11172596 | Method and system for heat dissipation in a current compensation circuit | DR. ING. H.C. F. PORSCHE AKTIENGESELLSCHAFT |
11172597 | Mounting apparatus, for mounting at least one heat dissipating electrical device, optionally including a heat sink body for solid, gas and fluid heat exchange, and circuit board assembly providing interface between circuits | ALGOZEN CORPORATION |
11172598 | Cable and cable processing method | LANTO ELECTRONIC LIMITED |
11172599 | Electromagnetic-wave shielding sheet and electronic component-mounted substrate | TOYO INK SC HOLDINGS CO., LTD. |
11172600 | Mounting device | SHINKAWA LTD. |
11172601 | Component mounter | FUJI CORPORATION |
11172622 | Distributed control systems and methods for use in an assembly line grow pod | GROW SOLUTIONS TECH LLC |
11172654 | Aquarium | GUANGDONG BOYU GROUP CO., LTD |
11172655 | Aquarium | GUANGDONG BOYU GROUP CO., LTD |
11172717 | Magnetic fastener providing electrical connection and having female member with solid cover | ROMED FASTENERS, INC. |
11172905 | Dose modulation | SIEMENS HEALTHCARE GMBH |
11173304 | Three-dimensional electrode device and method for manufacturing the same | DAEGU GYEONGBUK INSTITUTE OF SCIENCE & TECHNOLOGY |
11173447 | Portable dehumidifying chamber | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11173526 | Automated structural laser cleaning system | LOCKHEED MARTIN CORPORATION |
11173617 | Quick-release end effector tool interface | BOARD OF REGENTS OF THE UNIVERSITY OF NEBRASKA |
11173794 | Parking assistance method and parking assistance device | NISSAN MOTOR CO., LTD. |
11173803 | Reconfigurable micro-grid | FORD GLOBAL TECHNOLOGIES, LLC |
11173855 | Electric device installation structure in vehicle | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11173886 | Hydraulic control device and brake control device | HITACHI ASTEMO, LTD. |
11174010 | Flying device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11174126 | Connection element for electrically contacting tension members in a load-bearing belt for an elevator system, and method for assembling the connection element on the belt | INVENTIO AG |
11174154 | Monolithically integrated multi-sensor device on a semiconductor substrate and method therefor | VERSANA MICRO INC. |
11174159 | Micro-electromechanical device having a soft magnetic material electrolessly deposited on a metal layer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11174160 | Planar cavity MEMS and related structures, methods of manufacture and design structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11174162 | Technologies for quantum sensing | THE UNIVERSITY OF CHICAGO |
11174193 | Conductive composition and method for producing terminal electrode | SUMITOMO METAL MINING CO., LTD. |
11174197 | Glass-based articles including a metal oxide concentration gradient | CORNING INCORPORATED |
11174276 | Organic-inorganic perovskite materials and methods of making the same | ALLIANCE FOR SUSTAINABLE ENERGY, LLC |
11174355 | Isolation method for water insoluble components of a biomass | GREEN EXTRACTION TECHNOLOGIES |
11174383 | Method for preparing composition suitable for electrostatic painting | DSM IP ASSETS B.V. |
11174398 | Overhead conductor with self-cleaning coating | CABLE COATINGS LIMITED |
11174408 | Peroxide-curable polyolefin composition | DOW GLOBAL TECHNOLOGIES LLC |
11174525 | Annealing separator composition for oriented electrical steel sheet, oriented electrical steel sheet, and method for manufacturing oriented electrical steel sheet | POSCO |
11174526 | Grain-oriented electrical steel sheet and method of manufacturing same | JFE STEEL CORPORATION |
11174537 | R-T-B sintered magnet | HITACHI METALS, LTD. |
11174544 | Batch processing system with vacuum isolation | ASM NEXX, INC. |
11174549 | Substrate processing methods | SAMSUNG ELECTRONICS CO., LTD. |
11174554 | Substrate tray for use in thin-film formation device | CORE TECHNOLOGY, INC. |
11174676 | Electric potentially-driven shade with improved shade extension control, and/or associated methods | GUARDIAN GLASS, LLC |
11174828 | Bobbin and coil device using same | MITSUBISHI ELECTRIC CORPORATION |
11174898 | Wiring structure of magnetic suspension bearing, compressor and air conditioner | GREE GREEN REFRIGERATION TECHNOLOGY CENTER CO., LTD. OF ZHUHAI |
11174904 | Field core unit for electromagnetic clutch | HYUNDAI MOTOR COMPANY |
11174962 | Pneumatic servo valve with adjustable drive unit | HAMILTON SUNDSTRAND CORPORATION |
11174987 | Ceiling-mountable, plug-in fan | -- |
11175027 | Lighting apparatus with light source plate secured between two connector portions | XIAMEN LEEDARSON LIGHTING CO. LTD. |
11175028 | Combination lamp support stabilizer and electrical connector | -- |
11175102 | Liquid-cooled cold plate | CHILLDYNE, INC. |
11175173 | Radar transceiver chip | VEGA GRIESHABER KG |
11175248 | Apparatus and method for detecting time-dependent defects in a fast-charging device | ASML NETHERLANDS B.V. |
11175267 | GC interface assembly | MICROMASS UK LIMITED |
11175310 | Method for upgrading an automatic testing system | -- |
11175337 | Over-the-air measurement system | ROHDE & SCHWARZ GMBH & CO. KG |
11175351 | Optical sensing methods and systems for power applications, and the construction thereof | HYPERION SENSORS INC. |
11175352 | Aircraft ground power plug force tester | INNOVATIVE ELECTRICAL DESIGN, INC. |
11175358 | Magnetic sensors with a mixed oxide passivation layer | MAGARRAY, INC. |
11175364 | Low field magnetic resonance imaging methods and apparatus | HYPERFINE, INC. |
11175382 | Elevation angle estimation in horizontal antenna array with doppler and velocity measurements | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
11175385 | Light detection and ranging (LiDAR) system using a wavelength converter | BEIJING VOYAGER TECHNOLOGY CO., LTD. |
11175400 | Road identification system using enhanced cross-section targets | FRACTAL ANTENNA SYSTEMS, INC. |
11175412 | Vehicle-to-X communication system | CONTINENTAL TEVES AG & CO. OHG |
11175449 | Optical fiber with variable absorption | LUMENTUM OPERATIONS LLC |
11175455 | Gratings with variable etch heights for waveguide displays | FACEBOOK TECHNOLOGIES, LLC |
11175563 | All-microwave stabilization of microresonator-based optical frequency combs | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
11175571 | Projector | SEIKO EPSON CORPORATION |
11175590 | Low dose charged particle metrology system | ASML NETHERLANDS B.V. |
11175695 | Foldable mobile terminal, foldable mechanism for foldable mobile terminal, and foldable unit for foldable mobile terminal | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
11175700 | Electronic devices with adjustable-appearance housing structures | APPLE INC. |
11175703 | Display unit assembly of laptop | -- |
11175706 | Fixing device for fixing data processor | HONGFUJIN PRECISION ELECTRONICS(TIANJIN)CO., LTD. |
11175745 | System and method for application of piezo electric haptic keyboard personal typing profile | DELL PRODUCTS L.P. |
11175759 | Display apparatus with integrated antenna | LG DISPLAY CO., LTD. |
11175765 | Touchpad module and computing device including the same | -- |
11175776 | Electronic device including an optical sensor mounted on back surface of a display | SAMSUNG ELECTRONICS CO., LTD. |
11175956 | System with multiple electronic devices | APPLE INC. |
11176532 | Electronic device, information processing apparatus, and POS terminal | NEC PLATFORMS, LTD. |
11176918 | Piezoelectric element and musical instrument | YUPO CORPORATION |
11176962 | Heat-assisted magnetic recording laser with a curved facet | SEAGATE TECHNOLOGY LLC |
11176983 | Asynchronous read circuit using delay sensing in magnetoresistive random access memory (MRAM) | -- |
11177049 | Self-healing insulation fluid for repairing damaged fluid filled cables | KINECTRICS UK LIMITED |
11177050 | Method for inhibiting occurrence of creeping electrical discharge | NISSAN CHEMICAL CORPORATION |
11177051 | Insulation layer for cables | BOREALIS AG |
11177052 | Method of making an electrical steel sheet provided with insulating coating | JFE STEEL CORPORATION |
11177053 | High-shielding light-weight cables including shielding layer of polymer-carbon composite | NEXANS |
11177054 | Armoured cable for transporting alternate current | PRYSMIAN S.P.A. |
11177055 | Leading/lagging cable referencing platform for monitoring the health of underground cable networks | SAVANNAH RIVER NUCLEAR SOLUTIONS, LLC |
11177056 | Waterproofing structure and waterproofing method for shielded cable | YAZAKI CORPORATION |
11177057 | Base metal electrodes for metal oxide varistor | DONGGUAN LITTELFUSE ELECTRONICS, CO., LTD |
11177058 | Composite surge arrester module in a die and method of construction | OLEAN |
11177059 | Film resistor and thin-film sensor | TDK ELECTRONICS AG |
11177060 | Permanent magnet, rotary electric machine, and vehicle | KABUSHIKI KAISHA TOSHIBA |
11177061 | Proportional solenoid, method for manufacturing the same, and method for controlling characteristics of proportional solenoid | HITACHI METALS, LTD. |
11177062 | Actuator system and use of an actuator system | ETO MAGNETIC GMBH |
11177063 | Method for magnetising at least two magnets having different magnetic coercivity | PHOENIX CONTACT GMBH & CO. KG |
11177064 | Advanced 3D inductor structures with confined magnetic field | QORVO US, INC. |
11177065 | Thermal paths for glass substrates | QUALCOMM INCORPORATED |
11177066 | Egg-shaped continuous coils for inductive components | ASTEC INTERNATIONAL LIMITED |
11177067 | Magnetic shielding for plasma sources | LAM RESEARCH CORPORATION |
11177068 | On-load tap changer head and on-load tap changer having an on-load tap changer head | MASCHINENFABRIK REINHAUSEN GMBH |
11177069 | Method for producing R-T-B system sintered magnet | HITACHI METALS, LTD. |
11177070 | Electric shock protection device, method for manufacturing same, and portable electronic device having same | AMOTECH CO., LTD. |
11177071 | Multi-layer ceramic electronic component and method of producing the same | TAIYO YUDEN CO., LTD. |
11177072 | Multilayer capacitor and board having the same mounted thereon | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11177073 | Manufacturing method of ceramic powder | TAIYO YUDEN CO., LTD. |
11177074 | Capacitor for multiple replacement applications | AMRAD MANUFACTURING, LLC |
11177075 | Method for forming polymer composite material onto capacitor element | -- |
11177076 | Conductive polymer capacitor for improved reliability | KEMET ELECTRONICS CORPORATION |
11177077 | Electrolytic capacitor and method for manufacturing same | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11177078 | Light-absorbing layer precursor, organic-inorganic hybrid solar cell manufacturing method using same, and organic-inorganic hybrid solar cell | LG CHEM, LTD. |
11177079 | Aqueous electrolyte and pseudocapacitor comprising same | LG CHEM, LTD. |
11177080 | Conductive polymer hybrid type electrolytic capacitor | -- |
11177081 | Fixing device for fixing plurality of energy storage cells, and energy storage module using same | LS MTRON LTD. |
11177082 | Electrical switch with three fixed contacts, a rotating knife contact and three switch positions | ABB SCHWEIZ AG |
11177083 | Key structure | -- |
11177084 | Key structure | -- |
11177085 | Slowing mechanism for switching apparatus and switching apparatus | ABB SCHWEIZ AG |
11177086 | On-load tap changer, regulating transformer with on-load tap changer, and method for connecting an on-load tap changer | MASCHINENFABRIK REINHAUSEN GMBH |
11177087 | Remote control for a wireless load control system | LUTRON TECHNOLOGY COMPANY LLC |
11177088 | Motor control center (MCC) units with dual disconnect switches, dual operator handles, retractable power connector and interlocks | EATON INTELLIGENT POWER LIMITED |
11177089 | Switching device | -- |
11177090 | Determining a state of a switching unit | SCHNEIDER ELECTRIC INDUSTRIES SAS |
11177091 | Keyboard device | -- |
11177092 | Keyboard device and key structure thereof | -- |
11177093 | Touch-sensitive mechanical keyboard with sensing circuits for touch events and key depression events | APPLE INC. |
11177094 | Central locking dual illumination switch | CARLING TECHNOLOGIES, INC. |
11177095 | Wall grazer apparatus | XIAMEN ECO LIGHTING CO. LTD. |
11177096 | Multifunction switch | MIYAMA ELECTRIC CO., LTD. |
11177097 | Gas circuit breaker | KABUSHIKI KAISHA TOSHIBA |
11177098 | DC circuit breaker having arc blowout device | LSIS CO., LTD. |
11177099 | Switching apparatus | GENERAL ELECTRIC TECHNOLOGY GMBH |
11177100 | Self-powered piezoelectric-based programmable electronic impulse switches | OMNITEK PARTNERS LLC |
11177101 | Pyrotechnic fuse for interrupting an electrical circuit | PANASONIC INDUSTRIAL DEVICES EUROPE GMBH |
11177102 | Volatile organic compound controlled relay for power applications | ASTRONICS ADVANCED ELECTRONIC SYSTEMS CORP. |
11177103 | Fuse link exhaust systems and methods | S&C ELECTRIC COMPANY |
11177104 | Device for controlling electron flow and method for manufacturing said device | EVINCE TECHNOLOGY LIMITED |
11177105 | X-ray source | ADAPTIX LTD. |
11177106 | Miniaturized X-ray tube including extractor | RE-MEDI CO., LTD |
11177108 | Charged particle beam application apparatus | HITACHI HIGH-TECH CORPORATION |
11177109 | Specimen holder and charged particle beam device provided with same | HITACHI HIGH-TECH CORPORATION |
11177110 | Volume scanning electron microscopy of serial thick tissue sections with gas cluster milling | HOWARD HUGHES MEDICAL INSTITUTE |
11177111 | Defect observation device | HITACHI HIGH-TECH CORPORATION |
11177112 | Pattern measurement device and non-transitory computer readable medium having stored therein program for executing measurement | HITACHI HIGH-TECH CORPORATION |
11177113 | Charged particle beam apparatus and control method thereof | HITACHI HIGH-TECH SCIENCE CORPORATION |
11177114 | Electrode arrangement, contact assembly for an electrode arrangement, charged particle beam device, and method of reducing an electrical field strength in an electrode arrangement | ICT INTEGRATED CIRCUIT TESTING GESELLSCHAFT FüR HALBLEITERPRüFTECHNIK MBH |
11177115 | Dual-level pulse tuning | APPLIED MATERIALS, INC. |
11177116 | Beam intensity converting film, and method of manufacturing beam intensity converting film | KANEKA CORPORATION |
11177117 | Method for pulsed laser deposition | SOLMATES B.V. |
11177118 | Substrate processing apparatus and control method therefor | TOKYO ELECTRON LIMITED |
11177119 | Tantalum sputtering target | JX NIPPON MINING & METALS CORPORATION |
11177120 | Mass spectrometry method, mass spectrometry device, program, and mass spectrometry kit | SHIMADZU CORPORATION |
11177121 | Method for identification of the monoisotopic mass of species of molecules | THERMO FISHER SCIENTIFIC (BREMEN) GMBH |
11177122 | Apparatus and method for calibrating or resetting a charge detector | THE TRUSTEES OF INDIANA UNIVERSITY |
11177136 | Abatement and strip process chamber in a dual loadlock configuration | APPLIED MATERIALS, INC. |
11177139 | Electronic card with printed circuit comprising an antenna with integrated slots and method for the production thereof | INSTITUT VEDECOM |
11177151 | Sample holder | KYOCERA CORPORATION |
11177188 | Heat dissipation substrate for multi-chip package | -- |
11177191 | Rivet spacer for compromising assembling between two parts secured to each other via screw structure | FOXCONN (KUNSHAN) COMPUTER CONNECTOR CO., LTD. |
11177198 | Plurality of lead frames electrically connected to inductor chip | ROHM CO., LTD. |
11177222 | Semiconductor packages and associated methods with antennas and EMI isolation shields | MICRON TECHNOLOGY, INC. |
11177227 | Method and apparatus for heat sinking high frequency IC with absorbing material | ANOKIWAVE, INC. |
11177239 | Semiconductor device including control switches to reduce pin capacitance | SANDISK INFORMATION TECHNOLOGY (SHANGHAI) CO., LTD. |
11177304 | Method for forming light-sensing device | -- |
11177412 | Sputter deposition apparatus including roller assembly and method | BEIJING APOLLO DING RONG SOLAR TECHNOLOGY CO., LTD. |
11177429 | Vibrator element, vibrator, oscillator, electronic apparatus, and vehicle | SEIKO EPSON CORPORATION |
11177431 | Magnetic memory device and method for manufacturing the same | HEFECHIP CORPORATION LIMITED |
11177432 | Heusler-alloy and ferrimagnet based magnetic domain-wall devices for artificial neural network applications | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177439 | Processing of perovskite films using inks with complexing agents | TANDEM PV, INC. |
11177474 | Electrochemical cells with a high voltage cathode | HYDRO-QUÉBEC |
11177523 | Energy storage apparatus | BLUE ENERGY CO., LTD. |
11177534 | Binder composition for non-aqueous secondary battery porous membrane, slurry composition for non-aqueous secondary battery porous membrane, porous membrane for non-aqueous secondary battery, and non-aqueous secondary battery | ZEON CORPORATION |
11177535 | Separator and non-aqueous electrolyte battery | MAXELL HOLDINGS, LTD. |
11177545 | Full band orthomode transducers | SIERRA NEVADA CORPORATION |
11177546 | Bandpass filter based on effective localized surface plasmons and operation method thereof | NANJING UNIVERSITY OF AERONAUTICS AND ASTRONAUTICS |
11177547 | Three-dimensional branch line coupler | RAYTHEON COMPANY |
11177548 | Electromagnetic wave concentration | THE BOEING COMPANY |
11177549 | Method of growing titanium nitride on silicon substrate free from silicon nitride interface by using a titanium seed layer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177550 | Multi-fed patch antennas and devices including the same | SAMSUNG ELECTRONICS CO., LTD. |
11177551 | Antenna module | SAMSUNG ELECTRONICS CO., LTD. |
11177552 | Semiconductor device package and method for manufacturing the same | -- |
11177553 | Interface connector for supporting millimeter wave wireless communications | QUALCOMM INCORPORATED |
11177554 | Chip-scale radio-frequency localization devices and associated systems and methods | HUMATICS CORPORATION |
11177555 | Back cover for portable terminal and back cover-integrated antenna module including the same | AMOSENSE CO. LTD. |
11177556 | Antenna and electronic device comprising same | SAMSUNG ELECTRONICS CO., LTD. |
11177557 | Electronic device comprising communication device including sensing electrode | SAMSUNG ELECTRONICS CO., LTD. |
11177558 | Apparatus and methods for wireless communication | NOKIA TECHNOLOGIES OY |
11177559 | Radiator assembly for base station antenna | COMMSCOPE TECHNOLOGIES LLC |
11177560 | Antenna apparatus and vehicle having the same | HYUNDAI MOTOR COMPANY |
11177561 | Protected RFID antenna | CHECKPOINT SYSTEMS, INC. |
11177562 | Electronic device | -- |
11177563 | Lower element ground plane apparatus and methods for an antenna system | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
11177564 | Antenna connection circuits | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11177565 | Simplified multi-band multi-beam base-station antenna architecture and its implementation | COMMUNICATION COMPONENTS ANTENNA INC. |
11177566 | Electronic devices having shielded antenna arrays | APPLE INC. |
11177567 | Antenna array calibration systems and methods | ANALOG DEVICES GLOBAL UNLIMITED COMPANY |
11177568 | Antenna resource scheduling method and device | HUAWEI TECHNOLOGIES CO., LTD. |
11177569 | Three-dimensional loop antenna device | FRAUNHOFER-GESELLSCHAFT ZUR FOERDERUNG DER ANGEWANDTEN FORSCHUNG E.V. |
11177571 | Phased array antenna with edge-effect mitigation | RAYTHEON COMPANY |
11177572 | Broadband stacked patch radiating elements and related phased array antennas | COMMSCOPE TECHNOLOGIES LLC |
11177573 | C-fed antenna formed on multi-layer printed circuit board edge | SONY GROUP CORPORATION |
11177574 | System and method of optical antenna tuning | AT&T INTELLECTUAL PROPERTY I, L.P. |
11177575 | Planar antenna element and antenna | SHENZHEN ANTOP TECHNOLOGY CO. LTD. |
11177576 | Antenna having deployable antenna fins and associated methods | EAGLE TECHNOLOGY, LLC |
11177577 | Passive repeater device, microwave network, and method of designing a repeater device | 3M INNOVATIVE PROPERTIES COMPANY |
11177578 | Antenna device for vehicle | YOKOWO CO., LTD. |
11177579 | Reflector antenna and antenna alignment method | HUAWEI TECHNOLOGIES CO., LTD. |
11177580 | Multiband linear waveguide feed network | LOCKHEED MARTIN CORPORATION |
11177581 | Multi-chip system for an antenna array | IMEC VZW |
11177582 | Dual polarized antenna and dual polarized antenna assembly comprising same | KMW INC |
11177583 | Electronic device and antenna structure thereof | -- |
11177584 | Female welding lead connector including safety flip cover | ALPHA/OMEGA ENERGY SOLUTIONS, LLC |
11177585 | Insulation piercing electrical tap connectors | PANDUIT CORP. |
11177586 | Contact device and system | TE CONNECTIVITY GERMANY GMBH |
11177587 | Ground lug holder | FACEBOOK, INC. |
11177588 | High-temperature superconducting wire connection assembly | RIKEN |
11177589 | Electrical terminal and electrical connector thereof | DONGGUAN LUXSHARE TECHNOLOGIES CO., LTD. |
11177590 | Printed circuit board with a connector for electric connection of the PCB with another apparatus | INTEL CORPORATION |
11177591 | Connecting method, connecting structure and connection terminal | JAPAN AVIATION ELECTRONICS INDUSTRY, LIMITED |
11177592 | High performance stacked connector | AMPHENOL CORPORATION |
11177593 | Connector | MOLEX, LLC |
11177594 | Housing for pluggable module | II-VI DELAWARE, INC. |
11177595 | Electrical connection management using a card | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177596 | Board end connector | DONGGUAN LUXSHARE TECHNOLOGIES CO., LTD |
11177597 | Connector adapted to be connected to flexible conductor | JAPAN AVIATION ELECTRONICS INDUSTRY, LIMITED |
11177598 | Full-shielding cable connector and cable plug thereof | -- |
11177599 | Power connector for a bus bar | TE CONNECTIVITY SERVICES GMBH |
11177600 | Receptacle connector and method of plugging plug connector | TYCO ELECTRONICS (SHANGHAI) CO. LTD. |
11177601 | Terminal having a conductor and a spring | AUTONETWORKS TECHNOLOGIES, LTD. |
11177602 | Female terminal | AUTONETWORKS TECHNOLOGIES, LTD. |
11177603 | Interposer | TYCO ELECTRONICS JAPAN G.K. |
11177604 | Connector housing and connector | TYCO ELECTRONICS JAPAN G.K. |
11177605 | Connector, jig and method for manufacturing connector | AUTONETWORKS TECHNOLOGIES, LTD. |
11177606 | Housing with lateral hooks | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11177607 | Connector structure and housing | YAZAKI CORPORATION |
11177608 | Standby connector | AUTONETWORKS TECHNOLOGIES, LTD. |
11177609 | Coaxial cable connector having an activatable seal | PPC BROADBAND, INC. |
11177610 | Neuromonitoring connection system | CADWELL LABORATORIES, INO. |
11177611 | Method of mating a quick-locking coaxial connector | COMMSCOPE TECHNOLOGIES LLC |
11177612 | Plug connector with a connector position assurance device and connector assembly including the same | -- |
11177613 | Electrical plug latching systems and related methods | GATEVIEW TECHNOLOGIES, INC. |
11177614 | Transceiver assembly array with fixed heatsink and floating transceivers | SAMTEC, INC. |
11177615 | Electrical connector | HIROSE ELECTRIC CO., LTD. |
11177616 | Electrical plug with a protective conductor contact and protective conductor connector element formed integrally therewith for grounding exterior parts | TE CONNECTIVITY INDUSTRIAL GMBH |
11177617 | Pad extending member | HUBBELL INCORPORATED |
11177618 | Server blind-mate power and signal connector dock | DELL PRODUCTS L.P. |
11177619 | Techniques for high-speed communications through slip rings using modulation and multipath signaling | RAYTHEON COMPANY |
11177620 | Laser failure early warning indicator | INTEL CORPORATION |
11177622 | Nearly transform-limited, low-repetition-rate, picosecond optical parametric generator | UNITED STATES OF AMERICA AS REPRESENTED BY THE SECRETARY OF THE AIR FORCE |
11177623 | Optical device and method of manufacturing the same | FUJITSU LIMITED |
11177624 | Tunable laser | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11177625 | Laser apparatus | FANUC CORPORATION |
11177626 | CTE-tuned pyrolytic graphite (PG) substrate to minimize joining stress between laser diode and the substrate | LAWRENCE LIVEREMORE NATIONAL SECURITY, LLC |
11177627 | Tunable laser | ROCKLEY PHOTONICS LIMITED |
11177628 | Assembly comprising an electric component | OSRAM OLED GMBH |
11177629 | Light source device, light source driver, and lighting system | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11177630 | Wavelength determination for widely tunable lasers and laser systems thereof | BROLIS SENSOR TECHNOLOGY, UAB |
11177631 | Multi-wavelength semiconductor comb lasers | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11177632 | Augmented semiconductor lasers with spontaneous emissions blockage | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177633 | Manufacturing method of a device for generating terahertz radiation | -- |
11177634 | Gallium and nitrogen containing laser device configured on a patterned substrate | KYOCERA SLD LASER, INC. |
11177635 | Light source device | USHIO DENKI KABUSHIKI KAISHA |
11177636 | Motor control center (MCC) units with slidable shutters | EATON INTELLIGENT POWER LIMITED |
11177638 | Cable foil processing equipment | TYCO ELECTRONICS (SHANGHAI) CO. LTD. |
11177639 | Electrical cable passthrough for photovoltaic systems | GAF ENERGY LLC |
11177640 | Cable management assembly | -- |
11177641 | Retractable cable assembly in use with electrical devices | AI INCORPORATED |
11177642 | Low cost high frequency sensor for arc-fault detection | SCHNEIDER ELECTRIC USA, INC. |
11177645 | Systems and methods for improving restricted earth fault protection | SCHWEITZER ENGINEERING LABORATORIES, INC. |
11177653 | Bus mounted surge protection devices | EATON INTELLIGENT POWER LIMITED |
11177663 | Chain of power devices | SOLAREDGE TECHNOLOGIES LTD. |
11177666 | Stacked coil structure and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11177673 | Electronic device charging apparatus that maintains proper electrical polarity to the device | -- |
11177680 | Field shaper for a wireless power transmitter | INTEL CORPORATION |
11177692 | Electrical apparatus | TYCO ELECTRONICS (SHANGHAI) CO. LTD. |
11177695 | Transmitting base with magnetic shielding and flexible transmitting antenna | NUCURRENT, INC. |
11177698 | Wireless power transmitter and control method therefor | SAMSUNG ELECTRONICS CO., LTD. |
11177708 | Method for manufacturing an integrated stator and housing for an electrical machine | GE AVIATION SYSTEMS LLC |
11177712 | Metal coil fabrication | ROLLS-ROYCE PLC |
11177716 | Brush holder for electrical contact brushes | AB DYNAMOBORSTFABRIKEN |
11177787 | Lamb wave resonator and other type of acoustic wave resonator included in one or more filters | SKYWORKS SOLUTIONS, INC. |
11177838 | Communication circuit with single element antenna for multi-frequency applications | SCHLAGE LOCK COMPANY LLC |
11177840 | Smart multiband antenna system | UNITED ARAB EMIRATES UNIVERSITY |
11177843 | Efficiency antenna impedance matching | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177854 | Method for simulating an antenna | APTIV TECHNOLOGIES LIMITED |
11177855 | Extendable wire-based data communication cable assembly | -- |
11177874 | Service link antenna configuration and beam forming control in HAPS | HAPSMOBILE INC. |
11177886 | Pluggable optical amplifier for datacenter interconnects | II-VI DELAWARE, INC. |
11177889 | Out-of-band communication channel for sub-carrier-based optical communication systems | INFINERA CORPORATION |
11177900 | Integrated WDM optical transceiver | II-VI DELAWARE, INC. |
11177990 | Orbital angular momentum-based transmitter, receiver, and communication method | KOREA ADVANCED INSTITUTE OF SCIENCE AND TECHNOLOGY |
11178392 | Integrated optical emitters and applications thereof | APPLE INC. |
11178474 | Intelligent subsystem in access networks | -- |
11178482 | Transducer module and electronics device | GOERTEK INC. |
11178745 | Lighting apparatus and corresponding system, method and computer program product | OSRAM GMBH |
11178749 | Printed circuit board assembly and electronic apparatus using the same | -- |
11178750 | Multilayer substrate, multilayer substrate array, and transmission/ reception module | FUJIKURA LTD. |
11178752 | Circuit board with electrostatic discharge protection mechanism and electronic apparatus having the same | -- |
11178753 | Switch device | SANYO ELECTRIC CO., LTD. |
11178759 | Electronic component and camera module | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11178762 | Connection structure for wiring substrate and flexible substrate and package for housing electronic components | NGK ELECTRONICS DEVICES, INC. |
11178768 | Flexible printed circuit EMI enclosure | INTEL CORPORATION |
11178769 | Dataloggers in compact design | ELPRO-BUCHS AG |
11178777 | Component embedded circuit board with antenna structure and method for manufacturing the same | QING DING PRECISION ELECTRONICS (HUAIAN) CO., LTD |
11178778 | High frequency module | MURATA MANUFACTURING CO., LTD. |
11178779 | Display device | SAMSUNG DISPLAY CO., LTD. |
11178780 | Floating bearing bush for a printed circuit board | ROBERT BOSCH GMBH |
11178781 | Etching for bonding polymer material to a metal surface | APPLE INC. |
11178782 | Display apparatus for vehicle | LG ELECTRONICS INC. |
11178783 | Arc-shaped lock | UNILUMIN GROUP CO., LTD |
11178784 | Display device | SHARP KABUSHIKI KAISHA |
11178785 | Metal enclosure of mobile device, production method for metal enclosure, and mobile device | HUAWEI TECHNOLOGIES CO., LTD. |
11178786 | Method for manufacturing hermetic sealing lid member | HITACHI METALS, LTD. |
11178787 | Server device | INVENTEC (PUDONG) TECHNOLOGY CORPORATION |
11178788 | Cooling system for a networking device with orthogonal switch bars | CISCO TECHNOLOGY, INC. |
11178789 | Combination air-water cooling device | ADVANCED ENERGY INDUSTRIES, INC. |
11178790 | Immersion tank and electronic apparatus | FUJITSU LIMITED |
11178791 | Apparatus for increasing heat dissipation capacity of a DIN rail mounted enclosure | SCHNEIDER ELECTRIC USA, INC. |
11178792 | Heat exchange structure of telecommunication cabinet | SUPER MICRO COMPUTER, INC. |
11178793 | In-row cooling system | RAHI SYSTEMS INC. |
11178794 | Computer server heat regulation utilizing integrated precision air flow | DHK STORAGE, LLC |
11178795 | Modular thermoelectric-based cooling device for heterogeneous packages | BAIDU USA LLC |
11178796 | Power conversion equipment cooling with cyclonic airborne particle reduction | ROCKWELL AUTOMATION TECHNOLOGIES, INC. |
11178797 | Loudspeaker system cooling | BOSE CORPORATION |
11178798 | Cooling system with parallel cooling channels, temperature sensor, and movable flaps | SIEMENS AKTIENGESELLSCHAFT |
11178799 | Electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11178800 | Ceramic overvoltage protection device having low capacitance and improved durability | KEMET ELECTRONICS CORPORATION |
11178801 | Method and system for determining component illumination settings | MYCRONIC AB |
11178858 | Aquarium | GUANGDONG BOYU GROUP CO., LTD |
11178965 | Slide rail assembly | HONGFUJIN PRECISION ELECTRONICS (TIANJIN) CO., LTD. |
11179048 | System for deploying an implant assembly in a vessel | ST. JUDE MEDICAL LUXEMBOURG HOLDINGS II S.A.R.L. (“SJM LUX 11”) |
11179126 | Tomosynthesis imaging apparatus and method for operating the same | FUJIFILM CORPORATION |
11179682 | Segregation resistant perovskite oxides with surface modification | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11179730 | Method for manufacturing an electro-filter | AKWEL |
11179745 | Manufacturing an arrangement for transferring energy from a primary unit conductor arrangement by a magnetic or an electromagnetic field to a secondary unit conductor arrangement | BOMBARDIER PRIMOVE GMBH |
11179776 | Joining metal or alloy components using electric current | ROLLS-ROYCE CORPORATION |
11179832 | Precision screw starting device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11179841 | Battery pack interface | MILWAUKEE ELECTRIC TOOL CORPORATION |
11179857 | Interface mechanism and horizontal articulated robot | FANUC CORPORATION |
11180033 | Motor vehicle with an electric motor, in particular a hybrid or electric vehicle | BAYERISCHE MOTOREN WERKE AKTIENGESELLSCHAFT |
11180036 | Non-contact power transmission system | HONDA MOTOR CO., LTD. |
11180043 | Charging cable and charging station for electric cars | DR. ING. H.C. F. PORSCHE AKTIENGESELLSCHAFT |
11180169 | Onboard system, ground system, and information transmission system | KYOSAN ELECTRIC MFG. CO., LTD. |
11180398 | Deionized-water cooling for electrical equipment | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11180515 | Non-aqueous electrolytic solution for power storage element | STELLA CHEMIFA CORPORATION |
11180585 | Film touch sensor and structure including the same | DONGWOO FINE-CHEM CO., LTD. |
11180623 | Flexible conductive film and its preparation method | SHENZHEN HUAKE-TEK CO., LTD. |
11180625 | Thermally and/or electrically conductive materials and method for the production thereof | HUTCHINSON |
11180645 | Process for foaming polyolefin compositions using an azodicarbonamide/citrate mixture as a nucleating agent | DOW GLOBAL TECHNOLOGIES LLC |
11180648 | Composition comprising a semi-crystalline thermoplastic fluoropolymer and a fluorinated thermoplastic elastomer block copolymer | SOLVAY SPECIALTY POLYMERS ITALY S.P.A. |
11180660 | Mixed cation perovskite material devices | CUBIC PEROVSKITE LLC |
11180672 | Fine silver particle dispersing solution | DOWA ELECTRONICS MATERIALS CO., LTD. |
11180673 | Conductive ink compositions | ELECTRONINKS INCORPORATED |
11180674 | Coating compositions, dielectric coatings formed therefrom, and methods of preparing dielectric coatings | PPG INDUSTRIES OHIO, INC. |
11180698 | Liquid-crystalline medium and high-frequency components comprising same | MERCK PATENT GMBH |
11180819 | Grain-oriented electrical steel plate and production method therefor | POSCO |
11180834 | Grain-oriented electrical steel sheet and production method for grain-oriented electrical steel sheet | JFE STEEL CORPORATION |
11180841 | Structures utilizing a structured magnetic material and methods for making | PERSIMMON TECHNOLOGIES CORPORATION |
11180873 | Items with wire actuators | APPLE INC. |
11180932 | Theft deterrent system for electronics cabinet door | COMMSCOPE TECHNOLOGIES LLC |
11181071 | Internal combustion engine | MAN ENERGY SOLUTIONS SE |
11181090 | Ignition apparatus | DENSO CORPORATION |
11181124 | Compact cooling device with radial fan adhesively bonded to a heat sink | ELEKTROSIL GMBH |
11181204 | Valve | VITESCO TECHNOLOGIES GMBH |
11181225 | Attachment device, electronic device, attachment device securing method, and sheet | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11181231 | Actuator | EUCHNER GMBH + CO. KG |
11181239 | Adjustable lighting lamp and application thereof | NINGBO FUTAI ELECTRIC LIMITED |
11181256 | Stand for portable accessory | -- |
11181344 | Energy dense source for pulse power applications and novel electromagnetic armor | BATTELLE MEMORIAL INSTITUTE |
11181422 | Spectrophotometer calibration methods and systems | AGILENT TECHNOLOGIES, INC. |
11181446 | Laser ablation sampling system and method | UT-BATTELLE, LLC |
11181455 | Calibration verification for optical particle analyzers | PARTICLE MEASURING SYSTEMS, INC. |
11181475 | Gas analysis device | YOKOGAWA ELECTRIC CORPORATION |
11181511 | Rapid scoring of LC-MS/MS peptide data | DH TECHNOLOGIES DEVELOPMENT PTE. LTD. |
11181537 | Mass spectrometric determination of eicosapentaenoic acid and docosahexaenoic acid | QUEST DIAGNOSTICS INVESTMENTS INCOPORATED |
11181563 | Circuit-breaker and mobile device | SIEMENS AKTIENGESELLSCHAFT |
11181611 | Apparatus and method for compensating for return loss of antenna of radar, and radar apparatus using same | MANDO CORPORATION |
11181613 | Filtering undesired polarization of signals transmitted from a chip to a waveguide unit | WAYMO LLC |
11181614 | Antenna array tilt and processing to eliminate false detections in a radar system | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
11181632 | Passive radar identification device | AUGMENTED RADAR IMAGING, INC. |
11181668 | High contrast gradient index lens antennas | UNIVERSITY OF NOTRE DAME DU LAC |
11181688 | Integration of an unprocessed, direct-bandgap chip into a silicon photonic device | SKORPIOS TECHNOLOGIES, INC. |
11181698 | Connector and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11181705 | Optical module | INNOLIGHT TECHNOLOGY (SUZHOU) LTD. |
11181757 | Heat management in wireless electronic devices | SNAP INC. |
11181765 | System and method to heat LCDs using UV LEDs | ROCKWELL COLLINS, INC. |
11181782 | Liquid crystal panel and scanning antenna | SHARP KABUSHIKI KAISHA |
11181804 | Method and system for frequency conversion | RAMOT AT TEL-AVIV UNIVERSITY LTD. |
11181813 | Projector light valve module with liquid lens | NANHUA INTELLIGENT PRECISION MACHINE (SHENZHEN) CO., LTD. |
11181833 | Substrate processing apparatus | ASML NETHERLANDS B.V. |
11181943 | Electronic device with self-aligning accessory | LENOVO (SINGAPORE) PTE. LTD. |
11181949 | Retractable keyboards | APPLE INC. |
11181998 | Transparent conductive film | TOYOBO CO., LTD. |
11183087 | Support system for modular display system | DRAPER, INC. |
11183159 | Electric, electro acoustic, or acoustic drum with internal wiring harness | -- |
11183215 | Thin-film crystalline structure with surfaces having selected plane orientations | SEAGATE TECHNOLOGY LLC |
11183315 | Conductive paste | SHOEI CHEMICAL INC. |
11183316 | Method for producing a cable core for a cable, in particular for an induction cable | LEONI KABEL GMBH |
11183317 | Stacked wiring member | AUTONETWORKS TECHNOLOGIES, LTD. |
11183318 | Fire retardant, releasably connectable wrap for a portable radio, remote speaker microphone, and the cord therebetween | BREAKTHROUGH INNOVATIONS, LLC |
11183319 | Wire harness and method of manufacturing wire harness | AUTONETWORKS TECHNOLOGIES, LTD. |
11183320 | Magnetic core and coil component | TDK CORPORATION |
11183321 | Powder magnetic core with silica-based insulating film, method of producing the same, and electromagnetic circuit component | DIAMET CORPORATION |
11183322 | Variable inductor apparatuses systems and methods | ABB SCHWEIZ AG |
11183323 | Magnetic core component and gap control method thereof | DELTA ELECTRONICS (SHANGHAI) CO., LTD. |
11183324 | Inductor array | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11183325 | Electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11183326 | Coil structure for a dry-type transformer and a winding method thereof | TRITYPE ELECTRIC CO., LTD. |
11183327 | Coil component | MURATA MANUFACTURING CO., LTD. |
11183328 | Coupled inductors for low electromagnetic interference | MAXIM INTEGRATED PRODUCTS, INC. |
11183329 | Reactor and method for producing the same | SUMIDA CORPORATION |
11183330 | Capacitor with multiple elements for multiple replacement applications | AMRAD MANUFACTURING, LLC |
11183331 | MLCC module and method of manufacturing the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11183332 | Multilayer electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11183333 | Multilayered electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11183334 | Multilayer ceramic electronic component | MURATA MANUFACTURING CO., LTD. |
11183335 | Power factor correction capacitors | AMRAD MANUFACTURING, LLC |
11183336 | Capacitor with multiple elements for multiple replacement applications | AMRAD MANUFACTURING, LLC |
11183337 | Capacitor with multiple elements for multiple replacement applications | AMRAD MANUFACTURING, LLC |
11183338 | Capacitor with multiple elements for multiple replacement applications | AMRAD MANUFACTURING, LLC |
11183339 | Solid electrolytic capacitor containing a sequential vapor-deposited dielectric film | AVX CORPORATION |
11183340 | Method for manufacturing solid electrolytic capacitor | SHOWA DENKO K.K. |
11183341 | Electrolytic capacitive device | AMRAD MANUFACTURING, LLC |
11183342 | Solid electrolytic capacitor containing polyaniline | AVX CORPORATION |
11183343 | Composite material for supercapacitor electrodes | UNITED ARAB EMIRATES UNIVERSITY |
11183344 | Graphene composite material for sliding contact | HITACHI ENERGY SWITZERLAND AG |
11183345 | Keyswitch with supporting mechanism | LITE-ON TECHNOLOGY (CHANG ZHOU) CO., LTD. |
11183346 | Input device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11183347 | Keyboard | -- |
11183348 | Vacuum circuit interrupter with decelerator with integrated latch assembly | EATON INTELLIGENT POWER LIMITED |
11183349 | Force switch | ETHICON ENDO-SURGERY, INC |
11183350 | Ferromagnetic part for an electromagnetic contact, its manufacturing process and its use | SCHNEIDER ELECTRIC INDUSTRIES SAS |
11183351 | Relay device | LS AUTOMOTIVE TECHNOLOGIES CO., LTD. |
11183352 | Air circuit breaker | LS ELECTRIC CO., LTD. |
11183353 | Fuses, vehicle circuit for electric vehicle and electric vehicle | COOPER XI'AN FUSE CO., LTD. |
11183354 | Drawer-type carrying device for accelerator and cabin structure for accelerator | NUCTECH COMPANY LIMITED |
11183355 | X-ray tube | MALVERN PANALYTICAL B.V. |
11183356 | Rotary anode unit and X-ray generation apparatus | ENERGETIQ TECHNOLOGY, INC. |
11183357 | MBFEX tube | CETTEEN GMBH |
11183358 | Energy filter element for ion implantation systems for the use in the production of wafers | MI2-FACTORY GMBH |
11183359 | Charged particle beam apparatus | HITACHI HIGH-TECH CORPORATION |
11183360 | Optical system with compensation lens | ASML NETHERLANDS B.V. |
11183361 | Charged particle beam device and method for inspecting and/or imaging a sample | ICT INTEGRATED CIRCUIT TESTING GESELLSCHAFT FüR HALBLEITERPRüFTECHNIK MBH |
11183362 | Charged particle beam apparatus and sample observation method using the same | HITACHI HIGH-TECH CORPORATION |
11183363 | Scanning electron microscope apparatus and operation method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11183364 | Dual beam microscope system for imaging during sample processing | FEI COMPANY |
11183365 | Multiple arc chamber source | AXCELIS TECHNOLOGIES, INC. |
11183366 | Multi-beam writing method and multi-beam writing apparatus | NUFLARE TECHNOLOGY, INC. |
11183367 | Atomic layer etching processes | ASM IP HOLDING B.V. |
11183368 | RF tuning systems including tuning circuits having impedances for setting and adjusting parameters of electrodes in electrostatic chucks | LAM RESEARCH CORPORATION |
11183369 | Focalized microwave plasma reactor | -- |
11183370 | Charged particle beam treatment apparatus | SUMITOMO HEAVY INDUSTRIES, LTD. |
11183371 | Plasma processing apparatus and plasma processing method | TOKYO ELECTRON LIMITED |
11183372 | Batch type plasma substrate processing apparatus | EUGENE TECHNOLOGY CO., LTD. |
11183373 | Multi-patterned sputter traps and methods of making | HONEYWELL INTERNATIONAL INC. |
11183374 | Wastage determination method and plasma processing apparatus | TOKYO ELECTRON LIMITED |
11183375 | Deposition system with multi-cathode and method of manufacture thereof | APPLIED MATERIALS, INC. |
11183376 | System and method for determining set of mass to charge ratios for set of gases | ATONARP INC. |
11183377 | Mass spectrometer detector and system and method using the same | NOVA MEASURING INSTRUMENTS, INC. |
11183378 | Apparatus and method to bypass a sample chamber in laser assisted spectroscopy | ELEMENTAL SCIENTIFIC LASERS, LLC |
11183379 | Devices and Methods to improve background equivalent concentrations of elemental species | PERKINELMER HEALTH SCIENCES CANADA, INC. |
11183380 | Germicidal amalgam lamp with temperature sensor for optimized operation | XYLEM EUROPE GBMH |
11183383 | Tin oxide thin film spacers in semiconductor device manufacturing | LAM RESEARCH CORPORATION |
11183390 | Method of enhancing a DLC coated surface for enhanced multipaction resistance | NOKOMIS, INC. |
11183391 | Method for real time monitoring semiconductor fabrication process | -- |
11183400 | Progressive heating of components of substrate processing systems using TCR element-based heaters | LAM RESEARCH CORPORATION |
11183406 | Control of wafer bow in multiple stations | LAM RESEARCH CORPORATION |
11183412 | Method for joining quartz pieces and quartz electrodes and other devices of joined quartz | WATLOW ELECTRIC MANUFACTURING COMPANY |
11183492 | Multilevel template assisted wafer bonding | SKORPIOS TECHNOLOGIES, INC. |
11183551 | Display panel having an electromagnetic shielding structure | -- |
11183628 | Magnetic memory device | SAMSUNG ELECTRONICS CO., LTD. |
11183630 | Magnetoresistance effect element | TDK CORPORATION |
11183686 | Electrolyte, energy storage device, and method for producing energy storage device | KABUSHIKI KAISHA TOSHIBA |
11183721 | Thermal barrier incorporating phase change material for a power module assembly | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
11183745 | Tubular in-line filters that are suitable for cellular applications and related methods | COMMSCOPE ITALY S.R.L. |
11183746 | Reflective microstrip tuning circuit | RAYTHEON COMPANY |
11183747 | Electronic device including antenna module | SAMSUNG ELECTRONICS CO., LTD. |
11183748 | Electronic device including antenna module | SAMSUNG ELECTRONICS CO., LTD. |
11183749 | Methods and systems for mitigating interference with a nearby satellite | VIASAT, INC. |
11183750 | Vehicular antenna, vehicular antenna-attached window glass, and antenna system | AGC INC. |
11183751 | Antenna device with direct differential input useable on an automated vehicle | APTIV TECHNOLOGIES LIMITED |
11183752 | Antenna structure and antenna array | -- |
11183753 | Antenna module having plurality of printed circuit boards laminated therein, and electronic device comprising same | SAMSUNG ELECTRONICS CO., LTD. |
11183754 | RF antenna assembly and system | PCTEL, INC. |
11183755 | Electromagnetic-wave transmitting cover and door outer handle including same | HYUNDAI MOTOR COMPANY |
11183756 | Distributed power supply system for phased arrays | ROCKWELL COLLINS, INC. |
11183757 | Transmitting and receiving apparatuses and methods for a phased array antenna | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11183758 | System and method for active electronically scanned array with multi-band multi-beam architecture | ROCKWELL COLLINS, INC. |
11183759 | Multi-band communication system with isolation and impedance matching provision | ETHERTRONICS, INC. |
11183760 | Active Vivaldi antenna | HRL LABORATORIES, LLC |
11183761 | Antennaless wireless device capable of operation in multiple frequency regions | IGNION, S.L. |
11183762 | High-performance magnetic-inductive antenna for a hearing instrument, hearing instrument and method for producing the antenna | SIVANTOS PTE. LTD. |
11183763 | Low profile dual-band quadrifilar antenna | ATLANTA RFTECH LLC |
11183764 | Butterfly planar antenna element and antenna | SHENZHEN ANTOP TECHNOLOGY CO. LTD. |
11183765 | Chip radio frequency package and radio frequency module | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11183766 | Antenna module and electronic device | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
11183767 | Apparatus and methods for launching guided waves via an antenna | AT&T INTELLECTUAL PROPERTY I, L.P. |
11183768 | Dual boom deployable parabolic trough reflector | EAGLE TECHNOLOGY, LLC |
11183769 | Near-grazing retroreflectors for polarization | THALES CANADA INC. |
11183770 | Dual polarization RF antenna feed module and photonic integrated circuit (PIC) | RAYTHEON COMPANY |
11183771 | Array antenna device | MITSUBISHI ELECTRIC CORPORATION |
11183772 | Embedded downlight and radar system | INFINEON TECHNOLOGIES AG |
11183773 | Configurable communication system using stacked antennas | HOOK'D WIFI INC. |
11183774 | High frequency system using a circular array | THE MITRE CORPORATION |
11183775 | Base station antennas having parasitic assemblies for improving cross-polarization discrimination performance | COMMSCOPE TECHNOLOGIES LLC |
11183776 | Output wire joining structure of winding seat for transformer or inductor | -- |
11183778 | Wedge connector interface holding device | RICHARDS MFG. CO. |
11183779 | Press-in pin and method for producing same | EPT HOLDING GMBH & CO. KG |
11183780 | Connection structure | FURUKAWA ELECTRIC CO., LTD. |
11183781 | Connector | JAPAN AVIATION ELECTRONICS INDUSTRY, LIMITED |
11183782 | Adjustable neutral bars and adjustable neutral bar assemblies | HUBBELL INCORPORATED |
11183783 | High isolation contactor with test pin and housing for integrated circuit testing | JOHNSTECH INTERNATIONAL CORPORATION |
11183784 | Interposer and method for manufacturing interposer | TYCO ELECTRONICS JAPAN G.K. |
11183785 | Board mating connector | GIGALANE CO., LTD. |
11183786 | Low cost, high reliability sliding power connectror | FCI USA LLC |
11183787 | Electrical connector and connector system having plated ground shields | TE CONNECTIVITY SERVICES GMBH |
11183788 | Electrical connector for a controller | HONEYWELL INTERNATIONAL INC. |
11183789 | Power interface, mobile terminal and power adapter | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
11183790 | Connector housing and electrical connector | TE CONNECTIVITY GERMANY GMBH |
11183791 | Wire harness with elastic tube | AUTONETWORKS TECHNOLOGIES, LTD. |
11183792 | Drive loading jig for memory drives | SK HYNIX INC. |
11183793 | Connector system with a terminal retaining device having a reverse hinged lock feature | APTIV TECHNOLOGIES LIMITED |
11183794 | Connector structure and power storage device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11183795 | Three-phase electrical connector securing apparatus with flexible inserts | ALPHA/OMEGA ENERGY SOLUTIONS, LLC |
11183796 | First terminal assembly, first connector and connector assembly | DONGGUAN LUXSHARE TECHNOLOGIES CO., LTD. |
11183797 | Plug connector system | TE CONNECTIVITY GERMANY GMBH |
11183798 | Connector device and connector connection determination device | HONDA MOTOR CO., LTD. |
11183799 | Electrical power inlet connection device and method | -- |
11183800 | Pin and sleeve device with indication | LEVITON MANUFACTURING CO., INC. |
11183801 | Power supply structure | DELTA ELECTRONICS (SHANGHAI) CO., LTD. |
11183802 | In-floor electrical fitting | THE WIREMOLD COMPANY |
11183804 | Connector system and electrical circuit for connector position assurance member | J.S.T. CORPORATION |
11183805 | Cable-arrangement structure and electrical apparatus therewith | -- |
11183806 | DC motor brush holder assembly | DENSO CORPORATION |
11183807 | Crimping tool | MILWAUKEE ELECTRIC TOOL CORPORATION |
11183808 | Excimer laser with uniform beam | COHERENT LASERSYSTEMS GMBH & CO. KG |
11183809 | Passive Q-switch pulse laser device, processing apparatus, and medical apparatus | SONY CORPORATION |
11183810 | Light source module and light source device including the same | SHARP KABUSHIKI KAISHA |
11183811 | Control system and method for laser projector, and terminal | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
11183812 | Widely tunable short-cavity laser | THORLABS, INC. |
11183813 | Surface-emitting laser device and light emitting device including the same | SUZHOU LEKIN SEMICONDUCTOR CO., LTD. |
11183814 | Surface-emmiting laser comprising surface gratings | HUAZHONG UNIVERSITY OF SCIENCE AND TECHNOLOGY |
11183815 | Current-injection organic semiconductor laser diode, meihod for producing same and program | KOALA TECH INC. |
11183816 | Laser system with staircased slow-axis collimators | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11183817 | Arc mitigation devices and systems for panelboard applications | EATON INTELLIGENT POWER LIMITED |
11183818 | Pre-chamber spark plug with orientated openings | FEDERAL-MOGUL IGNITION GMBH |
11183819 | Spark plug | NGK SPARK PLUG CO., LTD. |
11183820 | Cable position stopper | BAE SYSTEMS PLC |
11183824 | Electrical box mounting structure preventive of water ingress | -- |
11183833 | System, method, and apparatus for power distribution in an electric mobile application during run time using configurable electrical interface ports | EATON INTELLIGENT POWER LIMITED |
11183866 | System and method for switchable multi-coil wireless induction charging | CTOP WIRELESS CHARGING SOLUTIONS LLC |
11183881 | Injection molding electroplating for three-dimensional antennas | YANK TECHNOLOGIES, INC. |
11183882 | Wireless power transmitter, electronic device receiving power wirelessly, and method for operating same | SAMSUNG ELECTRONICS CO., LTD. |
11183885 | Wireless power transmission device and control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11183887 | Non-Gaussian beamforming for wireless power transfer optimization | SEARETE LLC |
11183888 | System and method for providing inductive power at multiple power levels | POWERMAT TECHNOLOGIES LTD. |
11183890 | Permanent magnet vehicle traction motor having improved vibration, torque ripple, and total harmonic distortion | FCA US LLC |
11183899 | Stator for an electric machine, an electric machine and method for manufacturing such an electric machine | ROBERT BOSCH GMBH |
11183926 | DC-DC converter with output-side storage capacitor arrangement | CPT GROUP GMBH |
11183943 | Semiconductor module | SHINDENGEN ELECTRIC MANUFACTURING CO., LTD. |
11183949 | Power conversion device with a coolant passage | DENSO CORPORATION |
11184044 | Antenna distribution unit | RF VENUE, INC. |
11184046 | Waterproof connecting structure and antenna system with the same | SHENZHEN ANTOP TECHNOLOGY LIMITED |
11184050 | Method and apparatus for switching transmission mediums in a communication system | AT&T INTELLECTUAL PROPERTY I, L.P. |
11184053 | Communication device and communication method | -- |
11184261 | Techniques to configure physical compute resources for workloads via circuit switching | INTEL CORPORATION |
11184688 | Minimal touch post for multi-layer cable routing | CISCO TECHNOLOGY, INC. |
11184693 | Dust screen assembly, electroacoustic assembly, housing assembly, and electronic device | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
11184696 | Wireless headphones with slot antenna | APPLE INC. |
11184698 | Headset capable of wireless charging, and headset charging system using wireless power transmission comprising same | AMOSENSE CO., LTD. |
11184954 | Heater for aerosol-generating device with connectors | ALTRIA CLIENT SERVICES LLC |
11184972 | Automated static control | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11184974 | Operating device and household appliance having the operating device | DIEHL AKO STIFTUNG & CO. KG |
11184978 | Electric device | THE FOUNDATION FOR THE PROMOTION OF INDUSTRIAL SCIENCE |
11184979 | Printed circuit board assembly | PHOENIX CONTACT GMBH & CO. KG |
11184980 | Foldable electronic device including integrated ground structure | SAMSUNG ELECTRONICS CO., LTD. |
11184982 | Display panel and chip-on-film (COF) package bonding structure, panel bonding pins, package bonding pins, and display panel and COF package bonding method | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11184983 | Embedding known-good component between known-good component carrier blocks with late formed electric connection structure | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
11184985 | Method of manufacturing touch structure and touch structure | BOE TECHNOLOGY GROUP CO., LTD. |
11184986 | Display device | LG ELECTRONICS INC. |
11184987 | Electronic device and method for increasing antenna efficiency | SAMSUNG ELECTRONICS CO., LTD. |
11184988 | Pedestal mounted with a blank, crystal unit, and oscillator | NIHON DEMPA KOGYO CO., LTD. |
11184989 | Cover capable of storing pen and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11184990 | Fixing device and chassis having same | HONG FU JIN PRECISION INDUSTRY (WUHAN) CO., LTD. |
11184991 | Break out module system | MOLEX, LLC |
11184992 | Server device | -- |
11184993 | Flow plate | T-MOBILE USA, INC. |
11184994 | Configurable line card flapper | CISCO TECHNOLOGY, INC. |
11184995 | High-density network element cooling via unequipped pluggable optical module cages | CIENA CORPORATION |
11184996 | Double sided heat exchanger cooling unit | ADVANCED COOLING TECHNOLOGIES, INC. |
11184997 | System to reduce coolant use in an array of circuit boards | INTEL CORPORATION |
11184998 | Electronic device provided with an antenna integrated into a heatsink | SAGEMCOM BROADBAND SAS |
11184999 | Charging column | -- |
11185000 | Mounting shaft device, mounting head, and surface mounter | YAMAHA HATSUDOKI KABUSHIKI KAISHA |
11185001 | Component placing device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11185112 | Consumable identification | ALTRIA CLIENT SERVICES LLC |
11185158 | Work and videoconference assembly | STEELCASE INC. |
11185191 | Modular food holding system | MARMON FOODSERVICE TECHNOLOGIES, INC. |
11185216 | Video endoscope and handle for a video endoscope including rotational support means | KARL STORZ SE & CO KG |
11185261 | System and method for non-invasive blood glucose monitoring | UNIVERSITY OF SOUTH FLORIDA |
11185284 | Wearable electrocardiogram device | SAMSUNG ELECTRONICS CO., LTD. |
11185323 | Authentication and information system for reusable surgical instruments | COVIDIEN LP |
11185363 | Filter connection for a smoke evacuation device | MEGADYNE MEDICAL PRODUCTS, INC. |
11185702 | Antenna assemblies for use with transcutaneously powered medical implants | ADVANCED BIONICS AG |
11185710 | Ferrofluidic cooling and acoustical noise reduction in magnetic stimulators | NEURONETICS, INC. |
11185714 | Monochromatic x-ray imaging systems and methods | IMAGINE SCIENTIFIC, INC. |
11185768 | Accessory for presenting information associated with an application | STEELSERIES APS |
11185815 | Plasma abatement of compounds containing heavy atoms | APPLIED MATERIALS, INC. |
11185836 | Method for preparing a magnetic chain structure | NANYANG TECHNOLOGICAL UNIVERSITY |
11185894 | Feed-through ultrasonic cleaning system for winding of large-sized superconducting coils | HEFEI INSTITUTES OF PHYSICAL SCIENCE, CHINESE ACADEMY OF SCIENCES |
11185902 | Platinum-based material thin wire and method for manufacturing the same | TANAKA KIKINZOKU KOGYO K.K. |
11185948 | Laser drilling of metal foils for assembly in an electrolytic capacitor | PACESETTER, INC. |
11186046 | Induction curing of cell-based structural arrays | THE BOEING COMPANY |
11186189 | Contact unit for a battery electric vehicle | SCHUNK TRANSIT SYSTEMS GMBH |
11186203 | Gear unit, electric gear motor and seat | KEIPER SEATING MECHANISMS CO., LTD. |
11186238 | Electronic module | SUMITOMO WIRING SYSTEMS, LTD. |
11186239 | Grommet and wire harness | YAZAKI CORPORATION |
11186521 | Conductive ceramic composition having excellent electrical conductivity | WINCE. CO., LTD. |
11186675 | Epoxy resin composition and transformer comprising the same | LSIS CO., LTD. |
11186711 | Semi-crystalline polyolefin-based additive masterbatch composition | DOW GLOBAL TECHNOLOGIES LLC |
11186733 | Conductor film, and conductive film | ZEON CORPORATION |
11186888 | Grain-oriented electrical steel sheet and method for producing the same | JFE STEEL CORPORATION |
11186902 | Wire material for canted coil spring and canted coil spring | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11186906 | Holding arrangement for holding a substrate, carrier including the holding arrangement, processing system employing the carrier, and method for releasing a substrate from a holding arrangement | APPLIED MATERIALS, INC. |
11186907 | Deposition apparatus for both lateral portions of substrate | TETOS CO., LTD. |
11186908 | Apparatus and method of manufacturing display apparatus | SAMSUNG DISPLAY CO., LTD. |
11186917 | Composite electrodes and methods for the fabrication and use thereof | THE BOARD OF TRUSTEES OF THE UNIVERSITY OF ALABAMA |
11187209 | Brush assembly | SIEMENS GAMESA RENEWABLE ENERGY A/S |
11187213 | Thruster device | -- |
11187248 | Fan and balance ring for fan | -- |
11187254 | Bearing base | -- |
11187371 | Apparatus for coupling a plurality of electronic devices | SAMSUNG ELECTRONICS CO., LTD. |
11187389 | LED track element for track lighting systems | LIGHTING SERVICES, INC. |
11187400 | Floating connector | UBICQUIA, INC. |
11187404 | Lighting receptacle assembly for light fixture | TE CONNECTIVITY SERVICES GMBH |
11187504 | Systems and methods for a dart for a conducted electrical weapon | AXON ENTERPRISE, INC. |
11187597 | Temperature detection device, abnormality detection device, and electric power conversion apparatus | KEIHIN CORPORATION |
11187601 | Sensor device | JTEKT CORPORATION |
11187630 | Method for preparing analytical sample, analysis method, and kit for preparing analytical sample | SHIMADZU CORPORATION |
11187663 | Highly-multiplexed NEMS-array readout system based on superconducting cavity optomechanics | CALIFORNIA INSTITUTE OF TECHNOLOGY |
11187671 | Detection sensor having a sensor cell with a high-electron mobility transistor and ring resonator(s) | CENTRE NATIONAL DE LA RECHERCHE SCIENTIFIQUE |
11187685 | Noise level estimation method, measurement data processing device, and program for processing measurement data | SHIMADZU CORPORATION |
11187707 | Method of characterization of visible and/or sub-visible particles in biologics | REGENERON PHARMACEUTICALS, INC. |
11187722 | Probe pin and electronic device using the same | OMRON CORPORATION |
11187724 | Current measuring device and current sensing resistor | KOA CORPORATION |
11187725 | Shunt resistor and current sensing device using shunt resistor | KOA CORPORATION |
11187734 | Systems for electrically connecting metering devices and distributed energy resource devices | LANDIS+GYR INNOVATIONS, INC. |
11187735 | Assembly with one secondary coil for a field device with one inductive interface | ENDRESS+HAUSER CONDUCTA GMBH+CO. KG |
11187750 | Method for detecting the state of an electrical protection appliance in an electrical installation and detection device implementing said method | SOCOMEC |
11187789 | Devices incorporating integrated detectors and ultra-small vertical cavity surface emitting laser emitters | SENSE PHOTONICS, INC. |
11187795 | Radar device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11187802 | Electronically scanned light ranging device with multiplexed photosensors | OUSTER, INC. |
11187807 | Precisely controlled chirped diode laser and coherent lidar system | INTEL CORPORATION |
11187812 | Positioning method and positioning terminal | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11187822 | Sonde devices including a sectional ferrite core structure | SEESCAN, INC. |
11187831 | Semiconductor lens optimization of fabrication | LUMENTUM OPERATIONS LLC |
11187851 | Display devices | -- |
11187912 | Light source module | SHIMADZU CORPORATION |
11187964 | Infrared non-linear optical crystal, preparation process and application thereof | FUJIAN INSTITUTE OF RESEARCH ON THE STRUCTURE OF MATTER, CHINESE ACADEMY OF SCIENCES |
11188028 | Button for a timepiece | ROLEX SA |
11188062 | Work management device | FUJI CORPORATION |
11188134 | Connector and second electronic device including the connector | MATRIXED REALITY TECHNOLOGY CO., LTD. |
11188142 | Power management network for communication between racks in a data center | AMAZON TECHNOLOGIES, INC. |
11188214 | Systems and methods for determining liquid cooled architectures in an IT room | SCHNEIDER ELECTRIC IT CORPORATION |
11188843 | Programmable universal quantum annealing with co-planar waveguide flux qubits | GOOGLE LLC |
11189323 | Heat-dissipating, shock-absorbing structure | -- |
11189389 | High voltage supply for compact radiation generator | SCHLUMBERGER TECHNOLOGY CORPORATION |
11189393 | Conductive coated composite body and method for producing same | BANDO CHEMICAL INDUSTRIES, LTD. |
11189394 | Electric wire conductor, covered electric wire, wire harness, and method for manufacturing electric wire conductor | AUTONETWORKS TECHNOLOGIES, LTD. |
11189395 | Distribution member and method of manufacturing the same | HITACHI METALS, LTD. |
11189396 | Cable with lightweight tensile elements | PRYSMIAN S.P.A. |
11189397 | Outer cover body for electrical wires and outer-cover-body-attached wire harness | FURUKAWA ELECTRIC CO., LTD. |
11189398 | Superconducting electrical power distribution system | ROLLS-ROYCE PLC |
11189399 | Paste containing polytetrafluoroethylene and method for producing same | DAIKIN INDUSTRIES, LTD. |
11189400 | Shatter protection | HITACHI ENERGY SWITZERLAND AG |
11189401 | Cover for an electrical distribution line | GATO ASSETS, LLC |
11189402 | Metal plate resistor and manufacturing method thereof | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11189403 | Chip resistor and method for manufacturing the same | ROHM CO., LTD. |
11189404 | NTC ceramic part, electronic component for inrush current limiting, and method for manufacturing an electronic component | EPCOS AG |
11189405 | Composite magnet with magnetically hard and soft phases | FORD GLOBAL TECHNOLOGIES, LLC |
11189406 | Magnetic powder, manufacturing method of magnetic powder, and magnetic recording medium | FUJIFILM CORPORATION |
11189407 | Grain-oriented electrical steel sheet | NIPPON STEEL CORPORATION |
11189408 | Soft magnetic alloy and magnetic device | TDK CORPORATION |
11189409 | Electronic substrates having embedded dielectric magnetic material to form inductors | INTEL CORPORATION |
11189410 | Superconducting magnet for eddy-current braking for high-speed trains | HEFEI INSTITUTES OF PHYSICAL SCIENCE, CHINESE ACADEMY OF SCIENCES |
11189411 | Magnetizing device and magnetizing method | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11189412 | Inline demagnetization for operational pipelines | BAKER HUGHES OILFIELD OPERATIONS LLC |
11189413 | Multilayer coil component and method for producing the same | TDK CORPORATION |
11189414 | Choke | ABB SCHWEIZ AG |
11189415 | Magnetic element and switching power supply using the same | DELTA ELECTRONICS (SHANGHAI) CO., LTD. |
11189416 | Coil component and method of changing frequency characteristic thereof | MURATA MANUFACTURING CO., LTD. |
11189417 | Transformer device | YAZAKI CORPORATION |
11189418 | Coil component | TDK CORPORATION |
11189420 | Noise suppressing assemblies | NEOGRAF SOLUTIONS, LLC |
11189421 | Phase and zero-sequence current sensing modules mounted together on a cable bushing | ORMAZABAL PROTECTION & AUTOMATION, S.L.U. |
11189422 | Ignition coil | DIAMOND ELECTRIC MFG. CO., LTD. |
11189423 | Multilayer capacitor and board having the same mounted thereon | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11189424 | Multilayer electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11189425 | Power factor correction capacitors | AMRAD MANUFACTURING, LLC |
11189426 | Capacitor with multiple elements for multiple replacement applications | AMRAD MANUFACTURING, LLC |
11189428 | Systems and methods for calibrating a tunable component | WISPRY, INC. |
11189429 | Electrolytic capacitor and method for manufacturing same | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11189430 | Electrolytic capacitor and method for producing same | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11189431 | Low profile wet electrolytic tantalum capacitor | VISHAY SPRAGUE, INC. |
11189432 | Microfluidic electrical energy harvester | INDIAN INSTITUTE OF TECHNOLOGY, GUWAHATI |
11189433 | Multifunctional solid-state devices for solar control, photovoltaic conversion and artificial lighting | CONSIGLIO NAZIONALE DELLE RICERCHE |
11189434 | Systems and methods for enhancing electrical energy storage | CLEARWATER HOLDINGS, LTD. |
11189435 | Switch device facilitating frequency shift of a resonator in a quantum device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11189436 | Scissor-type connecting assembly of key structure | -- |
11189437 | Switch device for a power tool | HILTI AKTIENGESELLSCHAFT |
11189438 | Arc suppression device, mobile body, and power supply system | SONY CORPORATION |
11189439 | Power converting apparatus, motor drive apparatus, and air conditioner | MITSUBISHI ELECTRIC CORPORATION |
11189440 | Electronic device | -- |
11189441 | Key switch device | OMRON CORPORATION |
11189442 | Keyswitch structure | -- |
11189443 | Elastic body for keyswitch assembly and keyswitch assembly | LITE-ON TECHNOLOGY (CHANG ZHOU) CO., LTD. |
11189444 | Electronic apparatus having illuminated key tops | LENOVO (SINGAPORE) PTE. LTD. |
11189445 | Jog dial type operation switch and sink cabinet device comprising same | LG ELECTRONICS INC. |
11189446 | Universal vacuum interrupter for air disconnect switches | GENERAL ELECTRIC TECHNOLOGY GMBH |
11189447 | Time switch of controllable time adjustment | -- |
11189448 | Relay with a controller | PHOENIX CONTACT GMBH & CO. KG |
11189449 | Zero crossing contactor and method of operating | GE AVIATION SYSTEMS LIMITED |
11189450 | Low profile integrated fuse module | LITTELFUSE, INC. |
11189451 | Charged particle beam source and a method for assembling a charged particle beam source | APPLIED MATERIALS ISRAEL LTD. |
11189452 | Systems and methods for filtering radio frequencies from a signal of a thermocouple and controlling a temperature of an electrode in a plasma chamber | LAM RESEARCH CORPORATION |
11189453 | Electron source and electron gun | 38TH RESEARCH INSTITUTE, CHINA ELECTRONICS TECHNOLOGY GROUP CORPORATION |
11189454 | Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system | AES GLOBAL HOLDINGS, PTE. LTD. |
11189455 | Cryogenic ultra-high vacuum suitcase | FERROVAC AG |
11189456 | Sample inspection method and system | UNIVERSITEIT MAASTRICHT |
11189457 | Scanning electron microscope | HITACHI HIGH-TECH CORPORATION |
11189458 | Cryo nanomanipulator probe with integrated gas injection | BATTELLE MEMORIAL INSTITUTE |
11189459 | Multibeam inspection apparatus | NUFLARE TECHNOLOGY, INC. |
11189460 | System, apparatus and method for variable length electrode in linear accelerator | APPLIED MATERIALS, INC. |
11189461 | Substrate processing method and apparatus | TOKYO ELECTRON LIMITED |
11189462 | Ion stratification using bias pulses of short duration | TOKYO ELECTRON LIMITED |
11189463 | Plasma generating arrangement | SPTS TECHNOLOGIES LIMITED |
11189464 | Variable mode plasma chamber utilizing tunable plasma potential | BEIJING E-TOWN SEMICONDUCTOR TECHNOLOGY CO., LTD. |
11189465 | Adjustable capacitor, impedance matching device and semiconductor processing apparatus | BEIJING NAURA MICROELECTRONICS EQUIPMENT CO., LTD. |
11189466 | High voltage switching circuit | RENO TECHNOLOGIES, INC. |
11189467 | Apparatus and method of attaching pad on edge ring | SAMSUNG ELECTRONICS CO., LTD. |
11189468 | Magnetic filter tube | BEIJING NORMAL UNIVERSITY |
11189469 | Etching method and plasma processing apparatus | TOKYO ELECTRON LIMITED |
11189470 | Search device, search method and plasma processing apparatus | HITACHI HIGH-TECH CORPORATION |
11189471 | High frequency generator having dual outputs and its driving method | NEWPOWERPLASMA CO., LTD. |
11189472 | Cathode assembly having a dual position magnetron and centrally fed coolant | APPLIED MATERIALS, INC. |
11189473 | Mass spectrometer | THERMO FISHER SCIENTIFIC (BREMEN) GMBH |
11189474 | Sample support, ionization method, and mass spectrometry method | HAMAMATSU PHOTONICS K.K. |
11189475 | Sample analysis method and sample introduction device | SHIN-ETSU HANDOTAI CO., LTD. |
11189476 | Sample support, ionization method, and mass spectrometry method | HAMAMATSU PHOTONICS K.K. |
11189477 | APCI ion source with asymmetrical spray | DH TECHNOLOGIES DEVELOPMENT PTE. LTD. |
11189478 | Mass spectrometer | SHIMADZU CORPORATION |
11189483 | Method of manufacturing semiconductor device and non-transitory computer-readable recording medium | KOKUSAI ELECTRIC CORPORATION |
11189496 | Plasma reactor for ultra-high aspect ratio etching and etching method thereof | ADVANCED MICRO-FABRICATION EQUIPMENT INC. CHINA |
11189502 | Showerhead with interlaced gas feed and removal and methods of use | APPLIED MATERIALS, INC. |
11189517 | RF electrostatic chuck filter circuit | APPLIED MATERIALS, INC. |
11189533 | Wafer quality inspection method and apparatus, and semiconductor device manufacturing method including the wafer quality inspection method | SAMSUNG ELECTRONICS CO., LTD. |
11189554 | Semiconductor device | NUFLARE TECHNOLOGY, INC. |
11189563 | Semiconductor structure and manufacturing method thereof | -- |
11189574 | Microelectronic package having electromagnetic interference shielding | INTEL CORPORATION |
11189658 | Magnetic random access memory and manufacturing method thereof | -- |
11189750 | Separating a wafer of light emitting devices | LUMILEDS LLC |
11189753 | Solid state light sheet having wide support substrate and narrow strips enclosing LED dies in series | QUARKSTAR LLC |
11189754 | Semiconductor substrate | -- |
11189781 | Magnetoresistive stack/structure including metal insertion substance | EVERSPIN TECHNOLOGIES, INC. |
11189797 | Display panel, plasma etching method and system | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11189824 | Method and apparatus for applying magnetic fields to an article | -- |
11189833 | Electrode plate, electrochemical device and safety coating | CONTEMPORARY AMPEREX TECHNOLOGY CO., LIMITED |
11189836 | Encapsulated sulfur cathodes for rechargeable lithium batteries | THE BOARD OF TRUSTEES OF THE LELAND STANFORD JUNIOR UNIVERSITY |
11189895 | Hybrid distinct wavelength resonant band-pass filter with capacitive coupling metal pattern | XIAMEN SUNYEAR ELECTRONICS CO., LTD |
11189896 | Tunable bandpass filter with constant absolute bandwidth using single tuning element | -- |
11189897 | Filter | FUJIKURA LTD. |
11189898 | Waveguide and communication system | 3M INNOVATIVE PROPERTIES COMPANY |
11189899 | Feed circuit, antenna, and method for configuring antenna | NEC CORPORATION |
11189900 | Tapered broadband balun | CORNING RESEARCH & DEVELOPMENT CORPORATION |
11189901 | Using phased arrays of waveguide couplers in waveguide cavities to prevent illegitimate reception of power | X DEVELOPMENT LLC |
11189902 | Method and apparatus for a miniature broadband RF power divider | SCIENTIFIC COMPONENTS CORPORATION |
11189903 | Antenna apparatus and communications terminal apparatus | SHARP KABUSHIKI KAISHA |
11189904 | Antenna apparatus | TRELLIS, INC. |
11189905 | Integrated antenna array packaging structures and methods | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11189906 | Electronic device comprising antenna | SAMSUNG ELECTRONICS CO., LTD. |
11189907 | Three-dimensional electronic circuit | TOYOTA MOTOR EUROPE |
11189908 | Directional wireless hotspot device and method for pointing a directional antenna | MIWIRE APS |
11189909 | Housing and antenna architecture for mobile device | APPLE INC. |
11189910 | Antenna and cap | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11189911 | Compact combiner for phased-array antenna beamformer | TUBIS TECHNOLOGY INC. |
11189912 | Modular interface system for an antenna reflector, in particular for an antenna of a space craft, such as a satellite, in particular | ARIANEGROUP SAS |
11189913 | Antenna structure for extended distance radar function and electronic device using the same | MOBILE DRIVE NETHERLANDS B.V. |
11189914 | Liquid crystal cell and scanning antenna | SHARP KABUSHIKI KAISHA |
11189915 | Systems and methods for an antenna of an implantable electronic device | PACESETTER, INC. |
11189916 | Double-frequency antenna structure with high isolation | NANNING FUGUI PRECISION INDUSTRIAL CO., LTD. |
11189917 | Systems and methods for distributing radioheads | REARDEN, LLC |
11189918 | Multi-beam phased-array antenna with redundancy | TUBIS TECHNOLOGY INC. |
11189919 | Beam-forming circuit for 5G mobile communication and radar | KOREA ADVANCED INSTITUTE OF SCIENCE AND TECHNOLOGY |
11189920 | Control substrate, liquid crystal phase shifter and method of forming control substrate | BOE TECHNOLOGY GROUP CO., LTD. |
11189921 | Cellular system | -- |
11189922 | Cellular system | -- |
11189923 | Antenna structure and wireless communication device using same | -- |
11189924 | Antenna structure | -- |
11189925 | Method and system for controlling a modal antenna | AVX ANTENNA, INC. |
11189926 | Multilayer patch antenna | AMOTECH CO., LTD. |
11189927 | Patch antenna unit and antenna | HUAWEI TECHNOLOGIES CO., LTD. |
11189928 | Technique for tuning the resonance frequency of an electric-based antenna | AIRSPAN IP HOLDCO LLC |
11189929 | Mobile terminal | LG ELECTRONICS INC. |
11189930 | Apparatus and methods for sending or receiving electromagnetic signals | AT&T INTELLECTUAL PROPERTY I, L.P. |
11189932 | Injection molded dielectric antenna formed with an antenna mold that compensates the dielectric during curing | AT&T INTELLECTUAL PROPERTY I, L.P. |
11189933 | Phase control device, antenna system, and method of controlling phase of electromagnetic wave | NEC CORPORATION |
11189934 | Re-configurable distributed antenna system | ANDREW WIRELESS SYSTEMS GMBH |
11189935 | Cavity slotted-waveguide antenna array, a method of manufacturing a cavity slotted-waveguide antenna array, and a radar antenna module comprising cavity slotted-waveguide antenna arrays | ROBIN RADAR FACILITIES BV |
11189936 | Slot-fed dual horse shoe circularly-polarized broadband antenna | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
11189937 | Electronic device for identifying performance of communication circuit based on signal transmitted and received via antenna | SAMSUNG ELECTRONICS CO., LTD. |
11189938 | Low profile end-fire antenna array | T-MOBILE USA, INC. |
11189939 | Dual-polarized wide-bandwidth antenna | VIETTEL GROUP |
11189940 | Electric wire with terminal, coating apparatus, and method of manufacturing electric wire with terminal | YAZAKI CORPORATION |
11189941 | Assembly for clamping and grounding objects | IRONRIDGE, INC. |
11189942 | Wire plug-in aid sleeve structure for wire connection terminal | -- |
11189943 | I/O connector configured for cable connection to a midboard | FCI USA LLC |
11189944 | Cable connection structure and cable junction connector | JAPAN AVIATION ELECTRONICS INDUSTRY, LIMITED |
11189945 | Method of manufacturing electrical connection socket, and electrical connection socket | ENPLAS CORPORATION |
11189946 | Compact combination connector | FCI CONNECTORS DONGGUAN LTD. |
11189947 | Socket soldering contact and contact module for a printed circuit board | PHOENIX CONTACT GMBH & CO. KG |
11189948 | Power adapter and method of implementing a power adapter to provide power to a load | SMART POWER PARTNERS LLC |
11189949 | Electrical connection devices for high power applications | ROLLS-ROYCE CORPORATION |
11189950 | Plug connector with a conductive rubber element | HARTING ELECTRONICS GMBH |
11189951 | Connector | YAZAKI CORPORATION |
11189952 | Connector | YAZAKI CORPORATION |
11189953 | Connector-assembly with primary-lock-reinforcement device | APTIV TECHNOLOGIES LIMITED |
11189954 | Connector assembly and method of manufacturing the same | TYCO ELECTRONICS AMP KOREA CO., LTD. |
11189955 | Connector having housing and sealing member | YAZAKI CORPORATION |
11189956 | Liquid-tight movable connector | SUMITOMO WIRING SYSTEMS, LTD. |
11189957 | Electronic device and sealing structure thereof | -- |
11189958 | Connector that includes holding body with covering part | YAZAKI CORPORATION |
11189959 | Waterproof connector | SUMITOMO WIRING SYSTEMS, LTD. |
11189960 | Electrical connector with sealing feature | -- |
11189961 | Modular harsh environment connector | SUBURBAN MARINE, INC. |
11189962 | Cable connector comprising a soft body with a hard casing to resist deformation | -- |
11189963 | Connector | AUTONETWORKS TECHNOLOGIES, LTD. |
11189964 | Load-bearing connector connection | SOURIAU |
11189965 | Charging device | PORTMAG CO., LTD. |
11189966 | Sub-miniature push-on connectors mounted in a base having a close-loop groove containing seal | COMMSCOPE TECHNOLOGIES LLC |
11189967 | Electrical connector and connector assembly having the same | -- |
11189968 | Device and method for locking multiple angular connectors | THE BOEING COMPANY |
11189969 | Plug-in connector system with plural two-part encoding devices that are rotatable to discrete positions | PHOENIX CONTACT GMBH & CO. KG |
11189970 | Chip slot and network system | HUAWEI TECHNOLOGIES CO., LTD. |
11189971 | Robust, high-frequency electrical connector | -- |
11189972 | Electrical connector with structure for reducing resonances | FOXCONN (KUNSHAN) COMPUTER CONNECTOR CO., LTD. |
11189973 | Socket connector | -- |
11189974 | Connector system, connector, and connection method | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11189975 | Powered wall plate | -- |
11189976 | Electrical system for dockside power pedestals | SMARTPLAY SYSTEMS LLC |
11189977 | Edge-coupled differential stripline connector | LEVITON MANUFACTURING CO., INC. |
11189978 | Connector and wire harness | YAZAKI CORPORATION |
11189979 | Power pack | GYRUS ACMI, INC. |
11189980 | Optimization analysis method and system for high-speed signal connector | ZHENGZHOU YUNHAI INFORMATION TECHNOLOGY CO., LTD. |
11189981 | Device for inserting flexible member | SAMSUNG DISPLAY CO., LTD. |
11189982 | Pulse stretching technique for laser bond inspection, laser ultrasonic inspection, and laser peening | THE BOEING COMPANY |
11189983 | Two-dimensional multi-beam stabilizer and combining systems and methods | TERADIODE, INC. |
11189984 | Excitation light source apparatus and gain equalizing method | NEC CORPORATION |
11189985 | Optoelectronic assembly | II-VI DELAWARE, INC. |
11189986 | Low-noise Raman amplifier | FUJITSU LIMITED |
11189987 | Light emitting device | NICHIA CORPORATION |
11189988 | Electrically isolating vertical-emitting devices | LUMENTUM OPERATIONS LLC |
11189990 | Semiconductor laser component and method of producing a semiconductor laser component | OSRAM OLED GMBH |
11189991 | Semiconductor optical element and semiconductor optical device comprising the same | LUMENTUM JAPAN, INC. |
11189992 | Pulsed quantum cascade device assembly with active voltage pulldown | DAYLIGHT SOLUTIONS, INC. |
11189993 | Spark plug and method for manufacturing a spark plug | INNIO JENBACHER GMBH & CO OG |
11189994 | Circuit breaker | LSIS CO., LTD. |
11189996 | Modular distribution box for cables | PRYSMIAN S.P.A. |
11189997 | Cable fixing device | HYUNDAI MOBIS CO., LTD. |
11189999 | Feed device and power supply system | CONDUCTIX-WAMPFLER GMBH |
11190000 | Waterproof structure | YAZAKI CORPORATION |
11190001 | Cover assembly for a grounding arrangement | EATON INTELLIGENT POWER LIMITED |
11190006 | Quench protection in superconducting magnets | TOKAMAK ENERGY LTD. |
11190010 | Annular bearer network and service bearing implementation method therefor | GUANGDONG POWER GRID CO. LTD. |
11190011 | Surge current suppression circuit | -- |
11190035 | Device for charging portable electronic devices | -- |
11190040 | Shape of wireless power transmission coil and coil configuration method | LG INNOTEK CO., LTD. |
11190045 | Apparatus and method for detection of line to neutral back-feed voltage | ACLARA TECHNOLOGIES, LLC |
11190050 | Inductive power transmitter | APPLE INC. |
11190052 | Wireless power receiver having transfer optimization and method thereof | POWERMAT TECHNOLOGIES LTD. |
11190055 | Simultaneous wireless power and data transfer system | THE FLORIDA INTERNATIONAL UNIVERSITY BOARD OF TRUSTEES |
11190087 | Method for manufacturing a laminated iron core | MITSUI HIGH-TEC, INC. |
11190149 | Weakly coupled based harmonic rejection filter for feedback linearization power amplifier | QORVO US, INC. |
11190153 | Radio frequency power circuits utilizing coaxial resonators for video bandwidth improvements and circuit size reduction and a process of implementing the same | WOLF SPEED, INC. |
11190158 | Low frequency shield solutions with sputtered/sprayed absorber materials and/or absorber materials mixed in mold compound | SKYWORKS SOLUTIONS, INC. |
11190159 | Noise filter | TOKIN CORPORATION |
11190160 | Frequency multiplexer | ANHUI ANUKI TECHNOLOGIES CO., LTD. |
11190195 | Laser and lamp integrated pulsed optically-pumped physics packages for atomic clocks | THE AEROSPACE CORPORATION |
11190196 | Systems and methods for suppressing even harmonics in a molecular clock | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11190207 | Recognition of simultaneous key presses in keyboards | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11190268 | Hybrid satellite terrestrial broadband network | FORSWAY SCANDINAVIA AB |
11190288 | Broadcast system | NEC CORPORATION |
11190292 | Wavelength converter and optical transmission device that includes wavelength converter | FUJITSU LIMITED |
11190309 | Avoiding or correcting inter-cell interference based on an azimuthal modification | T-MOBILE INNOVATIONS LLC |
11190629 | Sectioned wearable smartphone devices | -- |
11190671 | Imaging apparatus | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11190706 | Systems and methods for improved focus tracking using a hybrid mode light source | ILLUMINA, INC. |
11190740 | Projection display apparatus | SONY CORPORATION |
11190876 | Mobile terminal-based HAC system and implementation method thereof | JRD COMMUNICATION (SHENZHEN) LTD. |
11190882 | Terminal device | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11191126 | Antenna systems for multi-radio communications | EVEREST NETWORKS, INC. |
11191129 | Layered heater system having conductive overlays | WATLOW ELECTRIC MANUFACTURING COMPANY |
11191138 | Light control systems, methods, devices, and uses thereof | LUMITEC, LLC |
11191145 | Aerially mounted wireless networking device antenna system | UBICQUIA, INC. |
11191149 | Heat dissipation assembly of M.2 expansion card and electronic device | -- |
11191155 | Tamper-respondent assembly with structural material within sealed inner compartment | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11191156 | Link loopback device | DONGGUAN LUXSHARE TECHNOLOGIES CO., LTD |
11191159 | Printed circuit board connector | BATTELLE MEMORIAL INSTITUTE |
11191161 | Electronic circuit | DENSO CORPORATION |
11191169 | Method and apparatus for flexible circuit cable attachment | JABIL INC. |
11191170 | Silicone contact element | -- |
11191171 | Electronics device having a plastic cover with a sealed center boss | CONTINENTAL AUTOMOTIVE SYSTEMS, INC. |
11191172 | Display device | JAPAN DISPLAY INC. |
11191173 | Managed connectivity in electrical systems and methods thereof | COMMSCOPE TECHNOLOGIES LLC |
11191174 | Transmission control apparatus and method for fastening a signal input element to a circuit board element of a transmission control apparatus | ROBERT BOSCH GMBH |
11191175 | Electronic apparatus and base mount kit thereof | SHENZHEN HONGFEI PRECISION TECHNOLOGY CO., LTD. |
11191176 | Front and rear modular chassis alignment | -- |
11191177 | Electronic device including waterproof structure | SAMSUNG ELECTRONICS CO., LTD. |
11191178 | Display support system and method for the use thereof | STEELCASE INC. |
11191179 | Arrangement and method for establishing a ground connection between a circuit card and a housing of an electrical device | HARTING ELECTRIC GMBH & CO. KG |
11191180 | Electrical assembly | LEAR CORPORATION |
11191181 | Custom server assembly | AMAZON TECHNOLOGIES, INC. |
11191182 | Universal rail kit | -- |
11191183 | Server fan with airflow shielding structure | SUPER MICRO COMPUTER INC. |
11191184 | Direct contact fluid based cooling module | JETCOOL TECHNOLOGIES INC. |
11191185 | Liquid cooling distribution in a modular electronic system | CISCO TECHNOLOGY, INC. |
11191186 | System and method for fluid cooling of electronic devices installed in an enclosure | -- |
11191187 | Electronic assembly with phase-change material for thermal performance | DEERE & COMPANY |
11191189 | Splitting of combined delivery power, data, and cooling in a communications network | CISCO TECHNOLOGY, INC. |
11191190 | Two-phase cooling systems for autonomous driving super computers | GM CRUISE HOLDINGS, LLC |
11191191 | Air cooled variable-frequency drive | SCHLUMBERGER TECHNOLOGY CORPORATION |
11191192 | Electric power conversion apparatus | DENSO CORPORATION |
11191193 | System for cooling an electronic image assembly with circulating gas and ambient gas | MANUFACTURING RESOURCES INTERNATIONAL, INC. |
11191194 | Display device | TCL CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11191195 | Electronic device including magnet and magnetic shield | SAMSUNG ELECTRONICS CO., LTD. |
11191196 | Solderable electric conductive gasket | JOINSET CO., LTD. |
11191197 | Method, system and paint for EMI suppression | MELLANOX TECHNOLOGIES. LTD |
11191198 | Shield package | TATSUTA ELECTRIC WIRE & CABLE CO., LTD. |
11191199 | Method and device for automatic storage of tape guides | MYCRONIC AB |
11191200 | Component mounting method | FUJI CORPORATION |
11191201 | Data creation device and data creation method | FUJI CORPORATION |
11191357 | Removable self-unlocking structure | -- |
11191911 | Receptacle, cartridge, apparatus and methods for generating an inhalable medium | BRITISH AMERICAN TOBACCO (INVESTMENTS) LIMITED |
11191973 | Transcutaneous energy transfer systems and methods | UNIVERSITY OF ULSTER |
11192183 | Method for manufacturing powder magnetic core | HITACHI METALS, LTD. |
11192187 | Additive manufacturing device utilizing EB-laser composite scan | TSINGHUA UNIVERSITY |
11192276 | Method for manufacturing a sensor element or an active component of a sensor element | ENDRESS+HAUSER SE+CO. KG |
11192348 | Light shielding tape, method of manufacturing the same, and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11192388 | Printing head module, system and method for printing laser sources | INSTITUTE OF CHEMISTRY, CHINESE ACADEMY OF SCIENCES |
11192508 | Wiring harness, vehicle component, mold, mold system and method for manufacturing the wiring harness | YAZAKI SYSTEMS TECHNOLOGIES GMBH |
11192509 | Wire harness for dissipating heat generated by wires | AUTONETWORKS TECHNOLOGIES, LTD. |
11192622 | Unmanned aerial vehicle and heat dissipation structure | SZ DJI TECHNOLOGY CO., LTD. |
11192818 | Ion exchangeable, transparent gahnite-spinel glass ceramics with high hardness and modulus | CORNING INCORPORATED |
11192823 | Electronic devices including laser-textured glass cover members | APPLE INC. |
11192984 | Heat-resistant crosslinked fluorocarbon rubber formed body and method for producing the same, silane master batch, master batch mixture and formed body thereof, and heat-resistant product | FURUKAWA ELECTRIC CO., LTD. |
11193013 | Polymer composition | SCG CHEMICALS CO., LTD. |
11193047 | Electrically conductive adhesive film and dicing-die bonding film using the same | FURUKAWA ELECTRIC CO., LTD. |
11193158 | Identification of microorganisms using MALDI-TOF-MS on-plate extraction | BECTON, DICKINSON AND COMPANY |
11193199 | Sputtering target capable of stabilizing ignition | JX NIPPON MINING & METALS CORPORATION |
11193201 | Apparatus for depositing material on the surface of a substrate | DEUTSCHES ELEKTRONEN-SYNCHROTRON DESY |
11193202 | Medical device with plasma modified oxide layer and method of forming such a device | COOK MEDICAL TECHNOLOGIES LLC |
11193205 | Source material container | TOKYO ELECTRON LIMITED |
11193219 | Tellurate crystal, growth method therefor, and use thereof | SHANDONG UNIVERSITY |
11193522 | Shear bolt | 3M INNOVATIVE PROPERTIES COMPANY |
11193627 | Stand and display apparatus including the same | SAMSUNG ELECTRONICS CO., LTD. |
11193664 | Connector system for lighting assembly | -- |
11193668 | Inside corner trim product | -- |
11193696 | Advanced multi-layer active magnetic regenerator systems and processes for magnetocaloric liquefaction | BATTELLE MEMORIAL INSTITUTE |
11193796 | Rotational angle sensor | ROBERT BOSCH GMBH |
11193802 | Sensor arrangement | ROBERT BOSCH GMBH |
11193821 | Ambient light sensor with light protection | STMICROELECTRONICS PTE LTD |
11193870 | Method of estimating a condition parameter of a laser diode with an associated photodiode, apparatus for monitoring the operation of such laser diode and particular sensor apparatus | ROBERT BOSCH GMBH |
11193880 | Gas analyzer and gas analysis method | SIEMENS AKTIENGESELLSCHAFT |
11193909 | Low power photoionization detector (PID) | HONEYWELL INTERNATIONAL INC. |
11193966 | Low frequency active load pull tuner | -- |
11193976 | Switching device whose stationary contact is provided with test terminal | ABB SCHWEIZ AG |
11193988 | Method of and apparatus for measuring magnitude of magnetization of perpendicular thin film | KOREA RESEARCH INSTITUTE OF STANDARDS AND SCIENCE |
11193993 | Radio frequency coil and magnetic resonance imaging apparatus using the same | HITACHI, LTD. |
11193995 | Electromagnet and assembly | SIEMENS HEALTHCARE LIMITED |
11193996 | Cryogenic magnet power supply | TOKAMAK ENERGY LTD. |
11193998 | Permanent magnet arrangement for generating a homogeneous field (“3D Halbach”) | BROKER BIOSPIN GMBH |
11194015 | High-power electromagnetic source, vehicle and method | DIEHL DEFENCE GMBH & CO. KG |
11194030 | Vector sensor array surface wave radar | THE MITRE CORPORATION |
11194031 | Apparatus and techniques for 3D reconstruction with coordinated beam scan using millimeter wave radar | QUALCOMM INCORPORATED |
11194041 | Systems and methods for providing L-band RF architectures | AVIATION COMMUNIATION & SURVEILLANCE SYSTEMS, LLC |
11194053 | Global navigation satellite system spoofer identification technique based on carrier to noise ratio signatures | INTERSTATE ELECTRONICS CORPORATION |
11194059 | Methods of fabricating vacuum housings with hermetic solder seals using capillary solder wicks | THE UNIVERSITY OF CHICAGO |
11194087 | Integrated waveguide coupler and light source | FACEBOOK TECHNOLOGIES, LLC |
11194090 | Display device | JAPAN DISPLAY INC. |
11194094 | Multilayered structures and uses thereof in security markings | CASE WESTERN RESERVE UNIVERSITY |
11194103 | Optical connector system, lock member, optical cable, and electronic device | SONY CORPORATION |
11194105 | Photoelectric conversion element, optical subassembly, and method for manufacturing photoelectric conversion element | LUMENTUM JAPAN, INC. |
11194106 | Optical transceiver | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11194179 | Wiring on curved surfaces | TECTUS CORPORATION |
11194223 | Densely-packed optical phased arrays via k-vector mismatch and metamaterial rods | THE TRUSTEES OF COLUMBIA UNIVERSITY IN THE CITY OF NEW YORK |
11194225 | Laminate for non-linear optics containing electro-optic polymer layer and method for producing same | NATIONAL INSTITUTE OF INFORMATION AND COMMUNICATIONS TECHNOLOGY |
11194238 | Heat dissipation module and projection apparatus | -- |
11194252 | Cured film-forming composition | NISSAN CHEMICAL CORPORATION |
11194255 | Laser processing method and laser processing system | GIGAPHOTON INC. |
11194353 | Energy aware processing load distribution system and method | THE RESEARCH FOUNDATION FOR THE STATE UNIVERSITY |
11194360 | Shakeproof modular computer system | DSPACE DIGITAL SIGNAL PROCESSING AND CONTROL ENGINEERING GMBH |
11194362 | Handheld device enclosure having an outer periphery member and front and rear cover assemblies | APPLE INC. |
11194367 | Foldable device and method for disposing flexible cable | NEC PLATFORMS, LTD. |
11194371 | Liquid cooling system | EKWB D.O.O. |
11194396 | Electronic devices with fabric actuators | APPLE INC. |
11194416 | Information processing device | SONY CORPORATION |
11194418 | Touch display screen and mobile terminal | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11194752 | Memory card and electronic system | SAMSUNG ELECTRONICS CO., LTD. |
11195076 | RF tag | TOYO SEIKAN GROUP HOLDINGS, LTD. |
11195449 | Display device | SAMSUNG DISPLAY CO., LTD. |
11195634 | Angstrom-scale nanowire arrays in zeolite | KING ABDULLAH UNIVERSITY OF SCIENCE AND TECHNOLOGY |
11195635 | Conductive film manufacturing method | MITSUI MINING & SMELTING CO., LTD. |
11195636 | Electrically conductive composition | -- |
11195637 | Elastomer composites with high dielectric constant | EATON INTELLIGENT POWER LIMITED |
11195638 | Resin composition, prepreg, resin sheet, metal foil-clad laminate, and printed circuit board | MITSUBISHI GAS CHEMICAL COMPANY, INC. |
11195639 | Conductor arrangement and production method | -- |
11195640 | Process for manufacturing a submarine power cable and power cable so manufactured | PRYSMIAN S.P.A. |
11195641 | Electric-submersible-pump composite duct cable and manufacturing method thereof | SHINDA (TANGSHAN) CREATIVE OIL & GAS EQUIPMENT CO., LTD. |
11195642 | System and method for the automated production of a cable set | LEONI BORDNETZ SYSTEME GMBH |
11195643 | Multilayer varistor having a field-optimized microstructure | TDK ELECTRONICS AG |
11195644 | Iron nitride magnetic material including coated nanoparticles | REGENTS OF THE UNIVERSITY OF MINNESOTA |
11195645 | Ce-containing sintered rare-earth permanent magnet with having high toughness and high coercivity, and preparation method therefor | CENTRAL IRON AND STEEL RESEARCH INSTITUTE |
11195646 | Soft magnetic alloy powder and dust core using same | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11195647 | Tuning systems, devices and methods | WISPRY, INC. |
11195648 | Electronic appliance and power conversion apparatus | FUJI ELECTRIC CO., LTD. |
11195649 | Temperature regulation of an inductor assembly | FORD GLOBAL TECHNOLOGIES, LLC |
11195650 | Reactor | MEIDENSHA CORPORATION |
11195651 | Inductance element | ALPS ALPINE CO., LTD. |
11195652 | Coil component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11195653 | Coil component | MURATA MANUFACTURING CO., LTD. |
11195654 | Ignition coil | BORGWARNER LUDWIGSBURG GMBH |
11195655 | Segmented winding techniques for a coupled inductor circuit | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11195656 | Multilayer ceramic capacitor having ultra-broadband performance | AVX CORPORATION |
11195657 | Multilayer electronic component | SAMSUNG ELECTRO-MECHANICS CO LTD |
11195658 | Multi-layer ceramic electronic device | TDK CORPORATION |
11195659 | Plated terminations | AVX CORPORATION |
11195660 | Multilayer ceramic electronic component, and mounting structure for multilayer ceramic electronic component | MURATA MANUFACTURING CO., LTD. |
11195661 | Etching manufacturing method of thin film capacitor | TDK CORPORATION |
11195662 | Film capacitor with a film winding core having metallikon electrodes and busbars on its ends | DENSO CORPORATION |
11195663 | Capacitor with multiple elements for multiple replacement applications | AMRAD MANUFACTURING, LLC |
11195664 | Electrolytic capacitor | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11195665 | Titanium clad nickel termination-pad welded to a titanium tab for a capacitor | GREATBATCH LTD. |
11195666 | Electrically insulating continuous film for an aluminum electrolytic capacitor | PACESETTER, INC. |
11195667 | Methods of making non-covalently bonded carbon-titania nanocomposite thin films and applications of the same | NORTHWESTERN UNIVERSITY |
11195668 | Electrochemical device | TAIYO YUDEN CO., LTD. |
11195669 | Energy storage device | OXCION LIMITED |
11195670 | Power contact fault clearing device | ARC SUPPRESSION TECHNOLOGIES |
11195671 | Dual parallel moveable electrical contacts/relays | HAMILTON SUNDSTRAND CORPORATION |
11195672 | Switch element for tap changer, and tap changer | MASCHINENFABRIK REINHAUSEN GMBH |
11195673 | Arc chamber for a DC circuit breaker | ABB SCHWEIZ AG |
11195674 | Radiation-hardened break before make circuit | COBHAM COLORADO SPRINGS INC. |
11195675 | Low-voltage circuit breaker device | EATON INTELLIGENT POWER LIMITED |
11195676 | Electronic switch mechanism | GYRUS ACMI, INC. |
11195677 | Security system sensor and methods | COMCAST CABLE COMMUNICATIONS, LLC |
11195678 | Magnetic switch adapter for night vision goggles | NOROTOS, INC. |
11195679 | Temperature-dependent switch | -- |
11195680 | Electrical assembly with contacts with modified mating surfaces | TE CONNECTIVITY SERVICES GMBH |
11195681 | Circuit breaker with addable tripped indicator | EATON INTELLIGENT POWER LIMITED |
11195682 | Circuit interrupter, trip deck assembly, and support for switch therefor | EATON INTELLIGENT POWER LIMITED |
11195683 | Single bolt fuse assembly with an electrically isolated bolt | LITTELFUSE, INC. |
11195684 | Field emission apparatus with superior structural stability and X-ray tube comprising the same | AWEXOME RAY, INC. |
11195685 | Electron gun, electron beam applicator, method for releasing electrons using electron gun, and method for adjusting focal position of electron beam | PHOTO ELECTRON SOUL INC. |
11195686 | Thermionic emission device and method for making the same | TSINGHUA UNIVERSITY |
11195687 | X-ray source voltage shield | MOXTEK, INC. |
11195688 | Adjusting mechanism for adjusting deformation of panel and electron beam detection apparatus comprising the same | ZHONGKE JINGYUAN ELECTRON LIMITED, BEIJING (CN) |
11195689 | Sample holder for electron microscopy | ZONEXUS LLC |
11195690 | Charged particle beam device | HITACHI HIGH-TECH CORPORATION |
11195691 | Method of automatically focusing a charged particle beam on a surface region of a sample, method of calculating a converging set of sharpness values of images of a charged particle beam device and charged particle beam device for imaging a sample | APPLIED MATERIALS, INC. |
11195692 | System for electron diffraction analysis | OXFORD INSTRUMENTS NANOTECHNOLOGY TOOLS LIMITED |
11195693 | Method and system for dynamic band contrast imaging | FEI COMPANY |
11195694 | Charged particle beam system, method for determining range for automatically searching for focal point position in charged particle beam device, and non-transitory storage medium recording program for causing computer system to determine range for automatically searching for focal position in charged particle beam device | HITACHI HIGH-TECH CORPORATION |
11195695 | Ion implantation method, ion implantation apparatus and semiconductor device | INFINEON TECHNOLOGIES AG |
11195696 | Electron beam generator, plasma processing apparatus having the same and plasma processing method using the same | SAMSUNG ELECTRONICS CO., LTD. |
11195697 | Plasma control apparatus | SPP TECHNOLOGIES CO., LTD. |
11195698 | RF impedance matching circuit and systems and methods incorporating same | RENO TECHNOLOGIES, INC. |
11195699 | Generalized cylindrical cavity system for microwave rotation and impedance shifting by irises in a power-supplying waveguide | APPLIED MATERIALS, INC. |
11195700 | Etching apparatus | CANON ANELVA CORPORATION |
11195701 | Showerhead | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11195702 | Plasma-generating device | FUJI CORPORATION |
11195703 | Apparatus and techniques for angled etching using multielectrode extraction source | APPLIED MATERIALS, INC. |
11195704 | Pedestal assembly for plasma processing apparatus | BEIJING E-TOWN SEMICONDUCTOR TECHNOLOGY CO., LTD. |
11195705 | Plasma generating unit and substrate treating apparatus comprising the same | SEMES CO., LTD. |
11195706 | Systems and methods for achieving a pre-determined factor associated with an edge region within a plasma chamber by synchronizing main and edge RF generators | LAM RESEARCH CORPORATION |
11195707 | Time-of-flight mass spectrometry device | SHIMADZU CORPORATION |
11195708 | Humidification of laser ablated sample for analysis | ELEMENTAL SCIENTIFIC, INC. |
11195709 | Ambient ionisation source unit | MICROMASS UK LIMITED |
11195710 | Hybrid mass spectrometric system | -- |
11195721 | Ohmic contacts and methods for manufacturing the same | PRINCETON OPTRONICS, INC. |
11195723 | Non-atomic layer deposition (ALD) method of forming sidewall passivation layer during high aspect ratio carbon layer etch | TOKYO ELECTRON LIMITED |
11195744 | Substrate treatment apparatus and manufacturing method of a semiconductor device | TOSHIBA MEMORY CORPORATION |
11195756 | Proximity contact cover ring for plasma dicing | APPLIED MATERIALS, INC. |
11195779 | Electronic module for motherboard | RAYTHEON COMPANY |
11195787 | Semiconductor device including an antenna | INFINEON TECHNOLOGIES AG |
11195806 | High frequency waveguide structure | INTEL CORPORATION |
11195813 | Anisotropic conductive film and production method of the same | DEXERIALS CORPORATION |
11195969 | Method of forming a metal silicide transparent conductive electrode | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11195971 | Glass wiring substrate, method of producing the same, part-mounted glass wiring substrate, method of producing the same, and display apparatus substrate | SONY CORPORATION |
11195988 | Electronic device and method for fabricating the same | SK HYNIX INC. |
11195991 | Magnetic random access memory assisted devices and methods of making | -- |
11195993 | Encapsulation topography-assisted self-aligned MRAM top contact | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11196034 | Electrode heating device and manufacturing system for secondary battery, which comprises the same | LG CHEM, LTD. |
11196039 | Passivated and/or protected silicon anode material slurries | STOREDOT LTD. |
11196044 | Positive electrode plate and electrochemical device | CONTEMPORARY AMPEREX TECHNOLOGY CO., LIMITED |
11196089 | Electricity storage device | KABUSHIKI KAISHA TOSHIBA |
11196097 | Supercapacitor control systems and methods | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
11196134 | Phase shifter including a dielectric layer having liquid crystal molecules configured to be rotated so as to cause phase shift | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11196136 | Cavity filter | HUAWEI TECHNOLOGIES CO., LTD. |
11196137 | Radio frequency filter | KMW INC. |
11196138 | Circulator with integrated directional coupler, and communication systems including the same | NXP USA, INC. |
11196139 | Simple directional coupler | -- |
11196140 | Directional coupler, waveguide device, and diplexer | FUJIKURA LTD. |
11196141 | Compact radio frequency antenna apparatuses | UBIQUITI INC. |
11196142 | Millimeter wave antenna and EMI shielding integrated with fan-out package | MICRON TECHNOLOGY, INC. |
11196143 | Antenna element, antenna array and base station | AAC TECHNOLOGIES PTE. LTD. |
11196144 | Antenna assembly and wireless communication device employing same | -- |
11196145 | Diversity antenna for bodypack transmitter | SHURE ACQUISITION HOLDINGS, INC. |
11196146 | Grounded BGA wave-guiding interface between an on-package signal launch and an external waveguide | TEXAS INSTRUMENTS INCORPORATED |
11196147 | Electronic device including antennas | LG ELECTRONICS INC. |
11196148 | Electronic device antennas | APPLE INC. |
11196149 | Wearable apparatus and antenna control method thereof | GOERTEK INC. |
11196150 | Wearable communication devices with antenna arrays and reflective walls | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11196151 | Electronic device comprising antenna | SAMSUNG ELECTRONICS CO., LTD. |
11196152 | Method and system for generating an omnidirectional antenna pattern from a directional antenna array | AVIDYNE CORPORATION |
11196153 | Exposed portion of a printed circuit board (PCB) configured to provide isolation among radar antennas | APTIV TECHNOLOGIES LIMITED |
11196154 | Antenna device | YOKOWO CO., LTD. |
11196155 | Collocated radios with improved antenna isolation | AMAZON TECHNOLOGIES, INC. |
11196156 | Fixed wireless systems and methods incorporating a beam steering antenna | -- |
11196157 | Optimizing joint aerial-layer networks using steerable antennas | ARCHITECTURE TECHNOLOGY CORPORATION |
11196158 | Electric composite detection antenna | -- |
11196159 | Switch linearization by compensation of a field-effect transistor | SKYWORKS SOLUTIONS, INC. |
11196160 | Dual-polarized retrodirective array and multi-frequency antenna element | INTEL CORPORATION |
11196162 | Patch antenna having two different radiation modes with two separate working frequencies, device using such an antenna | SIGFOX |
11196163 | Antenna structure | -- |
11196164 | No-matching-circuit multi-band diversity antenna system for medical external-communications | CARDIAC PACEMAKERS, INC. |
11196165 | Low z-height, ultra-low dielectric constant air cavity based and multi-core/highly asymmetric antenna substrate architectures for electrical performance improvements in 5G mm-wave applications | INTEL CORPORATION |
11196166 | Antenna device | DENSO CORPORATION |
11196167 | Manufacturing method of antenna pattern, manufacturing method of RFID inlay, manufacturing method of RFID label, and manufacturing method of RFID medium | SATO HOLDINGS KABUSHIKI KAISHA |
11196168 | Ultra wide band radiators and related antennas arrays | COMMSCOPE TECHNOLOGIES LLC |
11196169 | Printed circuit board antenna | AAC TECHNOLOGIES PTE. LTD. |
11196170 | Antenna device | -- |
11196171 | Combined waveguide and antenna structures and related sensor assemblies | VEONEER US, INC. |
11196172 | Phased-array antenna and method for controlling the same | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
11196173 | Dual-band (S and C) sub-reflectors for frequency-reuse types of satellite communication systems for commercial and defense applications | KING ABDULAZIZ UNIVERSITY |
11196174 | Mesh reflector satellite antennas with on-orbit extruded or printed support structure | EAGLE TECHNOLOGY, LLC |
11196175 | Antenna device | MITSUBISHI ELECTRIC CORPORATION |
11196176 | Radiation element, as well as antenna unit and antenna array thereof | TONGYU COMMUNICATION INC. |
11196177 | Antenna-mounted substrate and antenna module | MURATA MANUFACTURING CO., LTD. |
11196178 | Dual-polarized horn radiator | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11196179 | Wideband dual-polarized electrically coupled and connected radiators on a triangular lattice | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
11196180 | Antenna module comprising dipole antenna and electronic device comprising the same | SAMSUNG ELECTRONICS CO., LTD. |
11196181 | Low cost, high performance signal processing in a magnetic-field sensing buried utility locator system | SEESCAN, INC. |
11196182 | Radio frequency circuit board interconnect assembly | RAYTHEON COMPANY |
11196183 | Feeding device, antenna, and electronic device | HUAWEI TECHNOLOGIES CO., LTD. |
11196184 | Broadband antenna array | CUBIC CORPORATION |
11196185 | Resistance welding fastener, apparatus and methods | HOWMET AEROSPACE INC. |
11196186 | Coaxial cable bonding/grounding blocks | PPC BROADBAND, INC. |
11196187 | Universal end clamp for securing a solar panel to a rail support guide | IRONRIDGE, INC. |
11196188 | Set screw connector with anti-backout lock | HUBBELL INCORPORATED |
11196189 | Connection device and method for an electronic housing for connecting a conductor, particularly a shield conductor | PHOENIX CONTACT GMBH & CO. KG |
11196190 | Electrical connector | HUBBELL INCORPORATED |
11196191 | Electrical connector with cure-in-place resin | -- |
11196192 | Coaxial cable connectors having a grounding member | PPC BROADBAND, INC. |
11196193 | Conductor terminal, assortment of at least one base module and differently designed conductor connecting modules of a conductor terminal, and conductor terminal block | WAGO VERWALTUNGESELLSCHAFT MBH |
11196194 | Electrical connector | -- |
11196195 | Interconnect system having retention features | SAMTEC, INC. |
11196196 | Connection apparatus, network board connection system, and communications device | HUAWEI TECHNOLOGIES CO., LTD. |
11196197 | Electrical connector | -- |
11196198 | Card edge connector with improved contacts | FOXCONN (KUNSHAN) COMPUTER CONNECTOR CO., LTD. |
11196199 | Connector including holding member for holding conductive member | HIROSE ELECTRIC CO., LTD. |
11196200 | Electrical connector assembly including plug and receptacle mating portions having spaced front mating surfaces | FOXCONN (KUNSHAN) COMPUTER CONNECTOR CO., LTD. |
11196201 | Male terminal and connector pair | AUTONETWORKS TECHNOLOGIES, LTD. |
11196202 | Electrical connector and electronic device | TYCO ELECTRONICS (SHANGHAI) CO. LTD. |
11196203 | Connector assembly including receptacle connector and plug connector | SENSORVIEW INCORPORATED |
11196204 | Spring-loaded inner-conductor contact element | ROSENBERGER HOCHFREQUENZTECHNIK GMBH |
11196205 | Connection structure, forming method of connection structure and cable of connection structure | JAPAN AVIATION ELECTRONICS INDUSTRY, LIMITED |
11196206 | Electrical connector with field serviceable shell assembly | HIREL CONNECTORS, INC. |
11196207 | Card edge connector with protective cover | MOLEX, LLC |
11196208 | Terminal protection device of connector | HYUNDAI MOTOR COMPANY |
11196209 | Rounded connector assembly | LG CHEM, LTD. |
11196210 | Circular connector with integral coupling ring | TE CONNECTIVITY SERVICES GMBH |
11196211 | Expandable connector assembly | LG CHEM, LTD. |
11196212 | Locking combination outlet module and power distribution unit incorporating the same | SERVER TECHNOLOGY, INC. |
11196213 | Cable end connector | DONGGUAN LUXSHARE TECHNOLOGIES CO., LTD |
11196214 | Connector | YAZAKI CORPORATION |
11196215 | Electrical connector equipped with a mounting member | FOXCONN (KUNSHAN) COMPUTER CONNECTOR CO., LTD. |
11196216 | Disconnecting and supporting quick release electrical fixtures | -- |
11196217 | Variable case thickness accommodation plug systems and methods | FLIR SYSTEMS, INC. |
11196218 | Connector with direct locking and rotational pre-ejection function | -- |
11196219 | Electrical connector | -- |
11196220 | Electrical connector | -- |
11196221 | Semiconductor device of USB interface and method of operating the same | SAMSUNG ELECTRONICS CO., LTD. |
11196222 | Data cable connector | ENDRESS+HAUSER SE+CO. KG |
11196223 | Connector | HYUNDAI MOTOR COMPANY |
11196224 | Board-to-board connector with alignment features | FUDING PRECISION COMPONENTS (SHENZHEN) CO., LTD. |
11196225 | Rotary connector device and fixed body for rotary connector device | FURUKAWA ELECTRIC CO., LTD. |
11196226 | Optical amplifying device | MITSUBISHI ELECTRIC CORPORATION |
11196227 | Optical amplifier | NIPPON TELEGRAPH AND TELEPHONE CORPORATION |
11196228 | Encoded pixel structure of vertical cavity surface emitting laser | VERTILITE CO., LTD. |
11196229 | Laser diode current driving apparatus | STMICROELECTRONICS (RESEARCH & DEVELOPMENT) LIMITED |
11196230 | Impedance compensation along a channel of emitters | LUMENTUM OPERATIONS LLC |
11196231 | Semiconductor laser diode and method for manufacturing a semiconductor laser diode | OSRAM OLED GMBH |
11196232 | Modulation doped semiconductor laser and manufacturing method therefor | LUMENTUM JAPAN, INC. |
11196233 | Quantum cascade laser | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11196234 | Solder-creep management in high-power laser devices | TERADIODE, INC. |
11196235 | Electrode material spark plug electrode, and spark plug | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11196238 | Device for detecting contact with an electrical conductor, method for identifying contact with an electrical conductor, insulation stripping machine comprising a device of this kind | SCHLEUNIGER AG |
11196241 | Integrated opposite hook wire clamp | GUANGZHOU PANYU CABLE GROUP CO., LTD. |
11196244 | Electronic device and control method thereof | CANON KABUSHIKI KAISHA |
11196245 | DC power system breaker for transportation vehicle | SHALLCO, INC. |
11196266 | Device having a multimode antenna with conductive wire width | NUCURRENT, INC. |
11196277 | Wireless communication terminal | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11196291 | Data center with backup power system | CE+T POWER LUXEMBOURG SA |
11196295 | Wireless power supply device and electrical apparatus having the same | TYCO ELECTRONICS (SHANGHAI) CO. LTD. |
11196296 | Wireless power transmission system for rotating connector | AMOSENSE CO., LTD. |
11196324 | Method of manufacturing stacked core with adhesive | -- |
11196353 | Integrated power system | ALION SCIENCE AND TECHNOLOGY CORPORATION |
11196383 | Tunable oscillator device | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11196394 | Power amplifier module | MURATA MANUFACTURING CO., LTD. |
11196398 | Combing power amplifiers at millimeter wave frequencies | TEXAS INSTRUMENTS INCORPORATED |
11196417 | Motor vehicle control device and method for manufacturing an at least partly electrically conductive control unit for a motor vehicle control device | BCS AUTOMOTIVE INTERFACE SOLUTIONS GMBH |
11196451 | High-frequency module, high-frequency front end circuit, and communication device | MURATA MANUFACTURING CO., LTD. |
11196480 | High linearity satellite payload using solid state power amplifiers | MAXAR SPACE LLC |
11196481 | EMP-resistant satellite communications system and method | JUPITER |
11196683 | Switch with side ports | FACEBOOK, INC. |
11196717 | Facilitating virtual transponder utilizing inband telemetry | THE BOEING COMPANY |
11196847 | Electronic device including antenna | SAMSUNG ELECTRONICS CO., LTD. |
11196883 | Printer with cover to protect connection of external cables | SEIKO EPSON CORPORATION |
11196901 | Camera module | ROSENBERGER HOCHFREQUENZTECHNIK GMBH |
11196903 | Parts for imaging apparatus, and imaging apparatus | IRISO ELECTRONICS CO., LTD. |
11197078 | Portable radio with button over speaker | MOTOROLA SOLUTIONS, INC. |
11197100 | Bone conduction speaker | SHENZHEN VOXTECH CO., LTD. |
11197150 | Method and apparatus for supporting transfer of profile between devices in wireless communication system | SAMSUNG ELECTRONICS CO., LTD. |
11197172 | Multiple-antenna system for cell-specific and user-specific transmission | NEO WIRELESS LLC |
11197173 | Multi-band cellular antenna system | QUINTEL CAYMAN LIMITED |
11197361 | Split-type in-wall smart switch module | FOCALCREST LIMITED |
11197362 | Virtual three-way switch, systems and methods | -- |
11197364 | Damping arrangement for power electronics applications | ZF FRIEDRICHSHAFEN AG |
11197366 | Electromagnetic band gap structutre for antenna array | -- |
11197368 | High-frequency circuit board and method for manufacturing the same | AVARY HOLDING (SHENZHEN) CO., LIMITED |
11197369 | Camera module and vehicle camera | LG INNOTEK CO., LTD. |
11197372 | Capacitor having through hole structure and manufacturing method therefor | KOREA ELECTRONICS TECHNOLOGY INSTITUTE |
11197374 | Integrated switched inductor power converter having first and second powertrain phases | FERRIC INC. |
11197380 | Flexible display and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11197381 | Modular electronic housing for housing electronic components and a method for producing the same | PHOENIX CONTACT GMBH & CO. KG |
11197382 | Electronic module for a transmission control unit, and transmission control unit | ZF FRIEDRICHSHAFEN AG |
11197383 | Housing, housing manufacturing method and mobile terminal | GUANGDONG EVERWIN PRECISION TECHNOLOGY CO., LTD. |
11197384 | Tool-less latch system for a node sled | -- |
11197385 | Expansion card holder and hook fixing mechanism | HONGFUJIN PRECISION ELECTRONICS(TIANJIN)CO., LTD. |
11197386 | Removable I/O module with diagnostics for a field device I/O connector | PHOENIX CONTACT DEVELOPMENT AND MANUFACTURING, INC. |
11197387 | Server apparatus and fixing mechanism thereof | -- |
11197388 | Automatic opening and closing protection device | -- |
11197389 | Server chassis | SUPER MICRO COMPUTER INC. |
11197390 | Clip for securing cables and performing cable maintenance | -- |
11197391 | Water cooling head, water cooling radiator and electronic equipment | SHENZHEN XUNLING TECHNOLOGY CO., LTD. |
11197392 | Method of forming a 3D-vapor chamber | ABB SCHWEIZ AG |
11197393 | Fluid connectors for modular cooling systems | SEAGATE TECHNOLOGY LLC |
11197394 | Protective louver assembly for air-moving assembly | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11197395 | Multi-layer data center cooling infrastructure | BAIDU USA LLC |
11197396 | Cooling system with curvilinear air to liquid heat exchanger | -- |
11197397 | Provisioning data center server cooling equipment | GOOGLE LLC |
11197398 | Installation structure and installation method of plug-in switch tube | SHENZHEN VMAX NEW ENERGY CO., LTD. |
11197399 | Electromagnetic pulse shield | LIFELINE IP HOLDINGS, LLC |
11197400 | Bending apparatus | FUJI CORPORATION |
11197401 | System and method for large-scale PCB production including continuous selective adhesion | HONEYWELL FEDERAL MANUFACTURING & TECHNOLOGIES, LLC |
11197612 | Non-invasive biological, chemical markers and tracers monitoring device in blood including glucose monitoring using adaptive RF circuits and antenna design | AMERICAN UNIVERSITY OF BEIRUT |
11197708 | Plasma generator configured for use with an auxiliary device | GYRUS ACMI, INC. |
11197990 | Systems and methods for transcutaneous power transfer using microneedles | TC1 LLC |
11198014 | Hermetically sealed filtered feedthrough assembly having a capacitor with an oxide resistant electrical connection to an active implantable medical device housing | GREATBATCH LTD. |
11198194 | Laser welding apparatus with a clamp | DENSO CORPORATION |
11198198 | Method for manufacturing substrate with transparent conductive film, substrate with transparent conductive film, and solar cell | FURUKAWA ELECTRIC CO., LTD. |
11198263 | Melt processable thermoplastic composite comprising a multimodal dielectric filler | ROGERS CORPORATION |
11198271 | Method and apparatus for manufacturing display device | SAMSUNG DISPLAY CO., LTD. |
11198314 | Nanostructure array diffractive optics for RGB and CMYK color displays | NANOTECH SECURITY CORP. |
11198315 | Article with curved patterns formed of aligned pigment flakes | VIAVI SOLUTIONS INC. |
11198328 | Tire with electronic device having a reinforcing cord antenna | BRIDGESTONE AMERICAS TIRE OPERATIONS, LLC |
11198397 | Mount apparatus for securing an electronic device to a surface | ESCORT INC. |
11198612 | Graphene structure and method for manufacturing graphene having wrinkle pattern | IUCF-HYU (INDUSTRY-UNIVERSITY COOPERATION FOUNDATION HANYANG UNIVERSITY) |
11198916 | Grain-oriented electrical steel sheet | JFE STEEL CORPORATION |
11198917 | Method for nitriding grain-oriented electrical steel sheet | JFE STEEL CORPORATION |
11198933 | Method of manufacturing sputtering target and sputtering target | KABUSHIKI KAISHA TOSHIBA |
11198938 | Ultralight robust plate materials | THE TRUSTEES OF THE UNIVERSITY OF PENNSYLVANIA |
11198939 | Recursive inject apparatus for improved distribution of gas | APPLIED MATERIALS, INC. |
11198940 | Metallic nanofiber ink, substantially transparent conductor, and fabrication method | NTHDEGREE TECHNOLOGIES WORLDWIDE INC |
11198958 | Method and system for making microcoils and product thereof | CENTRE NATIONAL DE LA RECHERCHE SCIETIFIQUE (CNRS) |
11199028 | Utility meter enclosure with dual position locks | LANDIS+GYR INNOVATIONS, INC. |
11199192 | Pump assembly having performance enhancing hose connection ports | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11199286 | Bushing shield for fire detection | EVERGREEN TOOL COMPANY, INC. |
11199295 | Cryostat for magnetic resonance imaging system | SHANGHAI UNITED IMAGING HEALTHCARE CO., LTD. |
11199319 | Hardscape fixtures and deployment | WANGS ALLIANCE CORPORATION |
11199367 | Method and system for controlling energy streams | -- |
11199398 | Laser projection module, depth camera and electronic device | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
11199401 | End-point detection for similar adjacent materials | APPLIED MATERIALS ISRAEL LTD. |
11199447 | Single-mode, high-frequency, high-power narrowband spintronic terahertz emitter | WISCONSIN ALUMNI RESEARCH FOUNDATION |
11199453 | Systems and methods for bond-selective transient phase imaging | TRUSTEES OF BOSTON UNIVERSITY |
11199456 | Temperature sensors | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11199480 | Thin-sample-piece fabricating device and thin-sample-piece fabricating method | HITACHI HIGH-TECH SCIENCE CORPORATION |
11199501 | Methods and systems for identifying features | UTICA LEASECO, LLC |
11199511 | Medium sensor device and monitoring system | HITACHI, LTD. |
11199569 | Dynamic configuration of a test chamber for wireless communications | T-MOBILE USA, INC. |
11199572 | Electronics tester | AEHR TEST SYSTEMS |
11199592 | Robotic magnetic flux leakage inspection system for external post-tensioned tendons of segmental bridges and roadways | INFRASTRUCTURE PRESERVATION CORPORATION |
11199599 | Magnet assembly comprising closed superconducting HTS shims | BRUKER SWITZERLAND AG |
11199600 | Superconducting magnet with cold head thermal path cooled by heat exchanger | KONINKLIJKE PHILIPS N.V. |
11199608 | Antenna, sensor, and vehicle mounted system | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
11199609 | Radar cover and method for manufacturing radar cover | FALTEC CO. LTD. |
11199610 | Onboard sensor cover | TOYODA GOSEI CO., LTD. |
11199611 | Vehicle radar system with T-shaped slot antennas | MAGNA ELECTRONICS INC. |
11199616 | Configurable beam former integrated circuit and method | ROCKWELL COLLINS, INC. |
11199628 | Distance detecting systems including gallium and nitrogen containing laser diodes | KYOCERA SLD LASER, INC. |
11199634 | Packaging of semiconductor X-ray detectors | SHENZHEN XPECTVISION TECHNOLOGY CO., LTD. |
11199656 | Microstructured multicore optical fibre (MMOF), a device and the fabrication method of a device for independent addressing of the cores of microstructured multicore optical fibre | INPHOTECH SP. Z O.O. |
11199670 | Transceiver module assembly having stopper positioning | YAMAICHI ELECTRONICS CO., LTD. |
11199749 | Display device | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11199769 | Method and apparatus for neutral beam processing based on gas cluster ion beam technology | -- |
11199781 | Writing data generating method, multi charged particle beam writing apparatus, pattern inspecting apparatus, and computer-readable recording medium | NUFLARE TECHNOLOGY, INC. |
11199874 | Foldable terminal | SHENZHEN HEYTAP TECHNOLOGY CORP., LTD. |
11199877 | Display apparatus | LG DISPLAY CO., LTD. |
11199878 | Casing structure | -- |
11199885 | Thermal mass aware thermal management | FACEBOOK, INC. |
11199917 | Sensing screen, control circuit and control method thereof, and sensing screen apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
11200020 | Intelligent wearable device | JRD COMMUNICATION (SHENZHEN) LTD |
11200104 | Technolgies for millimeter wave rack interconnects | INTEL CORPORATION |
11200191 | USB C type-based input/output expansion box for POS device | POSBANK CO., LTD |
11200385 | Electronic card having an electronic interface | APPLE INC. |
11200386 | Electronic card having an electronic interface | APPLE INC. |
11200388 | System and method for accurately reading radio-frequency identification tags at a bioptic barcode reader | ZEBRA TECHNOLOGIES CORPORATION |
11200479 | Electromagnetic-coupling dual IC card and IC module | TOPPAN PRINTING CO., LTD. |
11201001 | Isolated electrically conductive element and method for manufacturing the same | HEW-KABEL GMBH |
11201002 | Movable plug extension-cable system and methods thereof | -- |
11201003 | Plug-in power and data connectivity micro grids for information and communication technology infrastructure and related methods of deploying such micro grids | COMMSCOPE TECHNOLOGIES LLC |
11201004 | Wire with unequal dimensions for cables in information handling systems | DELL PRODUCTS L.P. |
11201005 | Solenoid having inverse tapered armature for solenoid-actuated valve | BORGWARNER INC. |
11201006 | Bobbin for edge-mounted magnetic core | UNIVERSAL LIGHTING TECHNOLOGIES, INC. |
11201007 | Modulated inductance module | -- |
11201008 | Electrical assembly comprising a capacitive element | VALEO SIEMENS EAUTOMOTIVE FRANCE SAS |
11201009 | Method of manufacturing multilayer ceramic electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11201010 | Multilayer capacitor and manufacturing method for the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11201011 | Multi-layered ceramic capacitor | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11201012 | Multi-layered ceramic capacitor | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11201013 | Dielectric film and electronic component | TDK CORPORATION |
11201014 | Multi-layer ceramic electronic component and circuit board | TAIYO YUDEN CO., LTD. |
11201015 | Multilayer type electronic component | MURATA MANUFACTURING CO., LTD. |
11201016 | Electrolytic capacitor | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11201017 | Method for manufacturing capacitor | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11201018 | Electromechanical switching device comprising switching contacts | PHOENIX CONTACT GMBH & CO. KG |
11201019 | Wireless mouse and switch module applied therein | -- |
11201020 | Bracket, functional module, mounting method of electrical device and the electrical device | SCHNEIDER ELECTRIC (AUSTRALIA) PTY LTD |
11201021 | Switch with guide and sealant | OMRON CORPORATION |
11201022 | Key structure | LITE-ON ELECTRONICS (GUANGZHOU) LIMITED |
11201023 | Change-over switch and switch device | OMRON CORPORATION |
11201024 | Switchgear driving arrangement | SIEMENS ENERGY GLOBAL GMBH & CO. KG |
11201025 | Systems and methods for an electromagnetic actuator | HUSCO AUTOMOTIVE HOLDINGS LLC |
11201026 | Protection device and circuit protection apparatus containing the same | -- |
11201027 | Triggered fuse for low-voltage applications | DEHN SE + CO KG |
11201028 | Traveling wave tube amplifier having a helical slow-wave structure supported by a cylindrical scaffold | WISCONSIN ALUMNI RESEARCH FOUNDATION |
11201030 | Distributed X-ray light source and control method therefor, and CT equipment | NUCTECH COMPANY LIMITED |
11201031 | High voltage seals and structures having reduced electric fields | VAREX IMAGING CORPORATION |
11201032 | Electron emitter and method of fabricating same | ASML NETHERLANDS B.V. |
11201033 | Charged particle beam device and electrostatic lens | HITACHI HIGH-TECH CORPORATION |
11201034 | Plasma processing apparatus and control method | TOKYO ELECTRON LIMITED |
11201035 | Radical source with contained plasma | TOKYO ELECTRON LIMITED |
11201036 | Plasma strip tool with uniformity control | BEIJING E-TOWN SEMICONDUCTOR TECHNOLOGY CO., LTD |
11201037 | Process kit with adjustable tuning ring for edge uniformity control | APPLIED MATERIALS, INC. |
11201038 | Support assembly and support assembly assembling method | TOKYO ELECTRON LIMITED |
11201039 | Mounting apparatus for object to be processed and processing apparatus | TOKYO ELECTRON LIMITED |
11201040 | Substrate supporting unit and film forming device having the substrate supporting unit | NHK SPRING CO., LTD. |
11201041 | Gas electron multiplier board photomultiplier | BAKER HUGHES HOLDINGS LLC |
11201042 | Imaging mass spectrometry data processing device | SHIMADZU CORPORATION |
11201043 | Optimised targeted analysis | MICROMASS UK LIMITED |
11201044 | Multipole assembly configurations for reduced capacitive coupling | THERMO FINNIGAN LLC |
11201045 | Apparatus and method for ionizing an analyte, and apparatus and method for analysing an ionized analyte | PLASMION GMBH |
11201046 | Orthogonal acceleration time-of-flight mass spectrometer and lead-in electrode for the same | SHIMADZU CORPORATION |
11201047 | Time-of-flight mass spectrometer | SHIMADZU CORPORATION |
11201048 | Quadrupole devices | MICROMASS UK LIMITED |
11201054 | Method of manufacturing semiconductor device having higher exhaust pipe temperature and non-transitory computer-readable recording medium | KOKUSAI ELECTRIC CORPORATION |
11201063 | Substrate processing method and substrate processing apparatus | TOKYO ELECTRON LIMITED |
11201075 | Holding apparatus for electrostatically holding a component, including a base body joined by diffusion bonding, and process for its manufacture | BERLINER GLAS GMBH |
11201123 | Substrate structure and manufacturing method thereof | -- |
11201131 | Light emitting module | NICHIA CORPORATION |
11201137 | Systems and methods for powering an integrated circuit having multiple interconnected die | CEREBRAS SYSTEMS INC. |
11201194 | Display device | SAMSUNG DISPLAY CO., LTD. |
11201275 | Superconducting stress-engineered micro-fabricated springs | PALO ALTO RESEARCH CENTER INCORPORATED |
11201277 | Qubit hardware for electrons on helium | -- |
11201353 | Electrolyte solution, electrochemical device, lithium ion secondary battery, and module | DAIKIN INDUSTRIES, LTD. |
11201354 | Electrolytic solution and lithium ion secondary battery | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11201360 | Rechargeable power cells | DST INNOVATIONS LIMITED |
11201361 | Battery charger with battery state detection | SCHUMACHER ELECTRIC CORPORATION |
11201363 | Cooling system and method | SIEMENS ENERGY AS |
11201377 | Connection arrangement for connecting at least one voltage source and/or voltage sink which is in the form of a cell to an external electrical component and electrical arrangement comprising a connection arrangement | TE CONNECTIVITY GERMANY GMBH |
11201380 | Cavity filter assembly | KMW INC. |
11201381 | Corporate power splitter with integrated filtering | FIRST RF CORPORATION |
11201382 | Ducted antenna housing for small cell pole | COMPTEK TECHNOLOGIES, LLC |
11201383 | Antenna assembly | ROSENBERGER TECHNOLOGIES CO., LTD. |
11201384 | Methods and apparatus for the mounting of antenna apparatus | PULSE FINLAND OY |
11201385 | Antennas for metal housings | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11201386 | Semiconductor device package and method for manufacturing the same | -- |
11201387 | Electrically isolated corner stiffener | MICROSOFT TECHNOLOGY LICENSING, LLC |
11201388 | Base station antennas that utilize amplitude-weighted and phase-weighted linear superposition to support high effective isotropic radiated power (EIRP) with high boresight coverage | COMMSCOPE TECHNOLOGIES LLC |
11201389 | Measurement system, rotary machine and measurement method for the same | MITSUBISHI HEAVY INDUSTRIES, LTD. |
11201390 | Antenna structure for optimizing isolation of signal and electronic device using same | MOBILE DRIVE NETHERLANDS B.V. |
11201391 | Methods for beam management in vehicle | SAMSUNG ELECTRONICS CO., LTD. |
11201392 | Antenna apparatus | YOKOWO CO., LTD. |
11201393 | Electrochemically controlled capillarity to dynamically connect portions of an electrical circuit | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11201394 | Antenna device and electronic device | SHENZHEN HEYTAP TECHNOLOGY CORP., LTD. |
11201395 | Camouflaged single branch dual band antenna for use with power meter | HONEYWELL INTERNATIONAL INC. |
11201396 | Antenna module and electronic device comprising the same | SAMSUNG ELECTRONICS CO., LTD. |
11201397 | Circuit and wireless device | NIPPON TELEGRAPH AND TELEPHONE CORPORATION |
11201398 | Antenna device and method for determining radiation pattern | NANNING FUGUI PRECISION INDUSTRIAL CO., LTD. |
11201399 | Beamforming calibration | SOFTBANK CORP. |
11201400 | Modular parallel beamforming system and associated methods | BAKER HUGHES, A GE COMPANY, LLC |
11201401 | Current surge protection circuits for base station antennas having remote electronic tilt capability and related methods | COMMSCOPE TECHNOLOGIES LLC |
11201402 | Phase shifter assembly | ROSENBERGER TECHNOLOGIES CO., LTD. |
11201403 | Liquid crystal cell and scanning antenna | SHARP KABUSHIKI KAISHA |
11201404 | Electromagnetic wave adjusting device | -- |
11201405 | Cellular system | -- |
11201406 | Sub-reflector and feeding device for a dipole | HUAWEI TECHNOLOGIES CO., LTD. |
11201407 | Antenna apparatus and communication apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11201408 | Antenna design support apparatus and antenna design support method | FUJITSU LIMITED |
11201409 | Patch antenna and antenna device | YOKOW CO., LTD. |
11201410 | Stripline fed full wavelength slot in half wavelength patch antenna | THE BOEING COMPANY |
11201411 | Liquid crystal cell and scanning antenna | SHARP KABUSHIKI KAISHA |
11201412 | Radio frequency reflect-array single panel for satellite antenna and an assembly of radio frequency reflect-array panels for satellite antenna comprising at least one such panel | THALES |
11201413 | Antenna module and electronic device | -- |
11201414 | Waveguide sensor assemblies and related methods | VEONEER US, INC. |
11201415 | Transmitarray antenna and method of designing the same | HONGIK UNIVERSITY INDUSTRY-ACADEMIA COOPERATION FOUNDATION |
11201416 | Antenna and partly finished product of facing portion used in the same | JAPAN AVIATION ELECTRONICS INDUSTRY, LIMITED |
11201417 | Wiring terminal | XIAMEN GHGM ELECTRIC CO., LTD. |
11201418 | Multi-stage beam contacts | AMPHENOL CORPORATION |
11201419 | Electrical connector | DONGGUAN LUXSHARE TECHNOLOGIES CO., LTD |
11201420 | Package protector with integrated guide pin | INTEL CORPORATION |
11201421 | Printed circuit board mounting arrangement | VITESCO TECHNOLOGIES GMBH |
11201422 | Active cable with external power | DALLAS |
11201423 | Card connector assemblies with integrated component shielding | GOOGLE LLC |
11201424 | Printed-circuit board connector for high-current transmission | HARTING ELECTRIC GMBH & CO. KG |
11201425 | Electrical connector for flat conductors | HIROSE ELECTRIC CO., LTD. |
11201426 | Electrical contact appearance and protection | APPLE INC. |
11201427 | Socket contact for an electrical connector | TE CONNECTIVITY SERVICES GMBH |
11201428 | Connector assembly with connector lock and terminal retainer | APTIV TECHNOLOGIES LIMITED |
11201429 | Electrical connector | HIROSE ELECTRIC CO., LTD. |
11201430 | Power connection assembly comprising a connection module and electric cable terminations to be locked in/unlocked from the module in a desired insertion position, terminal block comprising a plurality of independent connection modules | RADIALL |
11201432 | Connector | AUTONETWORKS TECHNOLOGIES, LTD. |
11201433 | Lever-type connector | SUMITOMO WIRING SYSTEMS, LTD. |
11201434 | Direct plug-in connector and direct plug-in connection | WÜRTH ELEKTRONIK EISOS GMBH & CO. KG |
11201435 | Coaxial cable connector interface for preventing mating with incorrect connector | COMMSCOPE TECHNOLOGIES LLC |
11201436 | Keyed input/output connector | MOLEX, LLC |
11201437 | Insulating connector for an electrical cable | TYCO ELECTRONICS (SHANGHAI) CO. LTD. |
11201438 | Electrical connector with an outer shielding shell rotatively to an inner shelding shell | -- |
11201439 | Connector assembly | HIROSE ELECTRIC CO., LTD. |
11201440 | Power supply device | SHENZHEN RELX TECHNOLOGY CO., LTD. |
11201441 | Plug-in connector | HARTING ELECTRIC GMBH & CO. KG |
11201442 | Power over ethernet system, device, and method | ZHEJIANG DAHUA TECHNOLOGY CO., LTD. |
11201443 | Electrical plug-in connector | WÜRTH ELEKTRONIK EISOS GMBH & CO. KG |
11201444 | Power adapter having contact elements in a recess and method of controlling a power adapter | SMART POWER PARTNERS LLC |
11201445 | Busbars docking platform | -- |
11201446 | Operation method for busbars docking platform | -- |
11201447 | Multi-pulse amplification | IMRA AMERICA, INC. |
11201448 | Optical mixing approach for controlling electro-magnetic attributes of emitted laser pulses | BAE SYSTEMS INFORMATION AND ELECTRONIC SYSTEMS INTEGRATION INC. |
11201449 | Systems for efficient cyclical fiber-optic connections | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11201450 | Q-switched solid-state laser | -- |
11201451 | Porous distributed Bragg reflectors for laser applications | IQE PLC |
11201452 | Systems for photonic integration in non-polar and semi-polar oriented wave-guided optical devices | KYOCERA SLD LASER, INC. |
11201453 | Wavelength determination for widely tunable lasers and laser systems thereof | BROLIS SENSOR TECHNOLOGY, UAB |
11201454 | Semiconductor laser | OSRAM OLED GMBH |
11201455 | Temporary electrical panel | -- |
11201457 | Water-proofing and fire-proofing combined flashing and electrical junction box system | -- |
11201460 | Power source switch control device | YAZAKI CORPORATION |
11201464 | Arrangement for overload protection for overvoltage protection equipment | DEHN SE + CO KG |
11201466 | Electrostatic discharge clamp structures | GLOBALFOUNDRIES U.S. INC. |
11201482 | Solenoid generator, corresponding electrical supply system and device | OTTO S.R.L. |
11201487 | Wireless control switch and wireless control method | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11201502 | Hybrid wireless power transfer system for an electronic device | NXP USA, INC. |
11201515 | Motor coil substrate and method for manufacturing motor coil substrate | IBIDEN CO., LTD. |
11201521 | Hybrid type stepping motor | MINEBEA MITSUMI INC. |
11201584 | Junction box for a photovoltaic module | HANWHA Q CELLS GMBH |
11201599 | Band pass filter | MURATA MANUFACTURING CO., LTD. |
11201602 | Apparatus and methods for tunable filtering | ANALOG DEVICES, INC. |
11201620 | Power supply circuit and apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
11201630 | Method and apparatus for a frequency-selective antenna | METAWAVE CORPORATION |
11201631 | Electronic device having antennas | LG ELECTRONICS INC. |
11201635 | Electronic device including foldable housing | SAMSUNG ELECTRONICS CO., LTD. |
11201676 | Codirectional ROPA supplied with power via a separate fiber transmitting data in opposite direction | XIEON NETWORKS S.A.R.L. |
11201994 | Vehicular multi-camera surround view system using image data transmission and power supply via coaxial cables | MAGNA ELECTRONICS INC. |
11202141 | Button sound-emitting apparatus and electronic device | GOERTEK INC. |
11202341 | Access point device | GOOGLE LLC |
11202351 | Control device for controlling multiple operating characteristics of an electrical load | LUTRON TECHNOLOGY COMPANY LLC |
11202358 | Discharge method and circuit for USB connector | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11202360 | System with a spatially expansive X-ray source for X-ray imaging | SHENZHEN XPECTVISION TECHNOLOGY CO., LTD. |
11202361 | X-ray tube device | FUJIFILM CORPORATION |
11202362 | Superconducting resonant frequency cavities, related components, and fabrication methods thereof | -- |
11202365 | Antenna using horn structure and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11202369 | Patterned nano graphene platelet-based conductive inks | GLOBAL GRAPHENE GROUP, INC. |
11202371 | Multilayer substrate | MURATA MANUFACTURING CO., LTD. |
11202373 | Connector device | AUTONETWORKS TECHNOLOGIES, LTD. |
11202374 | Method of mounting component | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11202376 | Electronic device including housing and method for manufacturing housing thereof | SAMSUNG ELECTRONICS CO., LTD. |
11202378 | Modular infrastructure for compute and storage clusters | BAIDU USA LLC |
11202379 | Electronic apparatus | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11202380 | Adapters for electronic control unit | CUMMINS INC. |
11202381 | Connection device | KABUSHIKI KAISHA TOSHIBA |
11202382 | Display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11202383 | Hard drive enclosure | -- |
11202384 | Waterproof mechanism | -- |
11202385 | Electronic device including physical button structure | SAMSUNG ELECTRONICS CO., LTD. |
11202386 | Modular cable management system | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11202387 | Mounting rails with dovetail lugs | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11202388 | Living room convergence device | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11202389 | Heat dissipation structure and electronic device | TRIPLE WIN TECHNOLOGY(SHENZHEN) CO. LTD. |
11202390 | Heat dissipation unit connection reinforcement structure | -- |
11202391 | Heat dissipating structure capable of conducting heat from a detachable module to a case module, and electronic device therewith | -- |
11202392 | Multi-coolant heat exchanger for an electronics rack | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11202393 | Multi-function adapting modules for electronics cooling | BAIDU USA LLC |
11202394 | Data center cooling system | UNITED SENDEES AUTOMOBILE ASSOCIATION (USAA) |
11202395 | Power module operable in a hazardous environment | ASTRODYNE TDI |
11202396 | Additive manufacturing of engine control component | ROLLS-ROYCE CORPORATION |
11202397 | Configurable door panels | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11202398 | Electromagnetic shielding material and method for producing the same | MURATA MANUFACTURING CO., LTD. |
11202399 | Mounter air controller | SINFONIA TECHNOLOGY CO., LTD. |
11202400 | Recognition device | FUJI CORPORATION |
11202401 | Component mounting device | YAMAHA HATSUDOKI KABUSHIKI KAISHA |
11202469 | Electronic cigarette and power supply apparatus | SHENZHEN SMOORE TECHNOLOGY LIMITED |
11202670 | Method of manufacturing a flexible circuit electrode for electrosurgical instrument | CILAG GMBH INTERNATIONAL |
11202914 | Passive propagation fractal antenna for intrabody transmissions | MEDTRONIC, INC. |
11202916 | Hermetic terminal for an AIMD having a pin joint in a feedthrough capacitor or circuit board | GREATBATCH LTD. |
11203107 | Hydraulic power tool | MILWAUKEE ELECTRIC TOOL CORPORATION |
11203175 | Bonding device and method of bonding display device using the same | SAMSUNG DISPLAY CO., LTD. |
11203245 | Drive circuit anomaly diagnosing device | KYB CORPORATION |
11203263 | System with a high-voltage battery and a coupling device, motor vehicle, and method for operating a coupling device | AUDI AG |
11203264 | Charging socket for an electrically driven vehicle | DR. ING. H.C. F. PORSCHE AKTIENGESELLSCHAFT |
11203280 | Magnetic tie-down systems for securing cargo within vehicle cargo spaces | FORD GLOBAL TECHNOLOGIES, LLC |
11203285 | Low profile rear lamp applique assembly | MAGNA EXTERIORS INC. |
11203310 | Electrical terminal stabilizer | APTIV TECHNOLOGIES LIMITED |
11203311 | Steering device for vessels and vessel | YAMAHA HATSUDOKI KABUSHIKI KAISHA |
11203312 | Solar powered vehicle topper unit | MANUFACTURING RESOURCES INTERNATIONAL, INC. |
11203372 | Steering wheel assembly | TESLA, INC. |
11203436 | Mobile platform thermal management systems and methods | THE BOEING COMPANY |
11203450 | Cover tape feeder for a tape-and-reel system | NEXPERIA B.V. |
11203501 | Systems and methods for transferring a flexible conductor onto a moving web | AVERY DENNISON RETAIL INFORMATION SERVICES LLC |
11203682 | Ethylene-methoxy polyethylene glycol methacrylate copolymers | DOW GLOBAL TECHNOLOGIES LLC |
11203688 | Composite containing organic/metallic hybrid polymer and ionic liquid, electrochromic device in which same is used, and method for manufacturing said composite and device | NATIONAL INSTITUTE FOR MATERIALS SCIENCE |
11203705 | Magnetic flooring system adhesive composition | !OBAC LTD |
11203806 | Copper alloy for electronic and electrical equipment, copper alloy plate strip for electronic and electrical equipment, component for electronic and electrical equipment, terminal, busbar, and movable piece for relay | MITSUBISHI MATERIALS CORPORATION |
11203809 | Partial spray refurbishment of sputtering targets | H.C. STARCK INC. |
11203810 | Method and system for fabricating an electrical conductor on a substrate | THE BOEING COMPANY |
11203817 | One-step in situ solution growth for lead halide perovskite | UCHICAGO ARGONNE, LLC |
11203862 | Member-to-member laminar fuse connection | SIMPSON STRONG-TIE COMPANY INC. |
11203887 | Simplified vehicle door switch device with a large actuating surface | ILLINOIS TOOL WORKS INC. |
11204012 | Cylinder head structure of internal combustion engine | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11204058 | Screw anti-loosening structure and an electronic device having the same | SAMSUNG ELECTRONICS CO., LTD. |
11204093 | Electronic module, actuator device, and method for producing an actuator device | ZF FRIEDRICHSHAFEN AG |
11204112 | Systems and methods for smart hoses and smart hose construction | CARLISLE FLUID TECHNOLOGIES, INC. |
11204127 | Vacuum insulated structure with end fitting and method of making same | CONCEPT GROUP, LLC |
11204186 | Terminal device for a tubular heating device with integrated fuse | BLECKMANN GMBH & CO. KG |
11204203 | Flexible thermal conductor and manufacturing method thereof | KOREA ADVANCED INSTITUTE OF SCIENCE AND TECHNOLOGY |
11204206 | Thermal switch | ENVERTIC THERMAL SYSTEMS, LLC |
11204274 | Ultraviolet sensing apparatus with mirror amplification | UNITED STATES OF AMERICA AS REPRESENTED BY THE ADMINISTRATOR OF NASA |
11204314 | Calibration apparatus and calibration curve creation method | SEIKO EPSON CORPORATION |
11204337 | Separation of ions according to ion mobility with enhanced resolving power for mass spectrometric analysis | -- |
11204342 | Reference sample for analysis | SHIMADZU CORPORATION |
11204373 | Electric power converting apparatus | MITSUBISHI ELECTRIC CORPORATION |
11204380 | Module tuning using virtual gain correction | ANDREW WIRELESS SYSTEMS GMBH |
11204395 | Module for detecting an electrical fault for an electrical protection assembly and electrical protection assembly comprising such a detection module | SCHNEIDER ELECTRIC INDUSTRIES SAS |
11204405 | Dynamic stabilization of magnetic fields | -- |
11204452 | Diffractive optical element and method of forming thereof | AGENCY FOR SCIENCE, TECHNOLOGY AND RESEARCH |
11204528 | Metamaterial structure unit, metamaterial and electronic device | BOE TECHNOLOGY GROUP CO., LTD. |
11204587 | Holographic display apparatus | SAMSUNG ELECTRONICS CO., LTD. |
11204603 | Terminal insertion quality monitoring system | TE CONNECTIVITY CORPORATION |
11204622 | Systems, monitor mounts, monitors, racks, modules, and cable holders | DRÄGERWERK AG & CO. KGAA |
11204624 | Foldable electronic device including magnets | SAMSUNG ELECTRONICS CO., LTD. |
11204651 | Keyboard device and key structure thereof | -- |
11204674 | Phase shift and phase shift assisted sensing | TACTUAL LABS CO. |
11205107 | RFID tag | MURATA MANUFACTURING CO., LTD. |
11205353 | Method for assembling or repairing a connectorized electrical equipment in an environment | ZIOTA TECHNOLOGY INC. |
11205448 | Disk device | KABUSHIKI KAISHA TOSHIBA |
11205525 | Insulated wire | HITACHI METALS, LTD. |
11205526 | Linear low-density polyethylene polymers suitable for use on cables | GENERAL CABLE TECHNOLOGIES CORPORATION |
11205527 | Wire harness and method for manufacturing thereof | AUTONETWORKS TECHNOLOGIES, LTD. |
11205528 | Grid tile for receiving at least one repositionable accessory | PANDUIT CORP. |
11205529 | Insulator for a cable rack | -- |
11205530 | Technique for constructing high gradient insulators | TRIAD NATIONAL SECURITY, LLC |
11205531 | Resistor element | -- |
11205532 | Permanent magnet and permanent magnet powder | TDK CORPORATION |
11205533 | Ferrite sintered magnet, motor and generator | TDK CORPORATION |
11205534 | Ultra low power transimpedance amplifier based on spintronics | KOREA UNIVERSITY RESEARCH AND BUSINESS FOUNDATION |
11205535 | Oxide interface displaying electronically controllable ferromagnetism | UNIVERSITY OF PITTSBURGH—OF THE COMMONWEALTH SYSTEM OF HIGHER EDUCATION |
11205536 | Reverse rupture disk assembly for electrical transformer rapid depressurization and explosion prevention system | SENTRY GLOBAL SOLUTIONS, INC. |
11205537 | Magnetic component, resonant electrical circuit, electrical converter and electrical system | VALEO SIEMENS EAUTOMOTIVE FRANCE SAS |
11205538 | Inductor and method of manufacturing the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11205539 | Coil component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11205540 | Shield sheet for wireless charging module and wireless charging module | SUNWAY COMMUNICATION (JIANGSU) CO., LTD. |
11205541 | Method for fabricating a magnetic material stack | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11205542 | Multilayer ceramic electronic component | MURATA MANUFACTURING CO., LTD. |
11205543 | Multilayer ceramic electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11205544 | Sensor | KABUSHIKI KAISHA TOSHIBA |
11205545 | Electromechanical switch with manual switching option | BERKER GMBH & CO. KG |
11205546 | Switch | MITSUBISHI ELECTRIC CORPORATION |
11205547 | Intelligent switch for automotive application | TE CONNECTIVITY GERMANY GMBH |
11205548 | Waterproof button assembly | APPLE INC. |
11205549 | Keycap structure | -- |
11205550 | Linkage for fuse switch | EATON INTELLIGENT POWER LIMITED |
11205551 | Cradle for a narrow relay, cradle assembly and relay | TYCO ELECTRONICS AUSTRIA GMBH |
11205552 | Hybrid arc/ground fault circuit interrupter and methods of operation thereof | YUEQING |
11205553 | Electrical switching device with separable contacts and circuit breaker comprising such a device | SCHNEIDER ELECTRIC INDUSTRIES SAS |
11205554 | Method for tuning work function using surface photovoltage and producing ultra-low-work-function surfaces, and devices operational therewith | THE BOARD OF TRUSTEES OF THE LELAND STANFORD JUNIOR UNIVERSITY |
11205555 | Electron emission element and method for manufacturing same | SHARP KABUSHIKI KAISHA |
11205556 | Small portable night vision system | ARIZONA BOARD OF REGENTS ON BEHALF OF THE UNIVERSITY OF ARIZONA |
11205557 | Multi charged particle beam writing apparatus | NUFLARE TECHNOLOGY, INC. |
11205558 | Sample exchange device and charged particle beam device | JEOL LTD. |
11205559 | System and method for alignment of cathodoluminescence optics | GATAN, INC. |
11205560 | Ion implanter and beam profiler | SUMITOMO HEAVY INDUSTRIES ION TECHNOLOGY CO., LTD. |
11205561 | Plasma processing apparatus | TOKYO ELECTRON LIMITED |
11205562 | Hybrid electron beam and RF plasma system for controlled content of radicals and ions | TOKYO ELECTRON LIMITED |
11205564 | Electrostatic grid device to reduce electron space charge | MODERN ELECTRON, INC. |
11205565 | Non-intrusive laser-based technique for monitor and control of protein denaturation on surfaces | UNIVERSITY OF WYOMING |
11205566 | Apparatus and method for contactless sampling of solutions and interface to mass spectrometry | WATERS TECHNOLOGIES CORPORATION |
11205567 | Multipole device and manufacturing method | SHIMADZU CORPORATION |
11205568 | Ion injection into multi-pass mass spectrometers | MICROMASS UK LIMITED |
11205569 | Glass-metal feedthrough | SCHOTT AG |
11205610 | Base body with soldered-on ground pin, method for its production and uses thereof | SCHOTT AG |
11205679 | Magnetic memory device including a free layer and a pinned layer | SAMSUNG ELECTRONICS CO., LTD. |
11205731 | Light source package structure | LITE-ON OPTO TECHNOLOGY (CHANGZHOU) CO., LTD. |
11205757 | Core-shell structured perovskite particle light-emitter, method of preparing the same and light emitting device using the same | SN DISPLAY CO., LTD. |
11205778 | Conductive polymer emulsion | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
11205798 | Capacitor-assisted solid-state battery | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
11205800 | Polymer and molten ion conductive salt and silicon interface for decreased interfacial resistance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11205814 | Power storage device packaging material and power storage device using the packaging material | TOPPAN PRINTING CO., LTD. |
11205825 | Non-contact type coaxial switch | -- |
11205826 | Circuits and methods for circulators including a plurality of cancellation paths | THE TRUSTEES OF COLUMBIA UNIVERSITY IN THE CITY OF NEW YORK |
11205827 | Rapid over-the-air production line test platform | -- |
11205828 | 2-bit phase quantization waveguide | WISCONSIN ALUMNI RESEARCH FOUNDATION |
11205829 | Plug connector for connecting a waveguide within a housing to at least one electrical conductor through an antenna in a signal converter | ROSENBERGER HOCHFREQUENZTECHNIK GMBH & CO. KG |
11205830 | Power divider | -- |
11205831 | Antenna element and manufacturing method for same | AAC TECHNOLOGIES PTE. LTD. |
11205832 | Electronic device with near-field antenna operating through display | APPLE INC. |
11205833 | Electronic device and antenna | LENOVO (BEIJING) CO., LTD. |
11205834 | Electronic device antennas having switchable feed terminals | APPLE INC. |
11205835 | Electronic device including antenna module | SAMSUNG ELECTRONICS CO., LTD. |
11205836 | Base station antenna and antenna array module thereof | TONGYU COMMUNICATION INC. |
11205837 | Tunable antenna system for smart watch | GOOGLE LLC |
11205838 | Antenna, communication assembly, and unmanned aerial vehicle | SZ DJI TECHNOLOGY CO., LTD. |
11205839 | Beamforming antenna assembly including patterned mirror and vehicle side mirror assembly including the same | SAMSUNG ELECTRONICS CO., LTD. |
11205840 | RF energy transmitting apparatus with positioning and polarization tracing function, RF energy harvesting apparatus and RF energy transmitting method | -- |
11205841 | Antenna assembly for communicating with unmanned aerial vehicle (UAV) and UAV system | SZ DJI TECHNOLOGY CO., LTD. |
11205842 | Pointing algorithm for endpoint nodes | STARRY, INC. |
11205843 | Phased-array radio frequency receiver and methods of operation | PHASE SENSITIVE INNOVATIONS, INC. |
11205844 | Beam skew mitigation using non-linear frequency modulation signals | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
11205845 | Training method and system for directional transmission in wireless communication | SONY GROUP CORPORATION |
11205846 | Beamforming integrated circuit having RF signal ports using a ground-signal transition for high isolation in a phased antenna array system and related methods | ANOKIWAVE, INC. |
11205847 | 5-6 GHz wideband dual-polarized massive MIMO antenna arrays | TAOGLAS GROUP HOLDINGS LIMITED |
11205848 | Method of providing a single structure multi mode antenna having a unitary body construction for wireless power transmission using magnetic field coupling | NUCURRENT, INC. |
11205849 | Multi-coil antenna structure with tunable inductance | NUCURRENT, INC. |
11205850 | Housing assembly, antenna assembly, and electronic device | SHENZHEN HEYTAP TECHNOLOGY CORP., LTD. |
11205851 | Radio frequency identification (RFID) moisture tag(s) and sensors with extended sensing via capillaries | RFMICRON, INC. |
11205852 | Multi-band base station antennas having integrated arrays | COMMSCOPE TECHNOLOGIES LLC |
11205853 | Apparatus and methods for launching guided waves via circuits | AT&T INTELLECTUAL PROPERTY I, L.P. |
11205855 | Lens-enhanced communication device | SILICON VALLEY BANK |
11205856 | Compact long slot antenna | RAYTHEON COMPANY |
11205857 | System and method for launching guided electromagnetic waves with channel feedback | AT&T INTELLECTUAL PROPERTY I, L.P. |
11205858 | Element-level self-calculation of phased array vectors using direct calculation | ANOKIWAVE, INC. |
11205859 | Dual-polarized radiating element and antenna | HUAWEI TECHNOLOGIES CO., LTD. |
11205860 | Electrical connection and method of establishing an electrical connection | AUTO-KABEL MANAGEMENT GMBH |
11205861 | Staking terminal for a coaxial cable | ILLINOIS TOOL WORKS INC. |
11205862 | Insulation displacement contact with expanded wire range capacity | TE CONNECTIVITY SERVICES GMBH |
11205863 | Electrical connection assembly employing a compression fitting deformed by a compression sleeve | RAYTHEON COMPANY |
11205864 | Grounding pipe clamp | PANDUIT CORP. |
11205865 | Multi-part wire terminal with sealing member | AUTONETWORKS TECHNOLOGIES, LTD. |
11205866 | Joint structure for high-voltage cable | JING-JIN ELECTRIC TECHNOLOGIES CO., LTD. |
11205867 | Grid array connector system | MOLEX, LLC |
11205868 | Connector with two directions of movement of the terminal position assurance device | APTIV TECHNOLOGIES LIMITED |
11205869 | Connector and manufacturing method thereof | HYUNDAI MOTOR COMPANY |
11205870 | Connector to be connected to a flexible conductor | JAPAN AVIATION ELECTRONICS INDUSTRY, LIMITED |
11205871 | Domestic appliance having at least one plug for an electrical connection | BSH HAUSGERATE GMBH |
11205872 | Connector assembly with an elastic piece | HYC CO., LTD |
11205873 | Connector cage and radiator | TYCO ELECTRONICS (SHANGHAI) CO. LTD. |
11205874 | Identical male and female connector | LEAR CORPORATION |
11205875 | Plug connector comprising polarisation element, and system and method for mounting, for plugging, and for separating said plug connector | HARTING ELECTRONICS GMBH |
11205876 | Lock structure of connector assembly | -- |
11205877 | Controlled-impedance compliant cable termination | ARDENT CONCEPTS, INC. |
11205878 | Device with connector | AUTONETWORKS TECHNOLOGIES, LTD. |
11205879 | Electrical socket connector and vehicle comprising this electrical socket connector | VOLVO TRUCK CORPORATION |
11205880 | Socket and door with same | SHANGHAI IMILAB TECHNOLOGY CO., LTD. |
11205881 | Connector-fitting structure of flexible printed circuit | YAZAKI CORPORATION |
11205882 | Crimping module | -- |
11205883 | Dispersion adjustment units and methods of use | TRUMPF LASER GMBH |
11205884 | Broadband light source device and method of creating broadband light pulses | MAX-PLANCK-GESELLSCHAFT ZUR FöRDERUNG DER WISSENSCHAFTEN E. V. |
11205885 | Laser bar and semiconductor laser and method of producing laser bars and semiconductor lasers | OSRAM OLED GMBH |
11205886 | Method of manufacturing optical member, optical member, and light emitting device | NICHIA CORPORATION |
11205887 | Quantum cascade laser and method for manufacturing same | KABUSHIKI KAISHA TOSHIBA |
11205888 | Electric pushing rod with wire protection | -- |
11205893 | Thermally protected metal-oxide varistor | XIAMEN SET ELECTRONICS CO., LTD |
11205898 | Methods and systems for a self-powered distributed network | INFRARED5, INC. |
11205953 | Heterogeneously integrated power converter assembly | RAYTHEON COMPANY |
11205985 | Motor control device | LUTRON TECHNOLOGY COMPANY LLC |
11205992 | Solar plank snow fence | -- |
11206006 | Trifilar transformer and notch filters | NOVELDA AS |
11206017 | Switch circuit and method of switching radio frequency signals | PSEMI CORPORATION |
11206060 | Apparatus for communicating across an isolation barrier | ENPHASE ENERGY, INC. |
11206087 | Optical module and optical transmitter | MITSUBISHI ELECTRIC CORPORATION |
11206323 | Method for determining relative positions of dual screens, display control method and terminal | ZTE CORPORATION |
11206472 | Multi-layer porous shielding | APPLE INC. |
11206473 | Magnet array for securing wireless listening devices | APPLE INC. |
11206552 | Method and apparatus for managing wireless communications based on communication paths and network device positions | AT&T INTELLECTUAL PROPERTY I, L.P. |
11206729 | Power circuit device | MITSUBISHI ELECTRIC CORPORATION |
11206730 | Flexible hybrid interconnect circuits | CELLINK CORPORATION |
11206732 | Reliable interconnect for camera image sensors | WAYMO LLC |
11206733 | Display device and bezel substrate thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11206736 | Connection substrate and interposer substrate including the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11206737 | Composite electronic component and board having the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11206739 | Potting method | GOODRICH ACTUATION SYSTEMS LIMITED |
11206740 | High voltage power module | CREE FAYETTEVILLE, INC. |
11206741 | Display | -- |
11206742 | Device casing | CLARION CO., LTD. |
11206743 | Electronics enclosure with heat-transfer element | EMERSON CLIMATE TECHNOLGIES, INC. |
11206744 | Heat dissipation structure, camera and mobile platform | SZ DJI OSMO TECHNOLOGY CO., LTD. |
11206745 | Highly integrated liquid cooling system design for data center IT room | BAIDU USA LLC |
11206746 | Fluid heat dissipation device | -- |
11206747 | Heat release device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11206748 | Flexible hinge to accommodate a flexible heat spreader | INTEL CORPORATION |
11206749 | Tubular heat spreaders for memory modules and memory modules incorporating the same | MICRON TECHNOLOGY, INC. |
11206750 | Display apparatus | SAMSUNG ELECTRONICS CO., LTD. |
11206751 | Magnetic field shielding structure | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11206919 | Electrical operating panel | LINAK A/S |
11206966 | Reduction of endoscope high frequency leakage current using a common-mode choke | INTUITIVE SURGICAL OPERATIONS, INC. |
11206993 | Systems for radio wave based health monitoring that generate alignment signals | MOVANO INC. |
11206994 | Wearable systems for radio wave based health monitoring that include attachment and/or alignment features | MOVANO INC. |
11207052 | Ultrasonic diagnostic apparatus and shield structure | HITACHI, LTD. |
11207219 | Clip on electronics module | BIOLINK SYSTEMS, LLC |
11207232 | Magnetic suspension system | TRUMPF MEDIZIN SYSTEME GMBH + CO. KG |
11207458 | Pressure-operated switch | KCI LICENSING, INC. |
11207540 | Electromagnetic coil assembly | BRAINSWAY LTD. |
11207607 | Sequentially operated modules | MAY PATENTS LTD. |
11207707 | Curved surface coating device for curved surface display panel and glue coating apparatus | HEFEI BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11207747 | Shaped welding head for electron or laser beam welding | AQUASIUM TECHNOLOGY LIMITED |
11207845 | Inductive thermo-crimping apparatus | SHANGHAI JOULEAD ELECTRIC CO., LTD |
11207866 | Method to embed an antenna within a composite panel | THE BOEING COMPANY |
11207994 | Mechano-electrical integrated power conversion device and drive device for electric automobile | KEIHIN CORPORATION |
11208009 | Electrical apparatus | HONDA MOTOR CO., LTD. |
11208211 | Replaceable connection modules for high use electronic device receptacles | BURRANA IP AND ASSETS, LLC |
11208311 | Device for influencing the volume flow of a filling product in a filling system | KRONES AG |
11208333 | Synthesis of vertically aligned metal oxide nanostructures | KING ABDULLAH UNIVERSITY OF SCIENCE AND TECHNOLOGY |
11208351 | Electromagnetically-induced cement concrete crack self-healing diisocyanate microcapsules and their preparation method | WUHAN UNIVERSITY OF TECHNOLOGY |
11208509 | Polymer compound for conductive polymer and method for producing same | SHIN-ETSU CHEMICAL CO., LTD. |
11208584 | Heat regenerating material, regenerator, refrigerator, superconducting magnet, nuclear magnetic resonance imaging apparatus, nuclear magnetic resonance apparatus, cryopump, and magnetic field application type single crystal pulling apparatus | KABUSHIKI KAISHA TOSHIBA |
11208741 | Method for producing carbon nanofiber composite and carbon nanofiber composite | DENKA COMPANY LIMITED |
11208878 | Modular switchgear system and power distribution for electric oilfield equipment | U.S. WELL SERVICES, LLC |
11208978 | Ignition interrupter and related methods | ZOMBIEBOX INTERNATIONAL, INC. |
11209098 | Device for detecting fluid flow | LUBE CORPORATION |
11209128 | Plug-in lamp holder and lamp | CE LIGHTING LTD. |
11209130 | Method for producing a tube lamp and corresponding tube lamp | LEDVANCE GMBH |
11209132 | Light source device | YLX INCORPORATED |
11209153 | Bi-directional gender changing rotary connection for luminaire | M3 INNOVATION, LLC |
11209214 | Heat dissipation device | -- |
11209257 | Voltage polarity immunity using reverse parallel laser diodes | NORTHROP GRUMMAN SYSTEMS CORPORATION |
11209290 | Resolver/LVDT odd harmonic distortion compensation | HAMILTON SUNDSTRAND CORPORATION |
11209315 | Integrated polarimeter in an optical line system | CIENA CORPORATION |
11209320 | Temperature sensor | MURATA MANUFACTURING CO., LTD. |
11209393 | Method and apparatus for ion mobility separations utilizing alternating current waveforms | BATTELLE MEMORIAL INSTITUTE |
11209411 | Methods for analyzing stability of an active pharmaceutical ingredient | PURDUE RESEARCH FOUNDATION |
11209422 | Methods and kits for detection of coenzyme Q10 | BERG LLC |
11209473 | Sensing radio frequency electromagnetic radiation | QUANTUM VALLEY IDEAS LABORATORIES |
11209499 | Distribution board | NITTO KOGYO CORPORATION |
11209503 | Magnetic sensor | TDK CORPORATION |
11209518 | Radar device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11209519 | Object detection sensor with radome cover configuration to reduce transmitter-receiver couplings | APTIV TECHNOLOGIES LIMITED |
11209526 | System and method for vehicle radar inspection | HYUNDAI MOTOR COMPANY |
11209543 | Sonar transducer having electromagnetic shielding | NAVICO HOLDING AS |
11209568 | Shield assembly for logging tool sensors | SCHLUMBERGER TECHNOLOGY CORPORATION |
11209570 | Multi-layered safety system | LASERMOTIVE, INC. |
11209592 | Integrated active devices with enhanced optical coupling to dielectric waveguides | NEXUS PHOTONICS LLC |
11209603 | Smart pole assembly connectivity | COMMSCOPE TECHNOLOGIES LLC |
11209724 | Projector including cooling target | SEIKO EPSON CORPORATION |
11209789 | Safety switching device and safety-related device | SIEMENS AKTIENGESELLSCHAFT |
11209844 | Cooling module for a vehicle control unit, vehicle control unit with a cooling module and method for water cooling a vehicle control unit | ZF FRIEDRICHSHAFEN AG |
11209845 | Modular wall-mounted electrical control device | -- |
11209869 | Attachable displays screen for electronic devices | STAND STEADY COMPANY, LLC |
11209871 | Explosion-proof tablet form closure housing | ECOM INSTRUMENTS GMBH |
11209933 | Pen detection unit and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11209944 | Electronic devices with glass layer coatings | APPLE INC. |
11210065 | Analysis condition data conversion device, data processing system and analysis system | SHIMADZU CORPORATION |
11210427 | Management of securable computing resources | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11210437 | Systems and methods for tower antenna mount analysis and design | TOWER ENGINEERING SOLUTIONS, LLC |
11210480 | Dynamic compensation of a phased array RFID reader | ZEBRA TECHNOLOGIES CORPORATION |
11210764 | Apparatus for performing work on substrate | FUJI CORPORATION |
11210933 | Irrigation sprinkler body cover with an integrated battery-powered decoder | -- |
11211041 | Mesh assemblies, computing systems, and methods for manufacturing a mesh assembly | RAZER (ASIA-PACIFIC) PTE. LTD. |
11211150 | Mass spectrum processing apparatus and model generation method | JEOL LTD. |
11211181 | Dielectric ceramic composition and multilayer ceramic capacitor comprising the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11211182 | Cable conductor | YAZAKI CORPORATION |
11211183 | Insulated electric wire and wire harness | AUTONETWORKS TECHNOLOGIES, LTD. |
11211184 | System of harness and engine case for aircraft engine | PRATT & WHITNEY CANADA CORP. |
11211185 | Electrified-cable system for transit and method of making same | AIRBORNWAY CORPORATION |
11211186 | Power diffusing assembly for a fluid and method for manufacturing the power diffusing assembly | TRANSPORTATION IP HOLDINGS, LLC |
11211187 | Magnetic materials with ultrahigh resistivity intergrain nanoparticles | NORTHEASTERN UNIVERSITY |
11211188 | Multilayer coil component | TDK CORPORATION |
11211189 | Coil device | IHI CORPORATION |
11211190 | Cover for a distribution transformer filled with a dielectric liquid | HITACHI ENERGY SWITZERLAND AG |
11211191 | Transformer radiator | -- |
11211192 | Laminated coil component | TDK CORPORATION |
11211193 | Electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11211194 | Coil electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11211195 | Noise current absorber | KITAGAWA INDUSTRIES CO., LTD. |
11211196 | Tunable transformer | INTEL CORPORATION |
11211197 | Inductive current transformer for transmitting information using current modulation | PHOENIX CONTACT GMBH & CO. KG |
11211198 | Method for manufacturing powder magnetic core, and method for manufacturing electromagnetic component | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11211199 | Self-propelled self-referencing vehicle magnet winding method and system | GENERAL ATOMICS |
11211200 | Inductive communication coil design | BIOTRONIK SE & CO. KG |
11211201 | Multilayer ceramic capacitor having ultra-broadband performance | AVX CORPORATION |
11211202 | Multilayer ceramic electronic component and method of manufacturing the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11211203 | Manufacturing method for capacitor unit by cutting | -- |
11211204 | Solid electrolytic capacitor and method for manufacturing same | JAPAN CAPACITOR INDUSTRIAL CO., LTD. |
11211205 | Method of negative electrode pre-lithiation for lithium-ion capacitors | THE FLORIDA STATE UNIVERSITY RESEARCH FOUNDATION, INC. |
11211206 | Charging mechanism for charging a stored-energy spring of a stored-energy spring mechanism | SIEMENS ENERGY GLOBAL GMBH & CO. KG |
11211207 | Architecture for an electrical switching device | SCHNEIDER ELECTRIC INDUSTRIES SAS |
11211208 | Smart wall switch controller | GOOGLE LLC |
11211209 | Methods and systems for DC current interrupter based on thermionic arc extinction via anode ion depletion | STACOM ENGINEERING COMPANY |
11211210 | Illumination system and method for maintaining a common illumination value on a release command sent from a keypad | LUTRON TECHNOLOGY COMPANY LLC |
11211211 | Control device for an electronic unit, methods for adjustment of the control device and motor vehicle rear view mirror with an integral device of that kind | C&K COMPONENTS S.A.S. |
11211212 | Keyboard and electronic device | HUAWEI TECHNOLOGIES CO., LTD. |
11211213 | Safety switch with detection of the end stroke of the unlocking mechanism | PIZZATO ELETTRICA S.R.L. |
11211215 | Switch, and control method thereof | GYRK INTERNATIONAL TECHNOLOGY CO., LTD. |
11211216 | Accelerated motion relay | ZONIT STRUCTURED SOLUTIONS, LLC |
11211217 | Relay and power battery circuit using the same | WM SMART MOBILITY (SHANGHAI) CO., LTD. |
11211218 | Method for detecting insufficient contact pressure in a switching unit, device for implementing such a method, and switching unit including such a device | SCHNEIDER ELECTRIC INDUSTRIES SAS |
11211219 | Multi-level feedback actuator assembly for a solid state circuit breaker | EATON INTELLIGENT POWER LIMITED |
11211220 | Fuse array and junction box with fuse array | SUMITOMO WIRING SYSTEMS, LTD. |
11211221 | Chip-type fuse | MURATA MANUFACTURING CO., LTD. |
11211222 | Method and system for automatic zone axis alignment | FEI COMPANY |
11211223 | System and method for simultaneous phase contrast imaging and electron energy-loss spectroscopy | FEI COMPANY |
11211224 | Charged particle beam apparatus | HITACHI HIGH-TECH CORPORATION |
11211225 | Charged particle beam control device | HITACHI HIGH-TECH CORPORATION |
11211226 | Pattern cross-sectional shape estimation system and program | HITACHI HIGH-TECH CORPORATION |
11211227 | Multi charged particle beam evaluation method and multi charged particle beam writing device | NUFLARE TECHNOLOGY, INC. |
11211228 | Neutral radical etching of dielectric sacrificial material from reentrant multi-layer metal structures | MICROFABRICA INC. |
11211229 | Processing method and plasma processing apparatus | TOKYO ELECTRON LIMITED |
11211230 | Gas flow system | APPLIED MATERIALS, INC. |
11211231 | Plasma generation apparatus | KOREA RESEARCH INSTITUTE OF STANDARDS AND SCIENCE |
11211232 | Methods for cleaning semiconductor device manufacturing apparatus | -- |
11211233 | Film formation apparatus | SHIBAURA MECHATRONICS CORPORATION |
11211234 | Arc suppression and pulsing in high power impulse magnetron sputtering (HIPIMS) | EVATEC AG |
11211235 | Imaging mass spectrometry data processing device | SHIMADZU CORPORATION |
11211236 | Operating a mass spectrometer utilizing a promotion list | THERMO FINNIGAN LLC |
11211237 | Mass spectrometric method for determining the presence or absence of a chemical element in an analyte | -- |
11211238 | Multi-pass mass spectrometer | MICROMASS UK LIMITED |
11211239 | Extreme ultraviolet light generation apparatus and extreme ultraviolet light generation apparatus controlling method | GIGAPHOTON INC. |
11211240 | Short-arc discharge lamp | USHIO DENKI KABUSHIKI KAISHA |
11211272 | Contaminant detection tools and related methods | MICRON TECHNOLOGY, INC. |
11211280 | Substrate support and substrate processing apparatus | KOKUSAI ELECTRIC CORPORATION |
11211282 | Apparatus to reduce contamination in a plasma etching chamber | APPLIED MATERIALS, INC. |
11211304 | Assembly and method for mounting an electronic component to a substrate | INFINEON TECHNOLOGIES AUSTRIA AG |
11211310 | Package structures | -- |
11211343 | Fan-out antenna packaging structure and packaging method | SJ SEMICONDUCTOR (JIANGYIN) CORPORATION |
11211356 | Power semiconductor package and method for fabricating a power semiconductor package | INFINEON TECHNOLOGIES AG |
11211504 | Solar cell | LG ELECTRONICS INC. |
11211547 | Spin-orbit-torque type magnetization rotating element, spin-orbit-torque type magnetoresistance effect element, and magnetic memory | TDK CORPORATION |
11211552 | Spin-orbit torque magnetoresistance effect element and magnetic memory | TDK CORPORATION |
11211577 | Organic light emitting diode device, manufacturing method thereof, display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11211598 | Metal oxide nanofiber electrode and method | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
11211602 | Electrochemical device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11211609 | Electrode having an interphase structure | ENPOWER, INC. |
11211610 | Positive electrode plate and electrochemical device containing the same | CONTEMPORARY AMPEREX TECHNOLOGY CO., LIMITED |
11211659 | Battery module comprising housing with connector | LG CHEM, LTD. |
11211668 | Power storage apparatus | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11211673 | Wiring module | AUTONETWORKS TECHNOLOGIES, LTD. |
11211675 | Linear-to-circular polarizer antenna | -- |
11211676 | Multi-resonator filters | COM DEV LTD. |
11211677 | Filtering apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
11211678 | Dual-band resonator and dual-band bandpass filter using same | TOKYO KEIKI INC. |
11211679 | Common-mode in-line radio frequency filter isolator | PDS ELECTRONICS, INC. |
11211680 | Hollow metal waveguides having irregular hexagonal cross-sections formed by additive manufacturing | OPTISYS, LLC |
11211681 | Radio frequency power sensor having a non-directional coupler | BIRD TECHNOLOGIES GROUP INC. |
11211682 | Communication apparatus and method for adaptive cooling of antenna elements | PELTBEAM INC. |
11211683 | Antenna mounting bracket assembly | -- |
11211684 | Small cell antenna and cable mounting guides for same | COMMSCOPE TECHNOLOGIES LLC |
11211685 | Electrically neutral body contouring antenna system | HARRIS GLOBAL COMMUNICATIONS, INC. |
11211686 | System and method for operation of a hinge cavity antenna | DELL PRODUCTS L.P. |
11211687 | Method of fabricating a semiconductor structure with an antenna module | SJ SEMICONDUCTOR (JIANGYIN) CORPORATION |
11211688 | Hybrid and thinned millimeter-wave antenna solutions | INTEL CORPORATION |
11211689 | Chip antenna | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11211690 | Middle frame assembly and electronic device | SHENZHEN HEYTAP TECHNOLOGY CORP., LTD. |
11211691 | Antenna structure and wireless communication device with same | -- |
11211692 | Antenna structure and electronic device | -- |
11211693 | Antenna designs for wearable heads-up displays | -- |
11211694 | Near-field wireless device | NXP B.V. |
11211695 | Dual beam transmit system for analog beamforming airborne satellite communications | ROCKWELL COLLINS, INC. |
11211696 | Wireless communication module | FUJIKURA LTD. |
11211697 | Antenna apparatus | TE CONNECTIVITY SERVICES GMBH |
11211698 | Closed loop aperture tunable antenna | FUTUREWEI TECHNOLOGIES, INC. |
11211699 | Antenna system and terminal | ZTE CORPORATION |
11211700 | Dynamic noise cancellation using noise patterns | AMAZON TECHNOLOGIES, INC. |
11211701 | Electronically scanned array antenna device for use in millimeter wave imaging system | CHINA COMMUNICATION TECHNOLOGY CO., LTD. |
11211702 | Overlapping multiband phased array antennas | AMAZON TECHNOLOGIES, INC. |
11211703 | Systems and methods for dynamic biasing of microwave amplifier | EPIRUS, INC. |
11211704 | Switched coupled inductance phase shift mechanism | METAWAVE CORPORATION |
11211705 | Beamforming antenna module comprising lens | SAMSUNG ELECTRONICS CO., LTD. |
11211706 | Wireless range extender | QUALCOMM INCORPORATED |
11211707 | Apparatus for broadband wavelength conversion of dual-polarization phase-encoded signal | LYTELOOP TECHNOLOGIES, LLC |
11211708 | Antenna structure | -- |
11211709 | Antenna apparatus and antenna module | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11211710 | Array antenna apparatus and method for fabricating same | MITSUBISHI ELECTRIC CORPORATION |
11211711 | Antenna dynamically matched with electromechanical resonators | HRL LABORATORIES, LLC |
11211712 | Compact integrated GNSS-UHF antenna system | TOPCON POSITIONING SYSTEMS, INC. |
11211713 | Antenna suitable to be integrated in a printed circuit board, printed circuit board provided with such an antenna | THE ANTENNA COMPANY INTERNATIONAL N.V. |
11211714 | Slot antenna on a printed circuit board (PCB) | INTEL CORPORATION |
11211715 | Antenna apparatus and mobile terminal | KANAGAWA |
11211716 | Antenna having increased side-lobe suppression and improved side-lobe level | ECHODYNE CORP. |
11211717 | PCB antenna | AAC TECHNOLOGIES PTE. LTD. |
11211718 | Radio frequency module and communication device | MURATA MANUFACTURING CO., LTD. |
11211719 | Antenna arrangement and method for beamforming | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11211720 | High-frequency module and communication device | MURATA MANUFACTURING CO., LTD. |
11211721 | Threaded stud within a conductive bushing connecting a printed circuit board | LEAR CORPORATION |
11211722 | Superconductor interconnect system | MICROSOFT TECHNOLOGY LICENSING, LLC |
11211723 | Structure for connecting flexible flat cables | AUTONETWORKS TECHNOLOGIES, LTD. |
11211724 | Small form factor power conversion system | CORE TECHNOLOGIES LLC |
11211725 | Multipolar connector | AAC TECHNOLOGIES PTE. LTD. |
11211726 | Connector and connecting method | JAPAN AVIATION ELECTRONICS INDUSTRY, LIMITED |
11211727 | Connector-attached circuit body and bus bar module | YAZAKI CORPORATION |
11211728 | Midboard cable terminology assembly | AMPHENOL CORPORATION |
11211729 | Terminal material for connectors, terminal, and electric wire termination structure | MITSUBISHI MATERIALS CORPORATION |
11211730 | Connector terminal, electrical wire with terminal, and terminal pair | AUTONETWORKS TECHNOLOGIES, LTD. |
11211731 | Electrical connector preventing abrasion of a locking protrusion | JAPAN AVIATION ELECTRONICS INDUSTRY, LIMITED |
11211732 | Plug-in connector part with caulked contact elements and method for producing said plug-in connector part | PHOENIX CONTACT GMBH & CO. KG |
11211733 | Reinforced electrical terminal | TE CONNECTIVITY SERVICES GMBH |
11211734 | Electrical connector | DONGGUAN LUXSHARE TECHNOLOGIES CO., LTD |
11211735 | Connector | AUTONETWORKS TECHNOLOGIES, LTD. |
11211736 | Connector | SUMITOMO WIRING SYSTEMS, LTD. |
11211737 | Network connector module for a network connector | APTIV TECHNOLOGIES LIMITED |
11211738 | Composite-cable relay device | FANUC CORPORATION |
11211739 | Waterproof reversible USB receptacle and method for manufacturing the same | SHENZHEN EVERWIN PRECISION TECHNOLOGY CO., LTD. |
11211740 | Electric unit for a motor vehicle with a plug-in connection, plug for a plug-in connection on an electric unit, and method for producing a plug for a plug-in connection on an electric unit | HANON SYSTEMS BAD HOMBURG GMBH |
11211741 | Removable terminal pin connector for an active electronics circuit board for use in an implantable medical device | GREATBATCH LTD. |
11211742 | Cable connector | MOLEX, LLC |
11211743 | Receptacle module and receptacle cage for a communication system | TE CONNECTIVITY SERVICES GMBH |
11211744 | Electrical connector assembly | FOXCONN (KUNSHAN) COMPUTER CONNECTOR CO., LTD. |
11211745 | Electrical connector header with stabilizing features | TE CONNECTIVITY SERVICES GMBH |
11211746 | Electrical connector with protruding portions on a central tongue plate | SAMSUNG ELECTRONICS CO., LTD. |
11211747 | Connector having a pair of springs at two opposite sides of a convex slide groove for urging an unlocking slider | FOXCONN (KUNSHAN) COMPUTER CONNECTOR CO., LTD. |
11211748 | Network port connector ejection system | DELL PRODUCTS L.P. |
11211749 | Plug retainer apparatus and related methods | THE NIELSEN COMPANY (US), LLC |
11211750 | Electrical connector assembly | FOXCONN (KUNSHAN) COMPUTER CONNECTOR CO., LTD. |
11211751 | Conductive contact having a peripheral seal | TE CONNECTIVITY SERVICES GMBH |
11211752 | Connector with grommet | YAZAKI CORPORATION |
11211753 | Point of sale cables | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11211754 | Switch device | -- |
11211755 | Power rail system | POPPIN INC. |
11211756 | Electrical connectors | ENERMORE TECHNOLOGIES LLP |
11211757 | System and method for monitoring the status of one or more components of an electrical machine | CUTSFORTH, INC. |
11211758 | Hand tools | MILWAUKEE ELECTRIC TOOL CORPORATION |
11211759 | Tool for connecting busbars driven by a pneumatic cylinder driving unit | -- |
11211760 | Stutter step press-fit connector insertion process | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11211761 | Laser beam steering device and system including the same | SAMSUNG ELECTRONICS CO., LTD. |
11211762 | Generating synchronized laser pulses at variable wavelengths | FRAUNHOFER-GESELLSCHAFT ZUR FöRDERUNG DER ANGEWANDTEN FORSCHUNG E.V. |
11211763 | High-gain single planar waveguide (PWG) amplifier laser system | RAYTHEON COMPANY |
11211764 | Raman optical amplifier and raman optical amplification method | FUJITSU LIMITED |
11211765 | Tandem pumped fiber amplifier | NLIGHT, INC. |
11211766 | Systems for optical power control for laser safety of a time-of-flight illumination system | ZEBRA TECHNOLOGIES CORPORATION |
11211767 | Reflector structure for tunable laser and tunable laser | HUAWEI TECHNOLOGIES CO., LTD. |
11211768 | Semiconductor optical integrated device | MITSUBISHI ELECTRIC CORPORATION |
11211769 | Semiconductor laser device | MITSUBISHI ELECTRIC CORPORATION |
11211770 | Control circuit for a laser diode | STMICROELECTRONICS (GRENOBLE 2) SAS |
11211771 | Apparatus and method of high power nanosecond mode-locked solid state laser | -- |
11211772 | Protection mechanism for light source | STMICROELECTRONICS (GRENOBLE 2) SAS |
11211773 | Quantum cascade laser with monolithically integrated passive waveguide | TRANSWAVE PHOTONICS, LLC. |
11211774 | Light emitting device, optical device, and information processing apparatus | FUJIFILM BUSINESS INNOVATION CORP. |
11211775 | Redundancy improvement in semiconductor-based optical communication systems | SUBCOM, LLC |
11211776 | Risk reduction of electrical hazards | B.S.A.F.E. MANUFACTURING INCORPORATED |
11211780 | Cable pass-through device | -- |
11211786 | Smart module for a circuit breaker | ABB SCHWEIZ AG |
11211789 | Capacitive power supply circuit | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11211794 | Smart outlet | SOLAREDGE TECHNOLOGIES LTD. |
11211804 | Electrical device | MAKITA CORPORATION |
11211809 | Methods for heating and charging energy storage devices at very low temperatures | OMNITEK PARTNERS LLC |
11211810 | Devices for heating and charging energy storage devices at very low temperatures | OMNITEK PARTNERS LLC |
11211815 | Apparatus and system for balancing energy in battery pack | HUAWEI TECHNOLOGIES CO., LTD. |
11211819 | Charging device that focuses flux by flowing current through spirals in opposing radial directions | MICROSOFT TECHNOLOGY LICENSING, LLC |
11211829 | Systems and methods for operation efficiency in wireless power transfer | INTEGRATED DEVICE TECHNOLOGY, INC. |
11211833 | Wireless power transfer apparatus | LG ELECTRONICS INC. |
11211866 | Reconfigurable inductor | INTEL CORPORATION |
11211881 | Power conversion device and electric railroad vehicle equipped with power conversion device | HITACHI, LTD. |
11211930 | Drive circuit and impedance matching device | DAIHEN CORPORATION |
11211931 | Sensor mat providing shielding and heating | JOYSON SAFETY SYSTEMS ACQUISITION LLC |
11211961 | Foldable electronic device including antenna | SAMSUNG ELECTRONICS CO., LTD. |
11211975 | Contextually aware charging of mobile devices | MOJO MOBILITY, INC. |
11211982 | Communication via a butler matrix and a lens | QUALCOMM INCORPORATED |
11211983 | Resource allocation for beam sweep | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11211991 | Optical and radio frequency sensor | RAYTHEON COMPANY |
11212429 | Camera module for vehicular vision system | MAGNA ELECTRONICS INC. |
11212719 | Architecture for integration of multiple networks in an air-to-ground context | SMARTSKY NETWORKS, LLC |
11212902 | Multiplexed drive systems and methods for a multi-emitter X-ray source | RAPISCAN SYSTEMS, INC. |
11212905 | Field device capable of operating in extremely low-temperature environment | YOKOGAWA ELECTRIC CORPORATION |
11212909 | Insertable wireless communication device for a power tool | MILWAUKEE ELECTRIC TOOL CORPORATION |
11212917 | Receptacle for connecting to flexible circuit board | APPLE INC. |
11212919 | Voltage regulator module | -- |
11212924 | Dustproof device, display apparatus and dustproof method | BOE TECHNOLOGY GROUP CO., LTD. |
11212925 | LED panel for a modular display screen | DIGILED (UK) LIMITED |
11212926 | Display apparatus including frame for supporting printed circuit board | SAMSUNG ELECTRONICS CO., LTD. |
11212927 | Extendable display screen structure | JIANGSU KANGRUI NEW MATERIAL TECHNOLOGY CO., LTD. |
11212928 | Vertical exhaust duct for electronic equipment enclosure | CHATSWORTH PRODUCTS, INC. |
11212929 | Devices and accessories employing a living hinge | GUI GLOBAL PRODUCTS, LTD. |
11212930 | Media device including display and power-delivery mechanism with integrated stand | FACEBOOK TECHNOLOGIES, LLC |
11212931 | Subsea installation | ABB SCHWEIZ AG |
11212932 | Pin count socket having reduced pin count and pattern transformation | INTEL CORPORATION |
11212933 | Universal carrier for OCP modules | -- |
11212934 | Server chassis | -- |
11212935 | Cabling a set of enclosures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11212936 | Power connector for electronic equipment supported by a rack assembly | ABB POWER ELECTRONICS INC. |
11212937 | Method and system for preventing or correcting fan reverse rotation during online installation and removal | CISCO TECHNOLOGY, INC. |
11212938 | Serial transmission fan control device, serial fan control system and method thereof | -- |
11212939 | Board level shield for electrical assembly | TE CONNECTIVITY SERVICES GMBH |
11212940 | Passive thermal-control structure for speakers and associated apparatuses and methods | GOOGLE LLC |
11212941 | Equipment shelf | ASTEC INTERNATIONAL LIMITED |
11212942 | Cooling arrangement for autonomous cooling of a rack | OVH |
11212943 | Universal pluggable datacenter cooling system | NVIDIA CORPORATION |
11212944 | Cold row encapsulation for server farm cooling system | R2 SOLUTIONS, LLC |
11212945 | System airflow variable configuration | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11212946 | Performance-per-watt optimal control logic in liquid cooling solution for high performance machine-learning computing | BAIDU USA LLC |
11212947 | Power module with capacitor configured for improved thermal management | EPCOS AG |
11212948 | Thermal management system for tightly controlling temperature of a thermal load | ROLLS-ROYCE NORTH AMERICAN TECHNOLOGIES INC. |
11212949 | Solid state drive device including a gasket | SAMSUNG ELECTRONICS CO., LTD. |
11212950 | Support pin arrangement determination assisting apparatus | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11212951 | Component mounting system | FUJI CORPORATION |
Archiver|手机版|科学网 ( 京ICP备07017567号-12 )
GMT+8, 2024-9-27 10:07
Powered by ScienceNet.cn
Copyright © 2007- 中国科学报社