|
█武汉大学科教管理与评价研究中心 陈立新 张琳 黄颖
第27个技术领域是计算机接口,即输入和输出装置。2021年,美国专利商标局在该领域共授权专利19405项(增长率为-11%),占总授权量的5.9%,是专利数量第5多的领域。
2021年,美国在该领域获得专利权10432项,占该领域专利授权总量的47%。中国在该领域做出专利发明1642项,获得专利权1381项,流失专利发明261项。日本和韩国获得的专利权数量分别为2864和2185项。
表17.27-1 2021年各国计算机接口领域的在美专利发明和专利权数量
国家 和地区 | 发明 数量 | 专利权 数量 | 净流失 数量 | 专利 流失率 | 发明 份额 | 专利权 份额 | 份额 流失量 | |
1 | 美国 | 9085 | 10432 | -1347 | -14.8% | 46.8% | 53.8% | -6.9% |
2 | 日本 | 2750 | 2864 | -114 | -4.1% | 14.2% | 14.8% | -0.6% |
3 | 韩国 | 2030 | 2185 | -155 | -7.6% | 10.5% | 11.3% | -0.8% |
4 | 中国 | 1642 | 1381 | 261 | 15.9% | 8.5% | 7.1% | 1.3% |
5 | 德国 | 327 | 287 | 40 | 12.2% | 1.7% | 1.5% | 0.2% |
6 | 法国 | 146 | 118 | 28 | 19.2% | 0.8% | 0.6% | 0.1% |
7 | 加拿大 | 348 | 199 | 149 | 42.8% | 1.8% | 1.0% | 0.8% |
8 | 英国 | 326 | 153 | 173 | 53.1% | 1.7% | 0.8% | 0.9% |
9 | 瑞士 | 123 | 119 | 4 | 3.3% | 0.6% | 0.6% | 0.0% |
10 | 荷兰 | 43 | 54 | -11 | -25.6% | 0.2% | 0.3% | -0.1% |
11 | 瑞典 | 105 | 111 | -6 | -5.7% | 0.5% | 0.6% | 0.0% |
12 | 以色列 | 382 | 135 | 247 | 64.7% | 2.0% | 0.7% | 1.3% |
13 | 意大利 | 66 | 19 | 47 | 71.2% | 0.3% | 0.1% | 0.2% |
14 | 印度 | 641 | 61 | 580 | 90.5% | 3.3% | 0.3% | 3.0% |
15 | 其他 | 1391 | 1287 | 104 | 7.5% | 7.2% | 6.6% | 0.5% |
小计 | 19405 | 19405 | 0 | 0% | 100% | 100% | 0% |
注:本表分别按照专利第一发明人和第一权利人进行统计(中国的数据暂未包含香港、澳门、台湾地区的专利)。
图17.27-1 2021年各国计算机接口领域的在美专利发明和专利权数量对比
2021年,在计算机接口领域上获得美国专利授权最多的机构是国际商业机器公司、三星电子公司、苹果公司。中国专利最多的机构是京东方科技集团公司,获得179项专利。
表17.27-2 2021年计算机接口领域在美专利授权前10机构
机构名称 | 国家 | 机构英文名称 | 2021 | 2020 | |
1 | 国际商业机器公司 | 美国 | INTERNATIONAL BUSINESS MACHINES CORPORATION | 967 | 1090 |
2 | 三星电子公司 | 韩国 | SAMSUNG ELECTRONICS CO., LTD. | 955 | 1012 |
3 | 苹果公司 | 美国 | APPLE INC. | 601 | 718 |
4 | 微软技术许可公司 | 美国 | MICROSOFT TECHNOLOGY LICENSING, LLC | 533 | 734 |
5 | 易安信知识产权公司 | 美国 | EMC IP HOLDING COMPANY LLC | 480 | 425 |
6 | 佳能株式会社 | 日本 | CANON KABUSHIKI KAISHA | 403 | 402 |
7 | 三星显示公司 | 韩国 | SAMSUNG DISPLAY CO., LTD. | 377 | 323 |
8 | 美光科技公司 | 美国 | MICRON TECHNOLOGY, INC. | 347 | 218 |
9 | 谷歌公司 | 美国 | GOOGLE LLC | 307 | 419 |
10 | 英特尔公司 | 美国 | INTEL CORPORATION | 246 | 301 |
注:本表数据按照第一权利人进行统计。
图17.27-2 2021年计算机接口领域在美专利授权前10机构
感谢大连理工大学刘则渊教授、河南师范大学梁立明教授、科技部中国科学技术发展战略研究院武夷山研究员、大连理工大学丁堃教授、大连理工大学杨中楷教授对本报告的大力支持与帮助。同时,向以不同形式对本报告提出意见和建议的专家学者们表示诚挚的感谢。
如需要中美欧日韩五局及PCT专利数据、专利报告,以及咨询相关专利问题请添加微信号。
附表 2021年该领域美国授权专利
PATENT NO. | TITLE | ASSIGNEE |
10881182 | Makeup compact for utilizing client device to guide makeup application | L'OREAL |
10881249 | Foodware system having visual-stimulating, sensing, heating, and wireless-communication components | -- |
10881346 | Sleep aiding device and method thereof, server and system | BOE TECHNOLOGY GROUP CO., LTD. |
10881472 | Correcting a robotic surgery user interface device tracking input | VERB SURGICAL INC. |
10881713 | Method and apparatus for interface control with prompt and feedback | ATHEER, INC. |
10881784 | Medication safety devices and methods | SMITHS MEDICAL ASD, INC. |
10881910 | Interactive athletic equipment system | NIKE, INC. |
10881953 | Operating device and control system | SONY INTERACTIVE ENTERTAINMENT INC. |
10881969 | System providing a shared environment | COMPANION LIMITED |
10882016 | Nitrous oxide gas mixer for generating a nitrous oxide gas mix | BALDUS MEDIZINTECHNIK GMBH |
10882347 | Security marks based on print job image | XEROX CORPORATION |
10882401 | Operator control apparatus for outputting haptic feedback, motor vehicle and method | VOLKSWAGEN AKTIENGESELLSCHAFT |
10882446 | Graded early warning system for rollover of heavy-duty truck based on time-varying interactive kalman filtering and early warning method thereof | HENAN POLYTECHNIC UNIVERSITY |
10882488 | Hardware and software mechanisms on autonomous vehicle for pedestrian safety | NURO, INC. |
10883203 | Fabric control device | APPLE INC. |
10883254 | Operating device for a working machine | LIEBHERR-HYDRAULIKBAGGER GMBH |
10883730 | Smart water supplying method and smart water supply | -- |
10883811 | Mobile device and method for sensor data based antenna selection | FUTUREWEI TECHNOLOGIES, INC. |
10883812 | Calibrating a magnetic transmitter | ASCENSION TECHNOLOGY CORPORATION |
10883862 | Measurement-data collecting apparatus and computer program | MITUTOYO CORPORATION |
10883889 | Display device including a pressure sensor with an opening in the electrode | SAMSUNG DISPLAY CO., LTD. |
10883912 | Biexponential transformation for graphics display | BECTON, DICKINSON AND COMPANY |
10883913 | Index sorting systems and methods for analysis of quantitative biological event data | BECTON, DICKINSON AND COMPANY |
10884074 | Power interrupt system for a connector of a power supply device | GOAL ZERO LLC |
10884104 | Monitoring device having 360 degree sensing capabilities | ECOLINK INTELLIGENT TECHNOLOGY, INC. |
10884124 | Method and ultrasound imaging system for adjusting a value of an ultrasound parameter | GENERAL ELECTRIC COMPANY |
10884152 | Grip sensing method and apparatus | ABOV SEMICONDUCTOR CO., LTD. |
10884195 | Techniques to support multiple interconnect protocols for a common set of interconnect connectors | INTEL CORPORATION |
10884261 | Glasses-free three dimensional display panel, manufacturing method thereof, and glasses-free three dimensional display device | BOE TECHNOLOGY GROUP CO., LTD. |
10884272 | Energy-collecting touchscreen unit | GARMIN SWITZERLAND GMBH |
10884275 | Fixing mechanism and display apparatus thereof | -- |
10884302 | Display device | JAPAN DISPLAY INC. |
10884305 | Liquid crystal display panel | SHARP KABUSHIKI KAISHA |
10884389 | Server and system connected to a machine to perform cutting machining via a network | FANUC CORPORATION |
10884391 | Positional information display system | FANUC CORPORATION |
10884443 | Voltage control circuit and method, panel and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
10884447 | Height extension of analog stick | RAZER (ASIA-PACIFIC) PTE. LTD. |
10884454 | Line-of-sight device housing a first device and configured for display of content from a second device | BLUE STORM MEDIA INC |
10884455 | Wearable device and data transmission method | PROXY, INC. |
10884473 | Methods, electronic devices, and storage mediums for waking up an icon | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
10884487 | Position based energy minimizing function | MICROSOFT TECHNOLOGY LICENSING, LLC |
10884488 | Electronic device and method for controlling display | SAMSUNG ELECTRONICS CO., LTD. |
10884489 | Processing circuit of display panel, display method, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10884490 | Transfer function adaptation in virtual reality environments | SIEMENS HEALTHCARE GMBH |
10884491 | Gaze driven interaction for a vehicle | TOBII AB |
10884492 | Relative position based eye-tracking system | AVEGANT CORP. |
10884493 | Gesture based user interfaces, apparatuses and systems using eye tracking, head tracking, hand tracking, facial expressions and other user actions | MASON |
10884494 | Eye tracking device calibration | -- |
10884495 | Light field display, adjusted pixel rendering method therefor, and vision correction system and method using same | EVOLUTION OPTIKS LIMITED |
10884496 | One-size-fits-all data glove | BEBOP SENSORS, INC. |
10884497 | Method and apparatus for motion capture interface using multiple fingers | CENTER OF HUMAN-CENTERED INTERACTION FOR COEXISTENCE |
10884498 | Display device and method for controlling display device | SEIKO EPSON CORPORATION |
10884499 | Headware for computer control | -- |
10884500 | Electronic display screen with dynamic topography | SAN DIEGO |
10884501 | Display device including force sensor and method of manufacturing same | LG DISPLAY CO., LTD. |
10884502 | Providing mediated social interactions | GOOGLE LLC |
10884503 | VPA with integrated object recognition and facial expression recognition | SRI INTERNATIONAL |
10884504 | Wearable wrist device and method of detecting a physical change in the epidermis and wirelessly inputting sensor information using the same | KOREA ELECTRONICS TECHNOLOGY INSTITUTE |
10884505 | Systems and methods for transitioning to higher order degree-of-freedom tracking | FACEBOOK TECHNOLOGIES, LLC |
10884506 | Gesture recognition method and gesture recognition device | -- |
10884507 | Gesture controlled door opening for elevators considering angular movement and orientation | OTIS ELEVATOR COMPANY |
10884508 | System and method for redirecting content based on gestures | OPEN INVENTION NETWORK LLC |
10884509 | Performing an action associated with a motion based input | BLACKBERRY LIMITED |
10884510 | Method and apparatus for controlling onboard system | HUAWEI TECHNOLOGIES CO., LTD. |
10884511 | Input device with key input and touch input, and operating method thereof | -- |
10884512 | Key device and keyboard device | -- |
10884513 | Method and system for dynamically processing ambiguous, reduced text search queries and highlighting results thereof | VEVEO, INC. |
10884514 | Mouse and controlling method thereof and computer-readable storage medium | BOE TECHNOLOGY GROUP CO., LTD. |
10884515 | Lamination method of substrates and product prepared thereby | WACKER CHEMIE AG |
10884516 | Operation and control apparatus and control method | CORSAIR MEMORY, INC. |
10884517 | Input device and electronic apparatus comprising same | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10884518 | Gesture detection device for detecting hovering and click | -- |
10884519 | Scroll wheel module | LITE-ON ELECTRONICS (GUANGZHOU) LIMITED |
10884520 | Input detecting device | SHARP KABUSHIKI KAISHA |
10884521 | Dial device and related interactive display device capable of determining a rotation angle | -- |
10884522 | Adaptive hover operation of touch instruments | MICROSOFT TECHNOLOGY LICENSING, LLC |
10884523 | Film and method for producing a film | LEONHARD KURZ STIFTUNG & CO. KG |
10884524 | Interior material and method for manufacturing same | INTOPS. CO., LTD. |
10884525 | Interactive mixed masking system, method and computer program product for a simulator | LOCKHEED MARTIN CORPORATION |
10884526 | Touch sensitive information transmission method, processor and system | -- |
10884527 | Touch display device | -- |
10884528 | Touch display substrate and touch detection method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
10884529 | Techniques for assembling a multi-layered display screen | AMAZON TECHNOLOGIES, INC. |
10884530 | Display device with touch structure and method of forming the same | LG DISPLAY CO., LTD. |
10884531 | Digitizer, signal transmission device, digitizer device and control methods thereof | BOE TECHNOLOGY GROUP CO., LTD. |
10884532 | Display device and flexible circuit board | SAMSUNG DISPLAY CO., LTD. |
10884533 | Touch display device | -- |
10884534 | Detection device | JAPAN DISPLAY INC. |
10884535 | Display device | LG DISPLAY CO., LTD. |
10884536 | Mobile terminal and control method thereof | LG ELECTRONICS INC. |
10884537 | Touchscreen device and method and apparatus for performing operation | HUAWEI TECHNOLOGIES CO., LTD. |
10884538 | OLED touch display panel, display device and method for detecting touch operation zone | BOE TECHNOLOGY GROUP CO., LTD. |
10884539 | Electronic device and control method thereof | CANON KABUSHIKI KAISHA |
10884540 | Display device with detection of fold by number and size of touch areas | SHARP KABUSHIKI KAISHA |
10884541 | Display panel and display device | -- |
10884542 | Display device | JAPAN DISPLAY INC. |
10884543 | Display device and control circuit | JAPAN DISPLAY INC. |
10884544 | Assistive device with a refreshable haptic feedback interface | -- |
10884545 | Assistive user interface touch detection based on time and proximity to target | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10884546 | Projection alignment | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10884547 | Interchangeable device components | MICROSOFT TECHNOLOGY LICENSING, LLC |
10884548 | Touch window and display with the same | LG INNOTEK CO., LTD. |
10884549 | Rejection of false turns of rotary inputs for electronic devices | APPLE INC. |
10884550 | Method, mobile terminal and non-transitory computer-readable storage medium for response control of touch screen | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10884551 | Integrated gesture sensor module | ANALOG DEVICES, INC. |
10884552 | Touch panel with sensor elements | -- |
10884553 | Light guide and touch screen assembly | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10884554 | Display device with touch detection function and electronic apparatus | JAPAN DISPLAY INC. |
10884555 | Conductive pattern | DONGWOO FINE-CHEM CO., LTD. |
10884556 | Gate driving integrated circuit for a touch display and operating method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10884557 | Touch input device | KOREA ADVANCED INSTITUTE OF SCIENCE AND TECHNOLOGY |
10884558 | Sensor design for NFC-integrated touchpad | SYNAPTICS INCORPORATED |
10884559 | Touch panel, touch method of the same, and touch apparatus | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10884560 | Integrated light-emitting pixel arrays based devices by bonding | -- |
10884561 | Touch substrate, method thereof for positioning a touch, and capacitive touch screen | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10884562 | Touch substrate, touch control display panel, and touch control display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
10884563 | Method for determining change of initial distance of sensing electrode | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
10884564 | Sheet-shaped device | I.P SOLUTIONS, LTD |
10884565 | Device for the entry of data to be placed on a touch panel of a terminal, corresponding method and entry system | INGENICO GROUP |
10884566 | Touch substrate, touch screen, and electronic device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10884567 | Circuit and method for driving touch substrate, touch device and operating method of the same | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10884568 | Electronic device | SAMSUNG DISPLAY CO., LTD. |
10884569 | Touch display apparatus by using electromotive force and method for controlling thereof | SAMSUNG ELECTRONICS CO., LTD. |
10884570 | Online engagement platform for video creators | GOOGLE LLC |
10884571 | Dependency-based presence for co-authored documents | MICROSOFT TECHNOLOGY LICENSING, LLC |
10884572 | Windowing system and method for companion software products | SIEMENS HEALTHCARE DIAGNOSTICS INC. |
10884573 | User interfaces for multiple displays | APPLE INC. |
10884574 | Highlighting data marks in popup secondary data visualizations according to selected data values from primary data visualizations | TABLEAU SOFTWARE, INC. |
10884575 | Extensible and adaptable toolsets for collaboration applications | MICROSOFT TECHNOLOGY LICENSING, LLC |
10884576 | Mediated reality | NOKIA TECHNOLOGIES OY |
10884577 | Identification of dynamic icons based on eye movement | POOW INNOVATION LTD. |
10884578 | Electronic device and method for controlling user interface of electronic device | SAMSUNG ELECTRONICS CO., LTD. |
10884579 | Portable electronic device with interface reconfiguration mode | APPLE INC. |
10884580 | Devices and methods for displaying content in a note-taking application | APPLE INC. |
10884581 | Content transmission device and mobile terminal for performing transmission of content | LG ELECTRONICS INC. |
10884582 | Systems and methods for progressively displaying images in a viewer to create a video-like display of a rotating item | BLUE NILE, INC. |
10884583 | Suppressing the collection of activity data by an operating system | MICROSOFT TECHNOLOGY LICENSING, LLC |
10884584 | Managing large user selections in an application | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10884585 | User widget displaying portions of content | -- |
10884586 | Method and system for managing and displaying application | SAMSUNG ELECTRONICS CO., LTD. |
10884587 | System for generating dynamic customizable user interfaces for accessing data | AMAZON TECHNOLOGIES, INC. |
10884588 | Systems and methods for multi-context media control and playback | SPOTIFY AB |
10884589 | Determining user preference of an object from a group of objects maintained by a social networking system | FACEBOOK, INC. |
10884591 | Device, method, and graphical user interface for selecting object within a group of objects | APPLE INC. |
10884592 | Control of system zoom magnification using a rotatable input mechanism | APPLE INC. |
10884593 | Systems and methods for remote layout control of medical image viewers | GE PRECISION HEALTHCARE LLC |
10884594 | Image processing device, image processing method, program, and recording medium | FUJIFILM CORPORATION |
10884595 | Apparatus and method for setting user interface according to user preference | SAMSUNG ELECTRONICS CO., LTD. |
10884596 | Household appliance comprising an operating device configured as an external or internal touchscreen | BSH HAUSGERAETE GMBH |
10884597 | User interface customization based on facial recognition | PAYPAL, INC. |
10884598 | Analytics for a bot system | ORACLE INTERNATIONAL CORPORATION |
10884599 | Graphical user interface for refocusing the scope of a series of queries | AMADEUS S.A.S. |
10884600 | Display apparatus scrolling first image and second image in accordance with speed of scroll operation | KYOCERA DOCUMENT SOLUTIONS INC. |
10884601 | Animating an image to indicate that the image is pannable | GOOGLE LLC |
10884602 | Direction based content navigation | COMCAST CABLE COMMUNICATIONS, LLC |
10884603 | Graphical user interface system and method for transferring data acquisition and analysis settings | LIFE TECHNOLOGIES CORPORATION |
10884604 | Drag and drop for touchscreen devices | APPLE INC. |
10884605 | Methods and systems for displaying hidden information on a web page | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
10884606 | Data transfer via tile overlay | WELLS FARGO BANK, N.A. |
10884607 | Personal control apparatus and method for sharing information in a collaborative workspace | STEELCASE INC. |
10884608 | Devices, methods, and graphical user interfaces for content navigation and manipulation | APPLE INC. |
10884609 | Multi-gesture media recording system | AAWAAZ INC. |
10884610 | System and method for recognizing handwritten stroke input | MYSCRIPT |
10884611 | Method and apparatus for controlling touch screen of terminal, and terminal | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10884612 | Input display control device, input display control method, and input display system | MITSUBISHI ELECTRIC CORPORATION |
10884613 | Method and device for input with candidate split mode | SHANGHAI CHULE (COOTEK) INFORMATION TECHNOLOGY CO., LTD. |
10884614 | Actuation interface | ZOOX, INC. |
10884615 | Inspection assistance device, inspection assistance method, and program | MITSUBISHI POWER, LTD. |
10884616 | Application control using a gesture based trigger | SNAP INC. |
10884617 | Handwriting keyboard for screens | APPLE INC. |
10884618 | Electronic device and method of providing visual notification of a received communication | BLACKBERRY LIMITED |
10884619 | Character input method and display apparatus | SAMSUNG ELECTRONICS CO., LTD. |
10884620 | Configuration of application execution spaces and sub-spaces for sharing data on a mobile touch screen device | SAMSUNG ELECTRONICS CO., LTD. |
10884621 | Block volume mount synchronization to prevent data corruption | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10884622 | Storage area network having fabric-attached storage drives, SAN agent-executing client devices, and SAN manager that manages logical volume without handling data transfer between client computing device and storage drive that provides drive volume of the logical volume | LENOVO ENTERPRISE SOLUTIONS (SINGAPORE) PTE. LTD |
10884623 | Method and apparatus for upgrading a distributed storage system | ALIBABA GROUP HOLDING LIMITED |
10884624 | SAS/SATA hard disk drive update via management controller | -- |
10884626 | Translation lookaside buffer in a switch | MICRON TECHNOLOGY, INC. |
10884627 | Compacting data in a dispersed storage network | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10884628 | Performance of a read in a memory system | WESTERN DIGITAL TECHNOLOGIES, INC. |
10884629 | Shard rebalancing based on over-provisioning | FACEBOOK, INC. |
10884630 | Storage system | HITACHI, LTD. |
10884631 | Method for preloading data | BULL SAS |
10884632 | Techniques for determining the extent of data loss as a result of a data storage system failure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10884633 | System and method for optimized signature comparisons and data replication | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
10884634 | Browse and restore for block-level backups | COMMVAULT SYSTEMS, INC. |
10884635 | Use of auxiliary data protection software in failover operations | COMMVAULT SYSTEMS, INC. |
10884636 | Presenting workload performance in a storage system | PURE STORAGE, INC. |
10884637 | Workload based storage optimization | ELASTIC FLASH INC. |
10884638 | Programmable peak power management | MICRON TECHNOLOGY, INC. |
10884639 | Providing single data rate (SDR) mode or double data rate (DDR) mode for the command and address (CA) bus of registering clock drive (RCD) for dynamic random access memory (DRAM) | QUALCOMM INCORPORATED |
10884640 | Set technique for phase change memory | INTEL CORPORATION |
10884641 | Low latency gateway for an asynchronous orchestration engine using direct memory | PAYPAL, INC. |
10884642 | Method and apparatus for performing data-accessing management in a storage server | -- |
10884643 | Variable length deduplication of stored data | BOTTOMLINE TECHNOLOGIES LIMITED |
10884644 | Dynamic distributed data clustering | AMAZON TECHNOLOGIES, INC. |
10884645 | Virtual machine hot migration method, host machine and storage medium | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
10884646 | Data management system for storage tiers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10884647 | UFS based idle time garbage collection management | MICRON TECHNOLOGY, INC. |
10884648 | Temporary relocation of data within local storage of a dispersed storage network | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10884649 | Tape drive data reclamation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10884650 | Opportunistic compression of replicated data in a content addressable storage system | EMC IP HOLDING COMPANY LLC |
10884651 | Storage system with multi-phase verification of synchronously replicated data | EMC IP HOLDING COMPANY LLC |
10884652 | Trim command recording method, memory control circuit unit and memory storage device | -- |
10884653 | Implementing a mapping between data at a storage drive and data blocks at a host | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10884654 | System and method for quality of service assurance of multi-stream scenarios in a hard disk drive | ALIBABA GROUP HOLDING LIMITED |
10884655 | Storage modules, methods of operating a storage module, and methods of operating a host controlling a storage module | SAMSUNG ELECTRONICS CO., LTD. |
10884656 | Performing background functions using logic integrated with a memory | MICROSOFT TECHNOLOGY LICENSING, LLC |
10884657 | Computing device within memory processing and narrow data ports | UPMEM |
10884658 | Completion entry throttling using data storage controller | WESTERN DIGITAL TECHNOLOGIES, INC. |
10884659 | Host timeout avoidance in a memory device | MICRON TECHNOLOGY, INC. |
10884660 | Memory management method, memory storage device and memory control circuit unit | -- |
10884661 | Command queuing | MICRON TECHNOLOGY, INC. |
10884662 | Method for performing storage control in a storage server, associated memory device and memory controller thereof, and associated storage server | -- |
10884663 | Executable memory cells | WESTERN DIGITAL TECHNOLOGIES, INC. |
10884664 | Executable memory cell | WESTERN DIGITAL TECHNOLOGIES, INC. |
10884665 | Data reading method, storage controller and storage device for optimizing read voltages | SHENZHEN EPOSTAR ELECTRONICS LIMITED CO. |
10884666 | Dynamic path selection in a storage network | PURE STORAGE, INC. |
10884667 | Storage controller and IO request processing method | HUAWEI TECHNOLOGIES CO., LTD. |
10884668 | Memory system | TOSHIBA MEMORY CORPORATION |
10884669 | Controller, operation method of the controller and memory system | SK HYNIX INC. |
10884670 | Method and system for processing data | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10884671 | Cognitive optimization of a multi-part object | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10884672 | NDP-server: a data-centric computing architecture based on storage server in data center | SAMSUNG ELECTRONICS CO., LTD. |
10884673 | Virtual one-time programmable memory management | CRYPTOGRAPHY RESEARCH, INC. |
10884674 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
10884675 | Image forming apparatus and method for the same | CANON KABUSHIKI KAISHA |
10884676 | Information processing apparatus, system, control method, and non-transitory computer-readable storage medium storing program, with displaying instruction item for reflecting setting information of page on another page with same attribute | CANON KABUSHIKI KAISHA |
10884677 | Sheet management system and control method to determine whether to set information to a sheet holding unit | CANON KABUSHIKI KAISHA |
10884678 | Information processing apparatus, printing system, and non-transitory computer readable medium | FUJI XEROX CO.,LTD. |
10884679 | Display generation apparatus for easily distinguishing progress information and computer readable medium for the same | FUJI XEROX CO., LTD. |
10884680 | Print control apparatus and control method for receiving and printing print data | CANON KABUSHIKI KAISHA |
10884681 | Prohibited previews | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10884682 | Storage medium, method, and apparatus for indicating whether communication with a device connected to a network is possible or not | CANON KABUSHIKI KAISHA |
10884683 | Information processing system and non-transitory computer readable medium that specifies and notifies a client contact address | FUJI XEROX CO., LTD. |
10884684 | Image forming apparatus, image forming method, and non-transitory computer readable recording medium | KYOCERA DOCUMENT SOLUTIONS INC. |
10884685 | Job execution control device, job execution control method, and computer program product | KABUSHIKI KAISHA TOSHIBA |
10884686 | Computer-readable storage medium for information processing apparatus having selectable processing channels and a display capable of displaying applicable options for print settings | BROTHER KOGYO KABUSHIKI KAISHA |
10884687 | Print management system and computer readable medium | FUJI XEROX CO., LTD. |
10884688 | Print control device for exclusion of print data included in sequential printing and computer readable medium for the same | FUJI XEROX CO., LTD. |
10884689 | State-based auxiliary display operation | MICROSOFT TECHNOLOGY LICENSING, LLC |
10884690 | Dual screen device having power state indicators | LENOVO (SINGAPORE) PTE. LTD. |
10884691 | Display control methods and apparatuses | BEIJING ZHIGU RUI TUO TECH CO., LTD |
10884692 | Electronic device and method for displaying and transmitting images thereof | SAMSUNG ELECTRONICS CO., LTD. |
10884693 | Method, apparatus, and computer program product for sharing interface annotations among participating devices within a group-based communication system | SLACK TECHNOLOGIES, INC. |
10884694 | Conversational analytics with data visualization snapshots | TABLEAU SOFTWARE, INC. |
10884695 | Display system | FANUC CORPORATION |
10884696 | Dynamic modification of audio signals | HUMAN, INCORPORATED |
10884697 | Media context switching between devices using wireless communications channels | SPOTIFY AB |
10884698 | Playback device calibration based on representative spectral characteristics | SONOS, INC. |
10884699 | Facilitating content navigation based on event context | MICROSOFT TECHNOLOGY LICENSING, LLC |
10884700 | Sound outputting device, sound outputting method, and sound outputting program storage medium | TOYOTA JIDOSHA KABUSHIKI KAISHA |
10884701 | Voice enabling applications | AMAZON TECHNOLOGIES, INC. |
10884721 | Branch objects for dependent optimization problems | AUTODESK, INC. |
10884765 | Object configuration dynamic graphical user interface | MODEL N, INC. |
10884767 | Service processing methods and devices | ADVANCED NEW TECHNOLOGIES CO., LTD. |
10884769 | Photo-editing application recommendations | ADOBE INC. |
10884770 | Method for inserting virtual resource object in application, and terminal | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
10884776 | Seamless virtual machine halt and restart on a server | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10884790 | Eliding redundant copying for virtual machine migration | AMAZON TECHNOLOGIES, INC. |
10884799 | Multi-core processor in storage system executing dynamic thread for increased core availability | EMC IP HOLDING COMPANY LLC |
10884820 | Intelligent and automatic replication load score based load balancing and resiliency of replication appliances | VERITAS TECHNOLOGIES LLC |
10884829 | Shared buffer memory architecture | INNOVIUM, INC. |
10884833 | Enhanced notifications | MICROSOFT TECHNOLOGY LICENSING, LLC |
10884835 | Situation dashboard system and method from event clustering | MOOGSOFT INC. |
10884846 | Method for checking the availability and integrity of a distributed data object | AIT AUSTRIAN INSTITUTE OF TECHNOLOGY GMBH |
10884847 | Fast parallel CRC determination to support SSD testing | ADVANTEST CORPORATION |
10884849 | Mirroring information on modified data from a primary storage controller to a secondary storage controller for the secondary storage controller to use to calculate parity data | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10884850 | Fault tolerant memory system | ARM LIMITED |
10884857 | Data storage device and method of operating | SAMSUNG ELECTRONICS CO., LTD. |
10884859 | Resiliency to memory failures in computer systems | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
10884861 | Write-balanced parity assignment within a cluster | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10884867 | Systems and methods providing incremental backup for persistent services | SAP SE |
10884868 | Dedupe as an infrastructure to avoid data movement for snapshot copy-on-writes | ZADARA STORAGE, INC. |
10884871 | Systems and methods for copying an operating source volume | DATTO, INC. |
10884872 | Device reservation state preservation in data mirroring | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10884879 | Method and system for computing a quorum for two node non-shared storage converged architecture | ORACLE INTERNATIONAL CORPORATION |
10884889 | Allocating part of a raid stripe to repair a second raid stripe | SEAGATE TECHNOLOGY LLC |
10884891 | Interactive detection of system anomalies | MICRO FOCUS LLC |
10884905 | Test script creation based on abstract test user controls | MICRO FOCUS LLC |
10884914 | Regrouping data during relocation to facilitate write amplification reduction | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10884916 | Non-volatile file update media | INTEL CORPORATION |
10884917 | Dual media packaging targeted for SSD usage | WESTERN DIGITAL TECHNOLOGIES, INC. |
10884919 | Memory management in a storage system | PURE STORAGE, INC. |
10884920 | Metadata-based operations for use with solid state devices | WESTERN DIGITAL TECHNOLOGIES, INC. |
10884922 | Storage device and method of operating the same | SK HYNIX INC. |
10884924 | Storage system and data writing control method | HITACHI, LTD. |
10884933 | Method and apparatus for performing pipeline-based accessing management in a storage server | SILICON MOTION TECHNOLOGY (HONG KONG) LIMITED |
10884941 | Techniques to store data for critical chunk operations | INTEL CORPORATION |
10884954 | Method for performing adaptive locking range management, associated data storage device and controller thereof | -- |
10884973 | Synchronization of audio across multiple devices | MICROSOFT TECHNOLOGY LICENSING, LLC |
10884975 | Differentiated storage services in ethernet SSD | SAMSUNG ELECTRONICS CO., LTD. |
10884984 | Low-latency direct cloud access with file system hierarchies and semantics | ORACLE INTERNATIONAL CORPORATION |
10884989 | Tape drive memory deduplication | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10885016 | System, client device, server device, and program | KABUSHIKI KAISHA TOSHIBA |
10885021 | Interactive interpreter and graphical user interface | PALANTIR TECHNOLOGIES INC. |
10885023 | Asynchronous processing for synchronous requests in a database | AMAZON TECHNOLOGIES, INC. |
10885024 | Mapping data resources to requested objectives | PEARSON EDUCATION, INC. |
10885038 | System and method for adaptive information storage management | COGNIZANT TECHNOLOGY SOLUTIONS INDIA PVT. LTD. |
10885049 | User interface to identify one or more pivot identifiers and one or more step identifiers to process events | SPLUNK INC. |
10885099 | Systems and methods for presenting image classification results | CAPITAL ONE SERVICES, LLC |
10885104 | Systems and methods for selecting content items to store and present locally on a user device | DROPBOX, INC. |
10885106 | Optical devices and apparatuses for capturing, structuring, and using interlinked multi-directional still pictures and/or multi-directional motion pictures | -- |
10885107 | Music recommendation method and apparatus | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10885110 | Analyzing captured sound and seeking a match based on an acoustic fingerprint for temporal and geographic presentation and navigation of linked cultural, artistic, and historic content | TUNESMAP INC. |
10885115 | Accessing an N-way linked list | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10885129 | Using frames for action dialogs | GOOGLE LLC |
10885136 | Audience filtering system | SNAP INC. |
10885141 | Customizable weather analysis system for providing weather-related warnings | ACCUWEATHER, INC. |
10885156 | Dynamic monitoring and authorization of an optimization device | SILVER PEAK SYSTEMS, INC. |
10885175 | Systems and methods for authenticating users | FACEBOOK, INC. |
10885176 | Image based passphrase for authentication | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10885196 | Executing protected code | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
10885229 | Electronic device for code integrity checking and control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10885233 | Systems and methods for generating textiles with repeating patterns | MILLIKEN & COMPANY |
10885263 | Automatic document generation systems and methods | RISKSPAN, INC. |
10885264 | Systems, methods, and media for managing and sharing digital content and services | MIXONIUM GROUP HOLDINGS, INC. |
10885265 | Information displayed while information is scrolled on a terminal screen | ALIBABA GROUP HOLDING LIMITED |
10885267 | Interactive electronic book system and method therefor | -- |
10885271 | System and method for providing users feedback regarding their reading habits | VERIZON MEDIA INC. |
10885272 | Computer processes and interfaces for analyzing and suggesting improvements for text readability | WORDRAKE HOLDINGS, LLC |
10885273 | Method and system simplifying the input of symbols used as a pair within a user interface | SAMSUNG ELECTRONICS CO., LTD. |
10885293 | Enrollment using synthetic fingerprint image and fingerprint sensing systems | APPLE INC. |
10885298 | Method and device for optical fingerprint recognition, and computer-readable storage medium | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
10885300 | Method and system for contactless 3D fingerprint image acquisition | ZKTECO CO., LTD. |
10885301 | Method for obtaining biometric information and electronic device thereof | SAMSUNG ELECTRONICS CO., LTD. |
10885302 | Method for an electronic device to receive fingerprint data | -- |
10885304 | Electronic apparatus and under-screen fingerprint sensing method thereof | -- |
10885305 | Fingerprint identification structure and method for fabricating the same | BOE TECHNOLOGY GROUP CO., LTD. |
10885313 | Controlling a display device | APICAL LTD. |
10885319 | Posture control system | SONY INTERACTIVE ENTERTAINMENT INC. |
10885320 | Enhanced accessibility in mixed reality experience for collaboration tools | MICROSOFT TECHNOLOGY LICENSING, LLC |
10885321 | Hand detection method and system, image detection method and system, hand segmentation method, storage medium, and device | BOE TECHNOLOGY GROUP CO., LTD. |
10885335 | Electronic device and controlling method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10885366 | Input information management apparatus and input information management method | FUJITSU LIMITED |
10885377 | Methods and systems for an automated design, fulfillment, deployment and operation platform for lighting installations | ECOSENSE LIGHTING INC. |
10885380 | Automatic suggestion to share images | GOOGLE LLC |
10885404 | Printing apparatus, print control device, and method of controlling printing apparatus | SEIKO EPSON CORPORATION |
10885409 | Print data processing apparatus | CANON KABUSHIKI KAISHA |
10885446 | Big-data driven telematics with AR/VR user interfaces | SAP SE |
10885450 | Automatically detecting invalid events in a distributed computing environment | CAPITAL ONE SERVICES, LLC |
10885480 | Adaptive restaurant management system | TOAST, INC. |
10885498 | Attendance registration device, attendance registration method, and recording medium | CASIO COMPUTER CO., LTD. |
10885499 | Apparatus, system, and method for managing presentation, and recording medium | RICOH COMPANY, LTD. |
10885530 | Digital credentials based on personality and health-based evaluation | PEARSON EDUCATION, INC. |
10885535 | Online situational awareness and video survey system | 1Q, LLC |
10885543 | Systems and methods to pre-scale media content to facilitate audience measurement | THE NIELSEN COMPANY (US), LLC |
10885558 | Generating personalized banner images using machine learning | EBAY INC. |
10885559 | Generation, curation, and presentation of media collections with automated advertising | SNAP INC. |
10885562 | Visual discovery tool for automotive manufacturers with network encryption, data conditioning, and prediction engine | AUTOALERT, LLC |
10885575 | Interactive paint product selection and ordering system, apparatus, and non-transitory computer readable medium | BEHR PROCESS CORPORATION |
10885576 | Website tracking system | PAYPAL, INC. |
10885580 | EscrowTab mobile application system and method | ESCROWTAB, INC. |
10885600 | Communication control apparatus, communication control apparatus method, and system | FUJITSU LIMITED |
10885604 | Method for 3D waveform mapping of full-parallel structure | UNIVERSITY OF ELECTRONIC SCIENCE AND TECHNOLOGY OF CHINA |
10885607 | Storage for foveated rendering | QUALCOMM INCORPORATED |
10885658 | Method and apparatus for estimating a pose of a rendering device | INTERDIGITAL CE PATENT HOLDINGS, SAS. |
10885663 | Method for setting a viewing direction in a representation of a virtual environment | FRAUNHOFER-GESELLSCHAFT ZUR FOERDERUNG DER ANGEWANDTEN FORSCHUNG E. V. |
10885670 | Stereo vision measuring system and stereo vision measuring method | BOE TECHNOLOGY GROUP CO., LTD. |
10885672 | Systems and methods for analyzing colors from a social media platform | BEHR PROCESS CORPORATION |
10885677 | Method and system for setting interface element colors | BANMA ZHIXING NETWORK (HONGKONG) CO., LIMITED |
10885684 | Estimation results display system, estimation results display method, and estimation results display program | NEC CORPORATION |
10885685 | Electronic apparatus for drawing graphs of mathematical expressions | CASIO COMPUTER CO., LTD. |
10885687 | Augmented reality consumption data analysis | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10885688 | Computer readable media, information processing apparatus and information processing method | HOBONICHI CO., LTD. |
10885695 | Configurable stylized transitions between user interface element states | HOME BOX OFFICE, INC. |
10885709 | Virtual reality software system and method for treating medical condition in user | -- |
10885710 | Reality-guided roaming in virtual reality | MICROSOFT TECHNOLOGY LICENSING, LLC |
10885712 | Camera pose estimation method for augmented reality manual for cars | NIO USA, INC. |
10885715 | Interactive carry | MICROSOFT TECHNOLOGY LICENSING, LLC |
10885716 | Mixed reality system user interface placement | MICROSOFT TECHNOLOGY LICENSING, LLC |
10885719 | Methods and systems for treating autism | FLOREO, INC. |
10885721 | Method for detecting objects and localizing a mobile computing device within an augmented reality experience | JIDO INC. |
10885727 | Vehicular implemented inspection | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10885736 | Configurable flexible displays for display devices | IGT |
10885788 | Notification control apparatus and method for controlling notification | MITSUBISHI ELECTRIC CORPORATION |
10885796 | Ground traffic aircraft management | HONEYWELL INTERNATIONAL INC. |
10885801 | Virtualized tangible programming | TANGIBLE PLAY, INC. |
10885809 | Device for language teaching with time dependent data memory | GAMMAKITE, INC. |
10885824 | Display driving device and display device including the same | SILICON WORKS CO., LTD. |
10885861 | Display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10885874 | Eclipse cursor for mixed reality displays | MAGIC LEAP, INC. |
10885876 | Method and device for adjusting brightness, display device and storage medium | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10885879 | Media rendering with orientation metadata | GOOGLE LLC |
10885880 | Programmable controller and command cache for graphics processors | INTEL CORPORATION |
10885882 | Reducing aliasing artifacts in foveated rendering using cross-resolution modulation | TOBII AB |
10885883 | Electronic device with foveated display system | APPLE INC. |
10885898 | Enhanced speech endpointing | GOOGLE LLC |
10885908 | Method and apparatus for processing information | BAIDU ONLINE NETWORK TECHNOLOGY (BEIJING) CO., LTD. |
10885910 | Voice-forward graphical user interface mode management | AMAZON TECHNOLOGIES, INC. |
10885912 | Methods and systems for providing a corrected voice command | MOTOROLA SOLUTIONS, INC. |
10885915 | Intelligent software agent | -- |
10885916 | Display apparatus and method for registration of user command | SAMSUNG ELECTRONICS CO., LTD. |
10885917 | Generating IoT-based notification(s) and provisioning of command(s) to cause automatic rendering of the IoT-based notification(s) by automated assistant client(s) of client device(s) | GOOGLE LLC |
10885927 | Personal audio assistant device and method | STATON TECHIYA, LLC |
10885938 | Tape gripper cartridge cache | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10885970 | Non-linear activation for sensing circuitry | MICRON TECHNOLOGY, INC. |
10885991 | Data rewrite during refresh window | SANDISK TECHNOLOGIES LLC |
10886013 | Systems and methods for detecting documentation drop-offs in clinical documentation | IODINE SOFTWARE, LLC |
10886029 | 3D web-based annotation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886030 | Presenting contextually relevant patient data in relation to other patients to a medical professional | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886081 | Keyboard device | -- |
10886308 | Display device | JAPAN DISPLAY INC. |
10886338 | Touch panel, method for driving the same, and touch device | FUZHOU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10886339 | Display device | LG DISPLAY CO., LTD. |
10886340 | Display panel and display device with reduced frame width | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
10886353 | Organic light-emitting display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10886354 | Display device | SAMSUNG DISPLAY CO., LTD. |
10886643 | Display device | SAMSUNG DISPLAY CO., LTD. |
10886652 | FPC connector, touch-sensitive screen and LCD screen | SHENZHEN STARTEK ELECTRONIC TECHNOLOGY CO., LTD. |
10886702 | Vertical-cavity surface-emitting laser for near-field illumination of an eye | FACEBOOK TECHNOLOGIES, LLC |
10886828 | Vibration actuator and portable device | MITSUMI ELECTRIC CO., LTD. |
10886883 | Apparatus for processing an input audio signal and corresponding method | FRAUNHOFER-GESELLSCHAFT ZUR FöRDERUNG DER ANGEWANDTEN FORSCHUNG E.V. |
10887033 | Live decomposition of mixed audio data | ALGORIDDIM GMBH |
10887085 | System and method for controlling usage of cryptographic keys | UNBOUND TECH LTD. |
10887123 | Multiprotocol audio/voice internet-of-things devices and related system | LIBRE WIRELESS TECHNOLOGIES, INC. |
10887124 | Electronic device and method for controlling thereof | SAMSUNG ELECTRONICS CO., LTD. |
10887125 | Bathroom speaker | KOHLER CO. |
10887165 | Personal working system available for dynamic combination and adjustment | SHANGHAI |
10887180 | Internet of things device discovery and deployment | VMWARE, INC. |
10887195 | Computer system, remote control notification method and program | OPTIM CORPORATION |
10887258 | Method, system and recording medium for communicating and displaying content in a messenger application | LINE CORPORATION |
10887260 | Return to sender | APPLE INC. |
10887285 | Printer and control method for printer | SEIKO EPSON CORPORATION |
10887292 | Obfuscated haptic interfaces with natural interaction steganography | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10887338 | Creating notes on lock screen | MICROSOFT TECHNOLOGY LICENSING, LLC |
10887357 | Document collaboration tool | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10887370 | Visual representation of a user interface | TRADING TECHNOLOGIES INTERNATIONAL, INC. |
10887393 | Data storage device with embedded software | WESTERN DIGITAL TECHNOLOGIES, INC. |
10887413 | Systems and methods for delivering in-application messages | BRAZE, INC. |
10887422 | Selectively enabling users to access media effects associated with events | FACEBOOK, INC. |
10887423 | Personalization of virtual assistant skills based on user profile information | MICROSOFT TECHNOLOGY LICENSING, LLC |
10887438 | Electronic device including system for extending and retracting flexible display | SAMSUNG ELECTRONICS CO., LTD. |
10887448 | Displaying an image of a calling party at coordinates from HRTFs | -- |
10887449 | Smartphone that displays a virtual image for a telephone call | -- |
10887451 | Method and system for providing backup push-to-talk function in stuck microphone condition | HONEYWELL INTERNATIONAL INC. |
10887469 | Data gate apparatus for integrating functionalities of an interface format into a plurality of services and method thereof | BULLHEAD INNOVATIONS LTD. |
10887480 | Electronic device, information processing system, and termination operation preventing method | RICOH COMPANY, LTD. |
10887483 | Multifunctional automatic quality inspection machine and control method thereof | GUANGZHOU PULISI TECHNOLOGY CO., LTD. |
10887486 | Wearable device and methods for transmitting information based on physical distance | ORCAM TECHNOLOGIES, LTD |
10887509 | Systems and methods for dynamically providing layouts based on media content selection | FACEBOOK, INC. |
10887526 | Monitoring system, monitoring method, and monitoring program | NEC CORPORATION |
10887544 | Apparatus and method for switching and converting video signals | -- |
10887546 | Display apparatus and control methods thereof | SAMSUNG ELECTRONICS CO., LTD. |
10887565 | Information processing device and information processing method | SONY CORPORATION |
10887572 | Suggested viewport indication for panoramic video | INTEL CORPORATION |
10887653 | Systems and methods for performing distributed playback of 360-degree video in a plurality of viewing windows | -- |
10887695 | Audio routing system for routing audio data to and from a mobile device | GOOGLE TECHNOLOGY HOLDINGS LLC |
10887716 | Graphical user interface for calibrating a surround sound system | DTS, INC. |
10887719 | Apparatus and associated methods for presentation of spatial audio | NOKIA TECHNOLOGIES OY |
10887755 | Method, device, terminal and program for controlling activation of a terminal | ORANGE |
10887785 | Wireless mesh fabric for hardware resource discovery and management | OPEN DRIVES LLC |
10887856 | Adaptive mesh synchronized time network | PRIMEX WIRELESS, INC. |
10887935 | Apparatus, system, and method of wirelessly transmitting and receiving data | -- |
10888037 | Anti-fogging HMD utilizing device waste heat | MICROSOFT TECHNOLOGY LICENSING, LLC |
10888038 | Conductive film and display apparatus provided with same | FUJIFILM CORPORATION |
10888085 | Species pattern evaluation | WISEEYE TECHNOLOGY LLC |
10888184 | Systems, apparatuses, computer readable media, and methods for implementing a wirelessly-enabled liquid container | PRODIGY TECHNOLOGY, LLC |
10888222 | System and method for visual field testing | CARL ZEISS MEDITEC, INC. |
10888241 | Device and method to determine objectively visual memory of images | -- |
10888242 | Methods for radio wave based health monitoring that utilize a removable smartphone case | MOVANO INC. |
10888300 | Stethoscope with extended detection range | UNIVERSITY OF SOUTH CAROLINA |
10888399 | Augmented reality enhancements for dental practitioners | ALIGN TECHNOLOGY, INC. |
10888769 | Method and apparatus for enhancing accuracy associated with a gaming accessory in accordance with a distance of the gaming accessory relative to a surface | STEELSERIES APS |
10888771 | Method and device for object pointing in virtual reality (VR) scene, and VR apparatus | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
10888772 | Information processing apparatus and warning presentation method | SONY INTERACTIVE ENTERTAINMENT INC. |
10888773 | Force sensing resistor (FSR) with polyimide substrate, systems, and methods thereof | VALVE CORPORATION |
10888774 | Customizable rumble effect in gaming accessory | STEELSERIES APS |
10888776 | Handheld controllers with detachable overlays | VALVE CORPORATION |
10888779 | Accessory for presenting information associated with an application | STEELSERIES APS |
10888795 | Modular stacked motion simulation system | SIMEX INC. |
10889265 | Vehicle function control system using sensing and icon display module | MAGNA MIRRORS OF AMERICA, INC. |
10889463 | Method and system for indoor wayfinding based on elevator information | OTIS ELEVATOR COMPANY |
10889897 | Method for producing electroconductive laminate, three-dimensional structure with plated-layer precursor layer, three-dimensional structure with patterned plated layer, electroconductive laminate, touch sensor, heat generating member, and three-dimensional structure | FUJIFILM CORPORATION |
10890236 | Operation method for link actuating device | NTN CORPORATION |
10890312 | Display device including protruding plate | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10890430 | Augmented reality-based system with perimeter definition functionality | LEICA GEOSYSTEMS AG |
10890481 | Ultrasonic microphone and ultrasonic acoustic radio | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
10890600 | Real-time visual-inertial motion tracking fault detection | GOOGLE LLC |
10890653 | Radar-based gesture enhancement for voice interfaces | GOOGLE LLC |
10890751 | Systems and applications for generating augmented reality images | -- |
10890759 | Automated variable-focus lens control to reduce user discomfort in a head-mounted display | MICROSOFT TECHNOLOGY LICENSING, LLC |
10890761 | Photoreactive sensor including optical amplification phototransistor, and display panel and vehicle control system including photoreactive sensor | UNIVERSITY-INDUSTRY COOPERATION GROUP OF KYUNG HEE UNIVERSITY |
10890762 | Image display apparatus and image display method | RICOH COMPANY, LTD. |
10890778 | Optical system having an improved signal-to-noise ratio of eye-tracking | FACEBOOK TECHNOLOGIES, LLC |
10890795 | Touch display device | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
10890817 | Display device | JAPAN DISPLAY INC. |
10890884 | Control device, control method, computer program product, and robot control system | SONY CORPORATION |
10890942 | Inferred undocking for hybrid tablet computer | INTEL CORPORATION |
10890945 | Electronic device with display panel | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10890953 | Capacitance sensing electrode with integrated I/O mechanism | APPLE INC. |
10890963 | System and method for platform sleep state enhancements using non-volatile dual in-line memory modules | -- |
10890964 | Intent based inputs and forced feedback system for a virtual reality system | -- |
10890965 | Display orientation adjustment using facial landmark information | EBAY INC. |
10890966 | Graphics processing systems | ARM LIMITED |
10890967 | Systems and methods for using eye gaze to bend and snap targeting rays for remote interaction | MICROSOFT TECHNOLOGY LICENSING, LLC |
10890968 | Electronic device with foveated display and gaze prediction | APPLE INC. |
10890969 | Invoking automated assistant function(s) based on detected gesture and gaze | GOOGLE LLC |
10890970 | Flex force smart glove for measuring sensorimotor stimulation | LASARRUS CLINIC AND RESEARCH CENTER |
10890971 | Real time virtual reality (VR) system and related methods | AIRBUS OPERATIONS S.L. |
10890972 | Prefrontal-based cognitive brain-machine interfacing apparatus and method thereof | KOREA UNIVERSITY RESEARCH AND BUSINESS FOUNDATION |
10890973 | Electronic device including multi-phase driven linear haptic actuator and related methods | APPLE INC. |
10890974 | Electromagnetically actuating a haptic feedback system | MICROSOFT TECHNOLOGY LICENSING, LLC |
10890975 | Haptic guidance system | HARMAN INTERNATIONAL INDUSTRIES, INCORPORATED |
10890976 | Pressure controlled kinetic feedback platform with modular attachments | -- |
10890977 | Haptic feedback device and program for virtual objects | KURIMOTO, LTD. |
10890978 | Electronic device with an input device having a haptic engine | APPLE INC. |
10890979 | Controlling system and controlling method for virtual display | -- |
10890980 | Operating apparatus for estimating an operation intention intended by a face direction | TOYOTA JIDOSHA KABUSHIKI KAISHA |
10890981 | Gesture-based vehicle control | FORD GLOBAL TECHNOLOGIES, LLC |
10890982 | System and method for multipurpose input device for two-dimensional and three-dimensional environments | SAMSUNG ELECTRONICS CO., LTD. |
10890983 | Artificial reality system having a sliding menu | FACEBOOK TECHNOLOGIES, LLC |
10890984 | Computer input apparatus, pointing device and setting method thereof | -- |
10890985 | Mouse having movable lighting structure | DEXIN ELECTRONIC LTD. |
10890986 | Electronic pen, position detection apparatus, and information processing apparatus | WACOM CO., LTD. |
10890987 | Stylus and operating method thereof for transmitting electrical signals carrying pressure information | -- |
10890988 | Hierarchical menu for application transition | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10890989 | Touch pad driving device and touch driving method | -- |
10890990 | Rotation input device for a capacitive sense cord | GOOGLE LLC |
10890991 | User interface mechanical control apparatus with optical and capacitive position detection and optical position indication | TEXAS INSTRUMENTS INCORPORATED |
10890992 | Synchronizing augmented or virtual reality (AR/VR) applications with companion device interfaces | EBAY INC. |
10890993 | Braille display system | OPTELEC HOLDING B.V. |
10890994 | Touch sensor integrated color filter and manufacturing method for the same | DONGWOO FINE-CHEM CO., LTD. |
10890995 | Touch display device and display panel with reduced signal noise | LG DISPLAY CO., LTD. |
10890996 | Transparent displays with capacitive touch | AZENA MEDICAL, LLC |
10890997 | Display device | SAMSUNG DISPLAY CO., LTD. |
10890998 | Input sensing unit and display apparatus including the same | SAMSUNG DISPLAY CO., LTD. |
10890999 | Spring-type battery contact having sensor protection | STABILO INTERNATIONAL GMBH |
10891000 | Massage chairs with touchscreen-based control system | -- |
10891001 | Touch detection apparatus and display apparatus with touch detection function | JAPAN DISPLAY INC. |
10891002 | Control method and touch display system | CHIPONE TECHNOLOGY (BEIJING) CO., LTD. |
10891003 | System, method, and apparatus for an interactive container | OMNI CONSUMER PRODUCTS, LLC |
10891004 | Electronic device with ultrasonic touch | -- |
10891005 | Electronic device with bent display and method for controlling thereof | SAMSUNG ELECTRONICS CO., LTD. |
10891006 | Panel driving device and panel driving method | SILICON WORKS CO., LTD. |
10891007 | Method and apparatus for data transmission via capacitance sensing device | CYPRESS SEMICONDUCTOR CORPORATION |
10891008 | Touch display device and touch panel to reduce undesired capacitance | LG DISPLAY CO., LTD. |
10891009 | Touch substrate, method for manufacturing the same, and touch control device | BOE TECHNOLOGY GROUP CO., LTD. |
10891010 | Display device and touch display device | -- |
10891011 | Touch sensor having sensing electrodes and optical compensation patterns and image display device including the same | DONGWOO FINE-CHEM CO., LTD. |
10891012 | Mobile terminal, image display device and user interface provision method using the same | LG ELECTRONICS INC. |
10891013 | User interfaces for retrieving contextually relevant media content | APPLE INC. |
10891014 | Remote view manipulation in communication session | MICROSOFT TECHNOLOGY LICENSING, LLC |
10891015 | Information processing apparatus, information processing method, and computer program | SONY CORPORATION |
10891016 | Graphical representation showing information to a user | VIACOM INTERNATIONAL INC. |
10891017 | Rotating icon selection and interaction software development kit (SDK) | SPRINT COMMUNICATIONS COMPANY L.P. |
10891018 | Displaying a set of data elements | APPLE INC. |
10891019 | Dynamic thumbnail selection for search results | HUAWEI TECHNOLOGIES CO., LTD. |
10891020 | User interface for electronic backup | APPLE INC. |
10891021 | Dynamic variation for selection option information presentation | FUJITSU LIMITED |
10891022 | System and method for interfacing with a display device | NETGEAR, INC. |
10891023 | Device, method and graphical user interface for shifting a user interface between positions on a touch-sensitive display in response to detected inputs | APPLE INC. |
10891024 | Hierarchical user interface | NCR CORPORATION |
10891025 | Apparatus and method for managing operations of accessories | STEELSERIES APS |
10891026 | Disk utilization analysis | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10891027 | Navigating among activities in a computing device | QUALCOMM INCORPORATED |
10891028 | Information processing device and information processing method | SONY INTERACTIVE ENTERTAINMENT INC. |
10891029 | Reporting locations being associated with a problem | HERE GLOBAL B.V. |
10891030 | Compound animation showing user interactions | FACEBOOK, INC. |
10891031 | Method and device for displaying task management interface | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
10891032 | Image reproduction apparatus and method for simultaneously displaying multiple moving-image thumbnails | SAMSUNG ELECTRONICS CO., LTD. |
10891033 | System and method for enhanced touch selection of content | MICROSOFT TECHNOLOGY LICENSING, LLC |
10891034 | Apparatus and method of operating wearable device | SAMSUNG ELECTRONICS CO., LTD. |
10891035 | Laser finishing design tool | LEVI STRAUSS & CO. |
10891036 | User interfaces and system including same | THE PNC FINANCIAL SERVICES GROUP, INC. |
10891037 | User interfaces and system including same | THE PNC FINANCIAL SERVICES GROUP, INC. |
10891038 | Cloud-based tool for creating video interstitials | GOOGLE LLC |
10891039 | Shared real-time content editing activated by an image | NANT HOLDINGS IP, LLC |
10891040 | Systems and methods including bar-type parameter adjustment elements | GAMBRO LUNDIA AB |
10891041 | Data preparation user interface for aggregate comparison of datasets at different nodes in a process flow | TABLEAU SOFTWARE, INC. |
10891042 | Adaptive graphical user interface for appliance | ELECTROLUX APPLIANCES AKTIEBOLAG |
10891043 | Slide bar display control device and slide bar display control method | NEC CORPORATION |
10891044 | Automatic positioning of content items in a scrolling display for optimal viewing of the items | TWITTER, INC. |
10891045 | Application inspector | EBAY INC. |
10891046 | Wireless device having a rear panel control to provide advanced touch screen control | TRACFONE WIRELESS, INC. |
10891047 | Method and apparatus for unlocking terminal | LG CNS CO., LTD. |
10891048 | Method and system for user interface layer invocation | NIO USA, INC. |
10891049 | Systems and methods for content preference determination based on swipe analysis | ROVI GUIDES, INC. |
10891050 | Method and apparatus for variable impedance touch sensor arrays in non-planar controls | SENSEL, INC. |
10891051 | System and method for disabled user assistance | POYNT CO. |
10891052 | Adaptive system for optimization of non-volatile storage operational parameters | WESTERN DIGITAL TECHNOLOGIES, INC. |
10891053 | Predicting glucose trends for population management | CERNER INNOVATION, INC |
10891054 | Primary data storage system with quality of service | NEXGEN STORAGE, INC. |
10891055 | Methods, systems and devices relating to data storage interfaces for managing data address spaces in data storage devices | OPEN INVENTION NETWORK LLC |
10891056 | Virtualization of memory compute functionality | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10891057 | Optimizing flash device write operations | EMC IP HOLDING COMPANY LLC |
10891058 | Encoding slice verification information to support verifiable rebuilding | PURE STORAGE, INC. |
10891059 | Object synchronization in a clustered system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10891060 | Data storage system binding virtual volumes to host-specific protocol endpoints | EMC IP HOLDING COMPANY LLC |
10891061 | Electronic device, computer system, and control method | TOSHIBA MEMORY CORPORATION |
10891062 | Managing host communication with a regulator in a low power mode | MICRON TECHNOLOGY, INC. |
10891063 | Apparatus and methods for managing data storage among groups of memory cells of multiple reliability ranks | MICRON TECHNOLOGY, INC. |
10891064 | Optimizing connectivity in a storage system data | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10891065 | Method and system for online conversion of bad blocks for improvement of performance and longevity in a solid state drive | ALIBABA GROUP HOLDING LIMITED |
10891066 | Data redundancy reconfiguration using logical subunits | INTELLIFLASH BY DDN, INC. |
10891067 | Fast migration of metadata | COHESITY, INC. |
10891068 | Temporary relocation of data within local storage of a dispersed storage network | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10891069 | Creating local copies of data stored in online data repositories | COMMVAULT SYSTEMS, INC. |
10891070 | Managing garbage collection in a memory subsystem based on characteristics of data streams | MICRON TECHNOLOGY, INC. |
10891071 | Hardware, software and algorithm to precisely predict performance of SoC when a processor and other masters access single-port memory simultaneously | NXP USA, INC. |
10891072 | NAND flash thermal alerting | MICRON TECHNOLOGY, INC. |
10891073 | Storage apparatuses for virtualized system and methods for operating the same | RESEARCH & BUSINESS FOUNDATION SUNGKYUNKWAN UNIVERSITY |
10891074 | Key-value storage device supporting snapshot function and operating method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10891075 | Memory system and operating method thereof | SK HYNIX INC. |
10891076 | Results processing circuits and methods associated with computational memory cells | GSI TECHNOLOGY, INC. |
10891077 | Flash memory device and controlling method thereof | -- |
10891078 | Storage device with a callback response | WESTERN DIGITAL TECHNOLOGIES, INC. |
10891079 | Information processing apparatus | FUJI XEROX CO., LTD. |
10891080 | Management of non-volatile memory arrays | MENTIUM TECHNOLOGIES INC. |
10891081 | Systems and methods for asynchronous writing of synchronous write requests based on a dynamic write threshold | OPEN DRIVES LLC |
10891082 | Methods for accelerating compression and apparatuses using the same | SHANGHAI ZHAOXIN SEMICONDUCTOR CO., LTD. |
10891083 | System and method for randomizing data | MICROSEMI SOLUTIONS (US), INC. |
10891084 | Apparatus and method for providing data to a master device | ARM LIMITED |
10891085 | System, network architecture and method for accessing and controlling an electronic device | GABI SOLUTIONS, INC. |
10891086 | Job ticket conflict resolution for print jobs | RICOH COMPANY, LTD. |
10891087 | Print system, printer and non-transitory computer-readable recording medium storing instructions therefor | BROTHER KOGYO KABUSHIKI KAISHA |
10891088 | Information processing system, information processing apparatus, and non-transitory computer readable medium for transmitting a request initiated in a first network to a second network | FUJI XEROX CO., LTD. |
10891089 | System and methods for using an authentication token with a cloud-based server | KYOCERA DOCUMENT SOLUTIONS INC. |
10891090 | Image forming system | KYOCERA DOCUMENT SOLUTIONS INC. |
10891091 | Image-forming apparatus and image-forming method | SHARP KABUSHIKI KAISHA |
10891092 | Image processing apparatus, control method therefor, and storage medium to present a setting content | CANON KABUSHIKI KAISHA |
10891093 | System for operating textile printing machines including data-processing module | -- |
10891094 | Ganged imposition sort system | PTI MARKETING TECHNOLOGIES INC. |
10891095 | Image forming apparatus, printing system, and job control method | RICOH COMPANY, LTD. |
10891096 | Communication device, non-transitory computer-readable recording medium storing computer-readable instructions for communication device, and method performed by communication device | BROTHER KOGYO KABUSHIKI KAISHA |
10891097 | Receiving device and image forming apparatus | FUJI XEROX CO., LTD. |
10891098 | Display device and method for controlling display device | SEIKO EPSON CORPORATION |
10891099 | Causing movement of an interaction window with a tablet computing device | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10891100 | System and method for capturing and accessing real-time audio and associated metadata | -- |
10891101 | Method and device for adjusting the displaying manner of a slider and a slide channel corresponding to audio signal amplifying value indicated by a position of the slider | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
10891102 | Scene sound effect control method, and electronic device | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10891103 | Music-based social networking multi-media application and related methods | LOOK SHARP LABS, INC. |
10891104 | Prioritizing media content requests | SONOS, INC. |
10891105 | Systems and methods for displaying a transitional graphical user interface while loading media information for a networked media playback system | SONOS, INC. |
10891106 | Automatic batch voice commands | GOOGLE LLC |
10891107 | Processing multiple audio signals on a device | OPEN INVENTION NETWORK LLC |
10891113 | Source code rewriting during recording to provide both direct feedback and optimal code | APPLE INC. |
10891137 | Making available input/output statistics for data sets opened during initial program load | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10891143 | System, method and interactive GUI for creating on-demand user-customized instruments | RAISIN TECHNOLOGY EUROPE, S.L. |
10891162 | Methods and apparatus to improve external resource allocation for hyper-converged infrastructures based on costs analysis | VMWARE, INC. |
10891175 | System having in-memory buffer service, temporary events file storage system and events file uploader service | SALESFORCE.COM, INC. |
10891184 | Configurable data integrity mode, and memory device including same | -- |
10891187 | Memory devices having differently configured blocks of memory cells | MICRON TECHNOLOGY, INC. |
10891188 | Memory devices having differently configured blocks of memory cells | MICRON TECHNOLOGY, INC. |
10891191 | Apparatuses and methods for generating probabilistic information with current integration sensing | MICRON TECHNOLOGY, INC. |
10891192 | Updating raid stripe parity calculations | PURE STORAGE, INC. |
10891195 | Storage system with differential scanning of non-ancestor snapshot pairs in asynchronous replication | EMC IP HOLDING COMPANY LLC |
10891199 | Object-level database restore | COMMVAULT SYSTEMS, INC. |
10891200 | Data protection automatic optimization system and method | COLBALT IRON, INC. |
10891223 | Storage class memory status | MICRON TECHNOLOGY, INC. |
10891224 | Maintaining data consistency in a memory sub system that uses hybrid wear leveling operations | MICRON TECHNOLOGY, INC. |
10891225 | Host- directed sanitization of memory | MICRON TECHNOLOGY, INC. |
10891226 | Virtual address space dump in a computer system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10891232 | Page-based memory operation with hardware initiated secure storage key update | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10891235 | Cache line statuses | ARM LIMITED |
10891240 | Apparatus, methods, and systems for low latency communication in a configurable spatial accelerator | INTEL CORPORATION |
10891244 | Method and apparatus for redundant array of independent drives parity quality of service improvements | DELL PRODUCTS L.P. |
10891245 | Video device and method for embedded data capture on a virtual channel | NXP USA, INC. |
10891252 | Method and apparatus for pushing electronic book | BEIJING JINGDONG SHANGKE INFORMATION TECHNOLOGY CO., LTD. |
10891257 | Storage management system and method | EMC IP HOLDING COMPANY LLC |
10891267 | Versioning of database partition maps | AMAZON TECHNOLOGIES, INC. |
10891272 | Declarative language and visualization system for recommended data transformations and repairs | ORACLE INTERNATIONAL CORPORATION |
10891297 | Method and system for implementing collection-wise processing in a log analytics system | ORACLE INTERNATIONAL CORPORATION |
10891319 | Easy clustering of graphs of nodes preserving node relationships | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10891320 | Digital content excerpt identification | AMAZON TECHNOLOGIES, INC. |
10891325 | Defect record classification | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10891327 | Computer-based systems and methods configured to utilize automating deployment of predictive models for machine learning tasks | CAPITAL ONE SERVICES, LLC |
10891328 | System for providing life log service and method of providing the service | SAMSUNG ELECTRONICS CO., LTD. |
10891330 | Processing images from a gaze tracking device to provide location information for tracked entities | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10891347 | Computer-implemented managed references in electronic document systems | ATLASSIAN PTY LTD. |
10891348 | Identifying relevant messages in a conversation graph | TWITTER, INC. |
10891372 | Systems, methods, and products for user account authentication and protection | -- |
10891388 | Personalized access to storage device through a network | ALCATEL LUCENT |
10891390 | Adjusting data storage efficiency of data in a storage network | PURE STORAGE, INC. |
10891395 | Method and apparatus for capturing screen on mobile device | HISENSE MOBILE COMMUNICATIONS TECHNOLOGY CO., LTD. |
10891400 | Secure data transmission utilizing distributed storage | PURE STORAGE, INC. |
10891405 | Method, computer program product and apparatus for providing a building options configurator | ILLINOIS TOOL WORKS INC. |
10891430 | Semi-automated methods for translating structured document content to chat-based interaction | FUJI XEROX CO., LTD. |
10891431 | Populating electronic form data | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10891437 | Script modification | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10891468 | Method and apparatus with expression recognition | SAMSUNG ELECTRONICS CO., LTD. |
10891473 | Method and device for use in hand gesture recognition | ARCSOFT CORPORATION LIMITED |
10891482 | Systems, devices, and methods for in-field diagnosis of growth stage and crop yield estimation in a plant area | ADROIT ROBOTICS |
10891485 | Image archival based on image categories | GOOGLE LLC |
10891504 | Passenger rest compartments for remote aircraft spaces | ROCKWELL COLLINS, INC. |
10891505 | Bidirectional entry vestibule for aircraft passenger rest cabins | ROCKWELL COLLINS, INC. |
10891548 | Electromagnetic noise signal based predictive analytics | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10891566 | Managing material delivery productivity | CATERPILLAR INC. |
10891576 | System and method for recommending a transaction to replace a device based upon total cost of ownership | GABI SOLUTIONS, INC. |
10891586 | Systems and methods of detecting, identifying and classifying objects positioned on a surface | SMART SUPERVISION SYSTEM LLC |
10891594 | Calendar interface | MRP SYSTEMS PTY LTD |
10891616 | System and method for effort-based user authentication | INTENSITY ANALYTICS CORPORATION |
10891681 | Item purchase, item purchase method and refrigerator | SAMSUNG ELECTRONICS CO., LTD. |
10891688 | Systems and methods for dynamic interface changes | WELLS FARGO BANK, N.A. |
10891690 | Method and system for providing an interactive spending analysis display | INTUIT INC. |
10891695 | Real-time analysis using a database to generate data for transmission to computing devices | -- |
10891713 | Method and system for rendering image on conical surface | HUHTAMAKI CUPPRINT LTD |
10891733 | Radiographing system, radiographing method, control apparatus, and storage medium | CANON KABUSHIKI KAISHA |
10891760 | Digital overpainting controlled by opacity and flow parameters | ADOBE INC. |
10891765 | Information processing apparatus and information processing method | SONY CORPORATION |
10891771 | Information processing apparatus for creating an animation from a spherical image | RICOH COMPANY, LTD. |
10891793 | Reality to virtual reality portal for dual presence of devices | MICROSOFT TECHNOLOGY LICENSING, LLC |
10891794 | Method and system for generating augmented reality content on the fly on a user device | ARGO |
10891800 | Providing features of an electronic product in an augmented reality environment | APPLE INC. |
10891803 | User interface and functions for virtual reality and augmented reality | COMCAST CABLE COMMUNICATIONS, LLC |
10891804 | Image compensation for an occluding direct-view augmented reality system | ADOBE INC. |
10891809 | Intelligent diagnosis assistance method, device and equipment | SHENZHEN LAUNCH SOFTWARE CO., LTD |
10891853 | Method and system for classifying traffic flow | TNICO TECHNOLOGY DIVISION LTD. |
10891875 | Method, device, and non-transitory computer-readable medium for controlling tactile interface device | GACHON UNIVERSITY-INDUSTRY FOUNDATION |
10891878 | Tracking system for web-based articles | 3M INNOVATIVE PROPERTIES COMPANY |
10891880 | Method for the production, recognition, identification, reading and traceability of a seal or label, seal or label and apparatus for the recognition, identification, reading and traceability of said seal or label | PUNTO 2 S.R.L. |
10891932 | Multi-channel acoustic echo cancellation | SONOS, INC. |
10891948 | Identification of taste attributes from an audio signal | SPOTIFY AB |
10891958 | Rendering responses to a spoken utterance of a user utilizing a local text-response map | GOOGLE LLC |
10891959 | Voice message capturing system | GOOGLE LLC |
10891989 | Reduction of ZQ calibration time | MICRON TECHNOLOGY, INC. |
10892026 | Memory management method, memory storage device and memory control circuit unit | -- |
10892052 | Graphical user interfaces including touchpad driving interfaces for telemedicine devices | INTOUCH TECHNOLOGIES, INC. |
10892117 | Method for the initial adjustment of a control device for electronic equipment | C&K COMPONENTS S.A.S. |
10892304 | Display device | LG DISPLAY CO., LTD. |
10892305 | Touch structure, organic light emitting diode (OLED) display touch panel and touch display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10892306 | Display panel and display device | SHANGHAI TIANMA AM-OLED CO., LTD. |
10892307 | Fingerprint sensor, display device, and method of manufacturing display device | SAMSUNG DISPLAY CO., LTD. |
10892428 | Flexible substrate and manufacturing method thereof | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
10892444 | Display device and method for manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10892766 | Reconfigurable digital converter for converting sensing signal of plurality of sensors into digital value | DAEGU GYEONGBUK INSTITUTE OF SCIENCE AND TECHNOLOGY |
10892782 | Flexible system and method for combining erasure-coded protection sets | EMC IP HOLDING COMPANY LLC |
10892907 | Home automation system including user interface operation according to user cognitive level and related methods | K4CONNECT INC. |
10892970 | Centralized, scalable, resource monitoring system | GROUPON, INC. |
10892996 | Variable latency device coordination | APPLE INC. |
10893008 | System and method for generating and communicating communication components over a messaging channel | KOOPID, INC |
10893011 | Semantic interface definition language for action discovery in cloud services and smart devices | GLURU LIMITED |
10893036 | Business messaging interface | APPLE INC. |
10893043 | Systems and methods for secure display of data on computing devices | MASSACHUSETTS MUTUAL LIFE INSURANCE COMPANY |
10893047 | Systems and methods for providing security via interactive media | GANALILA, LLC |
10893081 | Real time collaboration and document editing by multiple participants in a content management system | DROPBOX, INC. |
10893082 | Presenting content items shared within social networks | MICROSOFT TECHNOLOGY LICENSING, LLC |
10893089 | System and method for controlling a mouse or cursor position in response to one or more input events from a local computer and/or remote computer | REALVNC LTD |
10893092 | Electronic device for sharing application and control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10893104 | Implementing a storage system using a personal user device and a data distribution device | LATTICEWORK, INC. |
10893147 | Room sensor applications and techniques | INTEL CORPORATION |
10893149 | Computer-programmed telephone-enabled devices for processing and managing numerous simultaneous voice conversations conducted by an individual over a computer network and computer methods of implementing thereof | GREEN KEY TECHNOLOGIES, INC. |
10893155 | Non-transitory computer-readable recording medium containing instructions causing device to download data from server | BROTHER KOGYO KABUSHIKI KAISHA |
10893157 | Information processing system and information processing apparatus | RICOH COMPANY, LTD. |
10893161 | Printing system operable from plurality of applications, information processing apparatus, and method and program for controlling information processing apparatus | CANON KABUSHIKI KAISHA |
10893163 | Non-transitory computer-readable recording medium storing instructions causing image outputting device to execute outputting operation | BROTHER KOGYO KABUSHIKI KAISHA |
10893165 | Information processing apparatus, method of controlling the same, and storage medium | CANON KABUSHIKI KAISHA |
10893169 | Relay apparatus, control method, and information processing system | CANON KABUSHIKI KAISHA |
10893190 | Tracking image collection for digital capture of environments, and associated systems and methods | PRENAV, INC. |
10893206 | User experience with digital zoom in video from a camera | ALARM.COM INCORPORATED |
10893219 | System and method for acquiring virtual and augmented reality scenes by a user | DROPBOX, INC. |
10893316 | Image identification based interactive control system and method for smart television | SHENZHEN PRTEK CO. LTD. |
10893325 | Display device and control method therefor | SAMSUNG ELECTRONICS CO., LTD. |
10893352 | Programmable interactive stereo headphones with tap functionality and network connectivity | -- |
10893356 | Method and appartus for adaptive audio signal alteration | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
10893364 | Expandable speaker systems | DGL GROUP LTD. |
10893460 | Method and apparatus to limit wireless connectivity roaming of multi-function devices | XEROX CORPORATION |
10893466 | Rogue base station router detection with statistical algorithms | -- |
10893622 | Cover plate for flexible display screen and flexible display screen | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10893724 | Locking mechanisms in electronic devices | MICROSOFT TECHNOLOGY LICENSING, LLC |
10893800 | Binocular measurement device, binocular measurement method, and binocular measurement program | HAMAMATSU PHOTONICS K.K. |
10893802 | Information processing apparatus, information processing method, and recording medium | SONY CORPORATION |
10893816 | Predictively controlling operational states of wearable device and related methods and systems | CAEDEN, INC. |
10893917 | System and method for enhanced data analysis with specialized video enabled software tools for medical environments | -- |
10894204 | Exo-tendon motion capture glove device with haptic grip response | CONTACT CONTROL INTERFACES, LLC |
10894206 | Programmable actuation force input for an accessory and methods thereof | STEELSERIES APS |
10894210 | System and method for interactive digital content generation | -- |
10894211 | Information processing method, apparatus, and system for executing the information processing method | COLOPL, INC. |
10894390 | Film touch sensor and method for fabricating the same | DONGWOO FINE-CHEM CO., LTD. |
10894423 | Logic circuitry | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10894476 | Display system in a vehicle and a method for control thereof | HONDA MOTOR CO., LTD. |
10894903 | Nanoparticle filled barrier adhesive compositions | 3M INNOVATIVE PROPERTIES COMPANY |
10895396 | Resilient operation of a heating, ventilation, and air conditioning system | LENNOX INDUSTRIES INC. |
10895462 | Method and system for cooperatively generating and managing a travel plan | VOLKSWAGEN AKTIENGESELLSCHAFT |
10895467 | Distributed data processing systems for processing remotely captured sensor data | ALLSTATE INSURANCE COMPANY |
10895471 | System for driver's education | STATE FARM MUTUAL AUTOMOBILE INSURANCE COMPANY |
10895478 | Sensor unit | KEYENCE CORPORATION |
10895628 | Tracking system, tracking device and tracking method | -- |
10895746 | Expanding field-of-view in direct projection augmented reality and virtual reality systems | FACEBOOK TECHNOLOGIES, LLC |
10895751 | Adjustable facial-interface systems for head-mounted displays | FACEBOOK TECHNOLOGIES, LLC |
10895757 | Systems and methods for three-dimensional visualization during robotic surgery | VERB SURGICAL INC. |
10895810 | Automatic selection of sample values for optical metrology | KLA CORPORATION |
10895837 | Image forming apparatus and control method | SHARP KABUSHIKI KAISHA |
10895868 | Augmented interface authoring | TULIP INTERFACES, INC. |
10895880 | Method for operating a self-traveling vehicle | VORWERK & CO. INTERHOLDING GMBH |
10895897 | Heat dissipating assembly for head-mounted displays | FACEBOOK TECHNOLOGIES, LLC |
10895906 | System and method for holographic image-guided non-vascular percutaneous procedures | THE CLEVELAND CLINIC FOUNDATION |
10895907 | Image editing with audio data | GOOGLE LLC |
10895908 | Targeting saccade landing prediction using visual history | TOBII AB |
10895909 | Gaze and saccade based graphical manipulation | TOBII AB |
10895910 | Adaptive eye-tracking calibration method | -- |
10895911 | Image operation method and system for eye-tracking | -- |
10895912 | Apparatus and a method for controlling a head- up display of a vehicle | JAGUAR LAND ROVER LIMITED |
10895913 | Input control for augmented reality applications | AMAZON TECHNOLOGIES, INC. |
10895914 | Methods, devices, and methods for creating control signals | -- |
10895915 | Systems, devices, and/or methods for reporting | -- |
10895917 | Multipurpose controllers and methods | MASON |
10895918 | Gesture recognition system and method | IGT |
10895919 | Gesture control method and apparatus for display screen | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10895920 | Pneumatically actuated computer input device | -- |
10895921 | Touch sensitive processing apparatus, system and operating method thereof for receiving electrical signals carrying pressure information | -- |
10895922 | Film and stylus system for emulating pen-on-paper tactile feedback | TACTUS TECHNOLOGY, INC. |
10895923 | Display panel with touch detector, touch panel, and electronic unit | JAPAN DISPLAY INC. |
10895924 | Display substrate, display panel and display device | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
10895925 | Touch display alignment | MICROSOFT TECHNOLOGY LICENSING, LLC |
10895926 | Flat panel display with integrated touch screen panel | SAMSUNG DISPLAY CO., LTD. |
10895927 | Touch display device | -- |
10895928 | Method and apparatus for emulating touch and gesture events on a capacitive touch sensor | -- |
10895929 | Display device with touch panel having X, Y and dummy electrodes | JAPAN DISPLAY INC. |
10895930 | Message erasing device and message erasing system | -- |
10895931 | Touch display apparatus | -- |
10895932 | Drift invariant processing | APPLE INC. |
10895933 | Timing control circuit and operation method thereof | -- |
10895934 | Information input device | KYOCERA DOCUMENT SOLUTIONS INC. |
10895935 | Touch panel device, touch panel device control method, program, and storage medium storing the program | FANUC CORPORATION |
10895936 | Display apparatus | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10895937 | Touch screen and manufacturing method thereof, display device | BOE TECHNOLOGY GROUP CO., LTD. |
10895938 | Touch substrate, touch panel and method of fabricating a touch panel | BOE TECHNOLOGY GROUP CO., LTD. |
10895939 | Segmented capacitive sensor, and related systems, methods and devices | ATMEL CORPORATION |
10895940 | Touch panel | SAMSUNG DISPLAY CO., LTD. |
10895941 | Display device and method of fabricating the same | SAMSUNG DISPLAY CO., LTD. |
10895942 | Writing display system, method and apparatus | BEIJING BOE TECHNOLOGY DEVELOPMENT CO., LTD. |
10895943 | Display module with touch function | -- |
10895944 | Touch control structure and manufacturing method thereof, and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10895945 | Touch sensor and touch display device having the same | SAMSUNG DISPLAY CO., LTD. |
10895946 | Systems and methods for using tiled data | PALANTIR TECHNOLOGIES INC. |
10895947 | System-wide topology and performance monitoring GUI tool with per-partition views | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10895948 | Device for displaying an energy variation and an energy variation bound of an aircraft | DASSAULT AVIATION |
10895949 | Head mounted display and display method for eye-tracking cursor | -- |
10895950 | Method and system for generating a holographic image having simulated physical properties | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10895951 | Mapping past content from providers in video content sharing community | WORMHOLE LABS, INC. |
10895952 | Method and system for performing assessment of spatial ability of a user | -- |
10895953 | Interaction with a three-dimensional internet content displayed on a user interface | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
10895954 | Providing a graphical canvas for handwritten input | APPLE INC. |
10895955 | Apparatus and method for grouping and displaying icons on a screen | SAMSUNG ELECTRONICS CO., LTD. |
10895956 | Restructuring view of messages based on configurable persistence | MICROSOFT TECHNOLOGY LICENSING, LLC |
10895957 | Extracorporeal blood treatment flow rate adjustment | GAMBRO LUNDIA AB |
10895958 | Electronic document management device, electronic document management system, and electronic document management program | WINGARC1ST INC. |
10895959 | Systems and methods for fan interaction, team/player loyalty, and sponsor participation | EKRALLY LLC |
10895960 | Recommendation method implemented in electronic device | -- |
10895961 | Progressive information panels in a graphical user interface | A9.COM, INC. |
10895962 | Apparatus and method for facilitating browser navigation | NOKIA TECHNOLOGIES OY |
10895963 | Using sections for customization of applications across platforms | MICROSOFT TECHNOLOGY LICENSING, LLC |
10895964 | Interface to display shared user groups | SNAP INC. |
10895965 | Indicating potential focus in a user interface | GOOGLE LLC |
10895966 | Selection using a multi-device mixed interactivity system | MICROSOFT TECHNOLOGY LICENSING, LLC |
10895967 | Display device and method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10895968 | Graphical user interface customization in a movable object environment | DJI RESEARCH LLC |
10895969 | Input apparatus acceptable of input through enlarged images in a display and computer-readable storage medium therefor | BROTHER KOGYO KABUSHIKI KAISHA |
10895970 | Display control to implement a control bar | MICROSOFT TECHNOLOGY LICENSING, LLC |
10895971 | Methods, systems, and devices for mapping, controlling, and displaying device status | IROBOT CORPORATION |
10895972 | Object time series system and investigation graphical user interface | PALANTIR TECHNOLOGIES INC. |
10895973 | Browsing hierarchical datasets | GOOGLE LLC |
10895974 | Topic image flows | MICRO FOCUS LLC |
10895975 | Systems and methods for using displayed data marks in a dynamic data visualization interface | TABLEAU SOFTWARE, INC. |
10895976 | Systems and methods for using analytic objects in a dynamic data visualization interface | TABLEAU SOFTWARE, INC. |
10895977 | Smart vanity mirror speaker system | FOREVER GIFTS, INC. |
10895978 | Numerical controller | FANUC CORPORATION |
10895979 | Methods and user interfaces for positioning a selection, selecting, and editing, on a computing device running under a touch-based operating system, using gestures on a touchpad device | -- |
10895980 | Electronic system with palm recognition, vehicle and method for operating the same | -- |
10895981 | Writing program, and character input device equipped with the same | -- |
10895982 | Input device and symbol display method thereof | -- |
10895983 | Memory element profiling and operational adjustments | MICRON TECHNOLOGY, INC. |
10895984 | Fabric attached storage | NETAPP, INC. |
10895985 | Real-time estimation of working sets | AMAZON TECHNOLOGIES, INC. |
10895986 | Control apparatus and non-transitory recording medium having stored therein control program | FUJITSU LIMITED |
10895987 | Memory compression method of electronic device and apparatus thereof | SAMSUNG ELECTRONICS CO., LTD. |
10895988 | Measuring latency in storage area networks | EMC IP HOLDING COMPANY LLC |
10895989 | Multi-node storage system and queue control method of multi-node storage system | HITACHI, LTD. |
10895990 | Memory system capable of accessing memory cell arrays in parallel | TOSHIBA MEMORY CORPORATION |
10895991 | Solid state device with improved sustained data writing speed | WESTERN DIGITAL TECHNOLOGIES, INC. |
10895992 | Memory fabric operations and coherency using fault tolerant objects | ULTRATA LLC |
10895993 | Shared network-available storage that permits concurrent data access | COMMVAULT SYSTEMS, INC. |
10895994 | File access control on magnetic tape by encrypting metadata | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10895995 | Capacity based load balancing in distributed storage systems with deduplication and compression functionalities | VMWARE, INC. |
10895996 | Data synchronization method, system, and apparatus using a work log for synchronizing data greater than a threshold value | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
10895997 | Durable client-side caching for distributed storage | NUTANIX, INC. |
10895998 | Controller and operating method thereof | SK HYNIX INC. |
10895999 | Data reading method, storage controller and storage device | SHENZHEN EPOSTAR ELECTRONICS LIMITED CO. |
10896000 | Submission queue commands over fabrics | PURE STORAGE, INC. |
10896001 | Notifications in integrated circuits | AMAZON TECHNOLOGIES, INC. |
10896002 | Reverse directory structure in a garbage collection unit (GCU) | SEAGATE TECHNOLOGY LLC |
10896003 | Data storage device and system with interruption optimization | SHANNON SYSTEMS LTD. |
10896004 | Data storage device and control method for non-volatile memory, with shared active block for writing commands and internal data collection | -- |
10896005 | Electronic systems and methods of operating electronic systems | SAMSUNG ELECTRONICS CO., LTD. |
10896006 | Log-structured storage systems | ADVANCED NEW TECHNOLOGIES CO., LTD. |
10896007 | Workload balancing in a distributed storage system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10896008 | Display apparatus, printer, print control apparatus, and recording medium | FUJI XEROX CO., LTD. |
10896009 | Image forming system, image forming apparatus, and setting method that increase efficiency of settings | KYOCERA DOCUMENT SOLUTIONS INC. |
10896010 | Image forming apparatus that sets a standby time based on a job history and control method of the image forming apparatus | TOSHIBA TEC KABUSHIKI KAISHA |
10896011 | Mobile device for automatically delivering print jobs to printers | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10896012 | Image processing apparatus, image processing method, and storage medium | CANON KABUSHIKI KAISHA |
10896013 | Printing device pool | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10896014 | Print job transmitting apparatus, print system | KYOCERA DOCUMENT SOLUTIONS INC. |
10896015 | Print job transmitting apparatus, print system | KYOCERA DOCUMENT SOLUTIONS INC. |
10896016 | Information processing apparatus, control method, and storage medium | CANON KABUSHIKI KAISHA |
10896017 | Multi-panel display system and method for jointly displaying a scene | -- |
10896018 | Identifying solutions from images | SAP SE |
10896019 | Screen sharing system, screen sharing method, and storage medium | RICOH COMPANY, LTD. |
10896020 | System for processing service requests relating to unsatisfactory performance of hearing devices, and components of such system | GN HEARING A/S |
10896021 | Dynamically preventing audio underrun using machine learning | NVIDIA CORPORATION |
10896025 | Specifying components in graph-based programs | AB INITIO TECHNOLOGY LLC |
10896046 | Management processor using code from peripheral device | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
10896047 | Booting a computer using a wireless network connection | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10896049 | Correlating user interface design types to user groups | SALESFORCE.COM, INC. |
10896050 | Systems, methods, and apparatus that provide multi-functional links for interacting with an assistant agent | GOOGLE LLC |
10896061 | Burst throttling for multi-tenant storage services | AMAZON TECHNOLOGIES, INC. |
10896068 | Ensuring the fair utilization of system resources using workload based, time-independent scheduling | PURE STORAGE, INC. |
10896088 | Metadata recovery mechanism for page storage | SEAGATE TECHNOLOGY LLC |
10896089 | System level data-loss protection using storage device local buffers | WESTERN DIGITAL TECHNOLOGIES, INC. |
10896091 | Managing superparity storage location usage and coverage | SEAGATE TECHNOLOGY LLC |
10896098 | Providing and managing data protection by using incremental forever for storage to cloud object stores | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10896099 | Memory module with non-volatile backup storage | RAMBUS INC |
10896100 | Buffered virtual machine replication | COMMVAULT SYSTEMS, INC. |
10896105 | SAS storage virtualization controller, subsystem and system using the same, and method therefor | -- |
10896131 | System and method for configuring a storage device based on prediction of host source | WESTERN DIGITAL TECHNOLOGIES, INC. |
10896134 | Informative value in indexing, classifying, searching | SK HYNIX INC. |
10896136 | Storage system including secondary memory that predicts and prefetches data | SAMSUNG ELECTRONICS CO., LTD. |
10896137 | Non-volatile memory for secure storage of authentication data | CRYPTOGRAPHY RESEARCH, INC. |
10896142 | Non-volatile memory out-of-band management interface for all host processor power states | INTEL CORPORATION |
10896144 | Automated configuring of computer system settings for peripheral device(s) | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10896145 | Communications control system with a serial communications interface and a parallel communications interface | BEDROCK AUTOMATION PLATFORMS INC. |
10896161 | Integrated computing environment for managing and presenting design iterations | ADOBE INC. |
10896170 | Automated workflow integration of data from multiple data sources | MICROSOFT TECHNOLOGY LICENSING, LLC |
10896191 | Creation of real-time conversations based on social location information | FACEBOOK, INC. |
10896205 | Interactive on-demand hypercube synthesis based multi-dimensional drilldown and a pivotal analysis tool and methods of use | PELATRO PTE. LTD. |
10896210 | Information processing apparatus to suggest a service in response to a requested service content based on use and non-use history of the service | FUJI XEROX CO., LTD. |
10896213 | Interface for a distributed network system | GOOGLE LLC |
10896219 | Information processing apparatus, data structure of image file, and non-transitory computer readable medium | FUJI XEROX CO., LTD. |
10896234 | Interactive geographical map | PALANTIR TECHNOLOGIES INC. |
10896235 | Connecting a printed document to related digital content | TYNDALE HOUSE PUBLISHERS, INC. |
10896238 | Preview search results | A9.COM, INC. |
10896250 | Biometric authentication apparatus and biometric authentication method | FUJITSU LIMITED |
10896262 | Document management system and management apparatus | FUJI XEROX CO., LTD. |
10896284 | Transforming data to create layouts | MICROSOFT TECHNOLOGY LICENSING, LLC |
10896286 | Modular systems and methods for selectively enabling cloud-based assistive technologies | AUDIOEYE, INC. |
10896287 | Identifying and modifying specific user input | LENOVO (SINGAPORE) PTE. LTD. |
10896289 | Event list management system | BENTLY NEVADA, LLC |
10896293 | Information processing apparatus and information processing method | SONY CORPORATION |
10896297 | Identifying intent in visual analytical conversations | TABLEAU SOFTWARE, INC. |
10896299 | Information processing device and information processing method | FELICA NETWORKS, INC. |
10896311 | Acoustic fingerprint representation acquisition technique | FINGERPRINT CARDS AB |
10896329 | Method for performing and visualizing analytics operations over data using augmented reality | OHIO STATE INNOVATION FOUNDATION |
10896330 | Electronic device, interactive information display method and computer readable recording medium | -- |
10896359 | Shifting a power state of an image processing apparatus based on a command from an information processing apparatus | CANON KABUSHIKI KAISHA |
10896370 | Triage of training data for acceleration of large-scale machine learning | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10896375 | Cognitive replication through augmented reality | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10896376 | Cognitive replication through augmented reality | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10896403 | Systems and methods for managing dated products | VOCOLLECT, INC. |
10896416 | Method and system for one-touch fueling authorization | SAFEWAY INC. |
10896442 | Activating display and performing additional function in mobile terminal with one-time user input | FIRSTFACE CO., LTD. |
10896447 | Methods and systems for reducing inadvertent interactions with advertisements displayed on a computing device | GOOGLE LLC |
10896450 | System and methods for using transaction data to identify computing devices capable of performing transactions subject to transaction parameters | COUPA SOFTWARE INCORPORATED |
10896478 | Image grid with selectively prominent images | GOOGLE LLC |
10896484 | Method and system of display object pre-generation on windows of idle time available after each frame buffer fill tasks | FACEBOOK, INC. |
10896497 | Inconsistency detecting system, mixed-reality system, program, and inconsistency detecting method | CYGAMES, INC. |
10896500 | Display and method for displaying dynamic information of object | -- |
10896532 | Interactive data visualization user interface with multiple interaction profiles | TABLEAU SOFTWARE, INC. |
10896533 | Automated identification of geographic site boundaries | VERIZON PATENT AND LICENSING INC. |
10896536 | Providing output surface data to a display in data processing systems | ARM LIMITED |
10896538 | Systems and methods for simulated light source positioning in rendered images | KONINKLIJKE PHILIPS N.V. |
10896543 | Methods and systems for augmented reality to display virtual representations of robotic device actions | X DEVELOPMENT LLC |
10896544 | System and method for providing simulated environment | -- |
10896545 | Near eye display interface for artificial reality applications | FACEBOOK TECHNOLOGIES, LLC |
10896547 | Systems and methods of augmented reality visualization based on sensor data | THE BOEING COMPANY |
10896548 | Identity-based inclusion/exclusion in a computer generated reality experience | APPLE INC. |
10896559 | Electronic device containment system with contained device operation capability | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10896573 | Decomposition of displayed elements using gaze detection | IGT |
10896585 | Method and system for provisioning an electronic device | GOOGLE LLC |
10896600 | Apparatus, system and method for using a universal controlling device for displaying a graphical user element in a display device | UNIVERSAL ELECTRONICS INC. |
10896610 | Position-finding assist system | TOYOTA JIDOSHA KABUSHIKI KAISHA |
10896614 | Data processing system with machine learning engine to provide roadside assistance functions | ALLSTATE INSURANCE COMPANY |
10896633 | Method for driving display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10896639 | Display apparatus and driving method thereof | -- |
10896672 | Automatically determining language for speech recognition of spoken utterance received via an automated assistant interface | GOOGLE LLC |
10896678 | Oral communication device and computing systems for processing data and outputting oral feedback, and related methods | FACET LABS, LLC |
10896686 | Methods and systems for providing images for facilitating communication | CAPITAL ONE SERVICES, LLC |
10896712 | Open page biasing techniques | MICRON TECHNOLOGY, INC. |
10896715 | Dynamic memory refresh interval to reduce bandwidth penalty | MICRON TECHNOLOGY, INC. |
10896717 | Pseudo-non-volatile memory cells | MICRON TECHNOLOGY, INC. |
10896724 | Non-volatile storage system with reduced program transfers | WESTERN DIGITAL TECHNOLOGIES, INC. |
10896747 | ECG interpretation system | ENLITIC, INC. |
10896753 | Lung screening assessment system | ENLITIC, INC. |
10896762 | 3D web-based annotation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10896766 | System, method and apparatus for real-time access to networked radiology data | -- |
10896921 | Manufacturing method of array substrate | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10896943 | Display device | SAMSUNG DISPLAY CO., LTD. |
10896945 | Display device including concave/convex structure in the inorganic insulation layer | JAPAN DISPLAY INC. |
10897027 | Organic electroluminescent display device and method for producing same | SAKAI DISPLAY PRODUCTS CORPORATION |
10897273 | System-level error correction coding allocation based on device population data integrity sharing | WESTERN DIGITAL TECHNOLOGIES, INC. |
10897359 | Controlled storage device access | BRITISH TELECOMMUNICATIONS PUBLIC LIMITED COMPANY |
10897362 | De-duplication of encrypted data | NOKIA TECHNOLOGIES OY |
10897435 | Instant messaging method and system, and electronic apparatus | -- |
10897447 | Computerized system and method for automatically performing an implicit message search | VERIZON MEDIA INC. |
10897449 | Social media messaging platform for creating and sharing moments | TWITTER, INC. |
10897468 | Region management for distirbuted services in provider networks | AMAZON TECHNOLOGIES, INC. |
10897482 | Method, device, and system of back-coloring, forward-coloring, and fraud detection | BIOCATCH LTD. |
10897486 | Display control apparatus, display control method, program, and communication system | SONY CORPORATION |
10897490 | Systems and methods for augmenting electronic content | E-PLAN, INC. |
10897502 | Systems and methods for device migration | OVH |
10897506 | Managing port connections | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
10897519 | System for setting and controlling functionalities of mobile devices | MOBILE HEARTBEAT, LLC |
10897528 | Head-mounted display apparatus for retaining a portable electronic device with display | APPLE INC. |
10897530 | Input method, device and system | GOERTEK INC. |
10897531 | Message obtaining method and apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
10897538 | Artificial intelligence for a graphically interactive voice response system | TALKDESK INC. |
10897544 | Image forming apparatus with an improved security system for prohibiting printing areas | KYOCERA DOCUMENT SOLUTIONS INC. |
10897548 | Image forming apparatus which reads page numbers of a document | KYOCERA DOCUMENT SOLUTIONS INC. |
10897553 | Print system to which two information processing apparatuses are connected, information processing apparatus, control methods therefor, and storage media storing programs for executing these control methods | CANON KABUSHIKI KAISHA |
10897567 | Systems and methods for controlling an image captured by an imaging device | SZ DJI TECHNOLOGY CO., LTD. |
10897568 | Electronic device | CANON KABUSHIKI KAISHA |
10897582 | Mobile terminal and control method therefor | LG ELECTRONICS INC. |
10897598 | Content amplification system and method | STEELCASE INC. |
10897606 | System and method for presenting virtual reality content to a user | MINDSHOW INC. |
10897639 | Generating media content keywords based on video-hosting website content | ROVI GUIDES, INC. |
10897671 | 5GHz multichannel lossless wireless audio system | -- |
10897679 | Zone scene management | SONOS, INC. |
10897680 | Orientation-based device interface | GOOGLE LLC |
10897853 | Temporal, irradiance-controlled photoacclimation | FLUENCE BIOENGINEERING, INC. |
10897931 | Visualization system and method for electronic vapor provision systems | BRITISH AMERICAN TOBACCO (INVESTMENTS) LIMITED |
10897940 | Gloves having measuring, scanning, and displaying capabilities | HAND HELD PRODUCTS, INC. |
10898151 | Real-time rendering and referencing for medical procedures | MEDTRONIC, INC. |
10898190 | Secondary battery arrangements for powered surgical instruments | ETHICON LLC |
10898274 | Measuring a length of movement of an elongate intraluminal device | KONINKLIJKE PHILIPS N.V. |
10898760 | Coordinating workouts across remote exercise machines | PELOTON INTERACTIVE, INC. |
10898795 | Gameplay using mobile device sensors | DISNEY ENTERPRISES, INC. |
10898796 | Electronic controller with finger sensing and an adjustable hand retainer | VALVE CORPORATION |
10898799 | Gaming accessory with sensory feedback device | STEELSERIES APS |
10898801 | Selecting properties using handheld controllers | VALVE CORPORATION |
10898817 | Playground climbing structures | PLAYCORE WISCONSIN, INC. |
10899137 | Electronic apparatus | SEIKO EPSON CORPORATION |
10899229 | Operating system with three-dimensional display for a vehicle | AUDI AG |
10899271 | Safety alert system for postal and delivery vans and trucks | -- |
10899277 | Vehicular vision system with reduced distortion display | MAGNA ELECTRONICS INC. |
10899315 | Mobile device-enhanced user selection of specific rental vehicles for a rental vehicle reservation | THE CRAWFORD GROUP, INC. |
10899599 | Systems and methods for controlling dual modulation displays | DOLBY LABORATORIES LICENSING CORPORATION |
10900204 | Water management using water consuming behavior to control water flow | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10900687 | Flexible scheduling HVAC graphical user interface and methods of use thereof | TRANE INTERNATIONAL INC. |
10900766 | Integrated passive circuit elements for sensing devices | 3M INNOVATIVE PROPERTIES COMPANY |
10900801 | Augmented reality directions utilizing physical reference markers | CAPITAL ONE SERVICES, LLC |
10900824 | System and method for weighing animal feed ingredients | MWI VETERINARY SUPPLY CO. |
10900839 | Systems and methods for illuminating paint color chip locations within a display assembly | BEHR PROCESS CORPORATION |
10900891 | Analyzing reflectance based color changes in sensing applications | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
10900991 | Calculating pace and energy expenditure from athletic movement attributes | NIKE, INC. |
10900992 | Calculating pace and energy expenditure from athletic movement attributes | NIKE, INC. |
10901029 | Chip and chip burning method | HUAWEI TECHNOLOGIES CO., LTD. |
10901081 | Virtual reality safety | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10901205 | Focus adjusting liquid crystal lenses in a head-mounted display | FACEBOOK TECHNOLOGIES, LLC |
10901214 | Method and device for controlling display of image and head-mounted display | NETEASE (HANGZHOU) NETWORK CO., LTD. |
10901216 | Free space multiple laser diode modules | GOOGLE LLC |
10901218 | Hybrid reality system including beacons | -- |
10901223 | Beam angle sensor in virtual/augmented reality system | MAGIC LEAP, INC. |
10901229 | Systems and methods of providing visual information with one dimensional pupil expansion | MICROSOFT TECHNOLOGY LICENSING, LLC |
10901255 | Liquid crystal display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10901256 | Touch panel module, touch device and manufacturing method thereof | TPK TOUCH SOLUTIONS (XIAMEN) INC. |
10901257 | Display panel and display device | -- |
10901282 | Thin film transistor substrate and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10901394 | Manufacturing process data collection and analytics | SAP SE |
10901430 | Autonomous robotic avatars | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10901451 | Input device | ALPS ALPINE CO., LTD. |
10901454 | Clock buffering to reduce memory hold time | QUALCOMM INCORPORATED |
10901460 | Wearable computing device | PROXY, INC. |
10901461 | Flexible touch display cover window layer design | -- |
10901462 | System and method for touch input | SAMSUNG ELECTRONICS CO., LTD. |
10901465 | Foldable electronic device, foldable display device, and methods of controlling foldable electronic device and foldable display device | -- |
10901469 | Touch module | -- |
10901482 | Reduced-size user interfaces for battery management | APPLE INC. |
10901485 | Clock-forwarding memory controller with mesochronously-clocked signaling interface | RAMBUS INC. |
10901494 | Method and a system for providing gesture-based interaction with a virtual product | WIPRO LIMITED |
10901495 | Techniques for multi-finger typing in mixed-reality | MICROSOFTTECHNOLOGY LICENSING, LLC |
10901496 | Image processing apparatus, image processing method, and program | SONY INTERACTIVE ENTERTAINMENT INC. |
10901497 | System and method of gesture detection for a remote device | INFINEON TECHNOLOGIES AG |
10901498 | Method and device for inputting password in virtual reality scene | ADVANCED NEW TECHNOLOGIES CO., LTD. |
10901499 | System and method of instantly previewing immersive content | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
10901500 | Eye gaze for spoken language understanding in multi-modal conversational interactions | MICROSOFT TECHNOLOGY LICENSING, LLC |
10901501 | Ambient light intensity adjustment based upon pupil size | LENOVO (SINGAPORE) PTE. LTD. |
10901502 | Reducing head mounted display power consumption and heat generation through predictive rendering of content | FACEBOOK, INC. |
10901503 | Agent apparatus, agent control method, and storage medium | HONDA MOTOR CO., LTD. |
10901504 | Gaze detection apparatus and congestion control method thereof | HYUNDAI MOTOR COMPANY |
10901505 | Eye-based activation and tool selection systems and methods | TECTUS CORPORATION |
10901506 | Finger-gesture detection device for control handle use in virtual reality, control assembly having the same and correction method for virtual reality system | -- |
10901507 | Bioelectricity-based control method and apparatus, and bioelectricity-based controller | HUAWEI TECHNOLOGIES CO., LTD. |
10901508 | Fused electroencephalogram and machine learning for precognitive brain-computer interface for computer control | X DEVELOPMENT LLC |
10901509 | Wearable computing apparatus and method | INTERAXON INC. |
10901510 | Haptic feedback system having two independent actuators | MICROSOFT TECHNOLOGY LICENSING, LLC |
10901511 | Methods and systems for selecting media guidance functions based on tactile attributes of a user input | ROVI GUIDES, INC. |
10901512 | Techniques for simulated physical interaction between users via their mobile computing devices | GOOGLE LLC |
10901513 | Devices, methods, and graphical user interfaces for haptic mixing | APPLE INC. |
10901514 | Devices, methods, and graphical user interfaces for generating tactile outputs | APPLE INC. |
10901515 | Vehicular interface system for launching an application | TESLA, INC. |
10901516 | Gesture recognition method, corresponding circuit, device and computer program product | STMICROELECTRONICS S.R.L. |
10901517 | Methods and apparatuses for controlling a system via a sensor | ATHEER, INC. |
10901518 | User-defined virtual interaction space and manipulation of virtual cameras in the interaction space | ULTRAHAPTICS IP TWO LIMITED |
10901519 | Cursor mode switching | ULTRAHAPTICS IP TWO LIMITED |
10901520 | Content capture experiences driven by multi-modal user inputs | MICROSOFT TECHNOLOGY LICENSING, LLC |
10901521 | Apparatus and method for manipulating objects with gesture controls | NANOTRONICS IMAGING, INC. |
10901522 | Information processing system, apparatus and method for multi user soft keyboards | FUJITSU LIMITED |
10901523 | Flip cover wireless keyboard which utilizes wireless protocols for harvesting power and communicating with digital apparatus | ONE2TOUCH AS |
10901524 | Mitigating unintentional triggering of action keys on keyboards | MICROSOFT TECHNOLOGY LICENSING, LLC |
10901525 | Image display control device, image display system, and computer program product | RICOH COMPANY, LTD. |
10901526 | Image display control device, image display system, and computer program product | RICOH COMPANY, LTD. |
10901527 | Optical navigation system and non-transitory computer readable medium can perform optical navigation system control method | -- |
10901528 | Method and apparatus for adjusting orientation, and electronic device | BEIJING ZHIGU RUI TUO TECH CO., LTD |
10901529 | Double-tap event detection device, system and method | STMICROELECTRONICS S.R.L. |
10901530 | Three-dimensional magnetic sensor based finger motion capture interface device | CENTER OF HUMAN-CENTERED INTERACTION FOR COEXISTENCE |
10901531 | Method for controlling pointer in virtual reality and electronic device | SAMSUNG ELECTRONICS CO., LTD. |
10901532 | Image display apparatus having touch detection and menu erasing | SHARP KABUSHIKI KAISHA |
10901533 | Real handwriting stylus and a touch device | GUANGDONG ZONGHUA TOUCH CONTROL TECHNOLOGY CO LTD. |
10901534 | Identification component, writing instrument, and handwriting input system | SHARP KABUSHIKI KAISHA |
10901535 | Active stylus, touch control device and touch control method | BOE TECHNOLOGY GROUP CO., LTD. |
10901536 | Sensor controller | WACOM CO., LTD. |
10901537 | Writing input apparatus | SHARP KABUSHIKI KAISHA |
10901538 | Pen mouse | -- |
10901539 | Input modules associated with multiple input interfaces | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10901540 | Digital jukebox device with improved user interfaces, and associated methods | TOUCHTUNES MUSIC CORPORATION |
10901541 | Display device | SAMSUNG DISPLAY CO., LTD. |
10901542 | Flexible organic light emitting display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10901543 | Touch screen with transparent electrode structure | APPLE INC. |
10901544 | Display substrate and method of manufacturing the same, display device | BOE TECHNOLOGY GROUP CO., LTD. |
10901545 | Sensor having a mesh layer with protrusions, and method | NEW YORK UNIVERSITY |
10901546 | Display panel, display device, and pressure sensing method | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
10901547 | Touch sensor assembly having a pressing tab, piezo disc, and oxidation prevention film | LG ELECTRONICS INC. |
10901548 | Touch screen rear projection display | OMNIVISION TECHNOLOGIES, INC. |
10901549 | Program, computer apparatus, program execution method, and system | SQUARE ENIX CO., LTD. |
10901550 | Display touch scanning method and chip thereof, non-volatile storage medium and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
10901551 | Method and system for touchless gesture detection and hover and touch detection | MICROCHIP TECHNOLOGY INCORPORATED |
10901552 | Signal processing circuit and related method of processing sensing signal | -- |
10901553 | Method for responding to touch operation and electronic device | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10901554 | Terminal, method and device for recognizing obstacle, and storage medium | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
10901555 | Thin couplers and reflectors for sensing waveguides | BEECHROCK LIMITED |
10901556 | Instrument detection with an optical touch sensitive device | BEECHROCK LIMITED |
10901557 | PCAP with enhanced immunity to water contaminants | ELO TOUCH SOLUTIONS, INC. |
10901558 | Highly sensitive capacitive touch with resonant coupling | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10901559 | Disappearing button or slider | APPLE INC. |
10901560 | Children's toys with capacitive touch interactivity | KIDS2, INC. |
10901561 | Conductive film, touch panel sensor, and touch panel | FUJIFILM CORPORATION |
10901562 | Movement enhanced detection | TACTUAL LABS CO. |
10901563 | Touch panel sensor, touch panel device and display device | DAI NIPPON PRINTING CO., LTD |
10901564 | Electrode pattern for capacitive touch sensor | 3M INNOVATIVE PROPERTIES COMPANY |
10901565 | Portable computer | TSINGHUA UNIVERSITY |
10901566 | Electronic device | SAMSUNG DISPLAY CO., LTD. |
10901567 | Touch screen panel and display apparatus with integrated touch screen | LG DISPLAY CO., LTD. |
10901568 | Method and apparatus for recommending a solution based on a user operation behavior | BAIDU ONLINE NETWORK TECHNOLOGY (BEIJING) CO., LTD. |
10901569 | Integration of tools | WALMART APOLLO, LLC |
10901570 | Input placement control guidance on a display | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10901571 | Visual field guidance method, computer-readable storage medium, and visual field guidance apparatus | FUJITSU LIMITED |
10901572 | Reproduction device and display control method | SONY CORPORATION |
10901573 | Generating predictive action buttons within a graphical user interface | AIRWATCH LLC |
10901574 | Mobile terminal and method for multi-tasking using an extended region to display related content | LG ELECTRONICS INC. |
10901575 | Apparatus for editing graphic objects | LSIS CO., LTD. |
10901576 | Color selection and display | SWIMC LLC |
10901577 | Methods and systems for input suggestion | GOOGLE LLC |
10901578 | Selection attractive interfaces, systems and apparatuses including such interfaces, methods for making and using same | QUANTUM INTERFACE LLC |
10901579 | Display method and display apparatus | SEIKO EPSON CORPORATION |
10901580 | Associating ancillary information with an application user interface | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10901581 | Assimilation method and system for browser and non-browser display window | CHARTIQ, INC. |
10901582 | Information processing apparatus, communication system, and image processing method | RICOH COMPANY, LTD. |
10901583 | Systems and methods for visual definition of data associations | PALANTIR TECHNOLOGIES INC. |
10901584 | Devices, methods, and systems for manipulating user interfaces | APPLE INC. |
10901585 | Iterative detection of forms-usage patterns | ADOBE INC. |
10901586 | Augmented physical and virtual manipulatives | PEARSON EDUCATION, INC. |
10901587 | Widget area adjustment method and apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
10901588 | Method and apparatus for location and calibration for controls on a touch surface | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
10901589 | Automatic zoom-loupe creation, selection, layout, and rendering based on interaction with crop rectangle | ADOBE INC. |
10901590 | Electronic device having touch screen and function controlling method of the same | SAMSUNG ELECTRONICS CO., LTD. |
10901591 | Graphical user interface customization for automating complex operations | AMAZON TECHNOLOGIES, INC. |
10901592 | Integrated multi-platform user interface/user experience | SONY CORPORATION |
10901593 | Configuring components in a display template based on a user interface type | SALESFORCE.COM, INC. |
10901594 | Graphical user interface element adjustment | EBAY INC. |
10901595 | Multi-level constrained communication system | CASBU, LLC |
10901596 | System and method for focusing a moving image camera | ARNOLD & RICHTER CINE TECHNIK GMBH & CO. BETRIEBS KG |
10901597 | ATM screen personalization | WELLS FARGO BANK, N.A. |
10901598 | Method for adjusting interface scrolling speed, related device, and computer program product | HUAWEI TECHNOLOGIES CO., LTD. |
10901599 | Playback system, recording medium, and playback control method | RICOH COMPANY, LTD. |
10901600 | System and method to perform an allocation using a continuous two direction swipe gesture | THE TORONTO-DOMINION BANK |
10901601 | Device, method, and graphical user interface for managing concurrently open software applications | APPLE INC. |
10901602 | Selective hibernation of activities in an electronic device | QUALCOMM INCORPORATED |
10901603 | Visual messaging method and system | CONVERSANT TEAMWARE INC. |
10901604 | Transformation of data object based on context | MICROSOFT TECHNOLOGY LICENSING, LLC |
10901605 | Electronic whiteboard, method for displaying data, and image processing system | RICOH COMPANY, LTD. |
10901606 | Methods of direct manipulation of multi-layered user interfaces | QUALCOMM INCORPORATED |
10901607 | Carouseling between documents and pictures | MICROSOFT TECHNOLOGY LICENSING, LLC |
10901608 | Method for recognizing a screen-off gesture, and storage medium and terminal thereof | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10901609 | Surface wrapped user interface touch control | HARMAN INTERNATIONAL INDUSTRIES, INCORPORATED |
10901610 | Electronic device and method of executing function thereof | SAMSUNG ELECTRONICS CO., LTD. |
10901611 | Messaging device having a graphical user interface for initiating communication to recipients | T-MOBILE USA, INC. |
10901612 | Alternate video summarization | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10901613 | Navigating virtual environments | FLYING WISDOM STUDIOS |
10901614 | Method and terminal for determining operation object | HUAWEI TECHNOLOGIES CO., LTD. |
10901615 | Systems and methods for storage modeling and costing | COMMVAULT SYSTEMS, INC. |
10901616 | Catastrophic data loss prevention by global coordinator | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10901617 | Memory access scheduling using category arbitration | APPLE INC. |
10901618 | Storage unit (SU) operative within non-disruptive and performant migration | PURE STORAGE, INC. |
10901619 | Selecting pages implementing leaf nodes and internal nodes of a data set index for reuse | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10901620 | Storage system and method for thin provisioning | WESTERN DIGITAL TECHNOLOGIES, INC. |
10901621 | Dual-level storage device reservation | RED HAT, INC. |
10901622 | Adjustable NAND write performance | MICRON TECHNOLOGY, INC. |
10901623 | Memory device including mixed non-volatile memory cell types | MICRON TECHNOLOGY, INC. |
10901624 | Dummy host command generation for supporting higher maximum data transfer sizes (MDTS) | WESTERN DIGITAL TECHNOLOGIES, INC. |
10901625 | Memory system | TOSHIBA MEMORY CORPORATION |
10901626 | Storage device | HITACHI, LTD. |
10901627 | Tracking persistent memory usage | AMAZON TECHNOLOGIES, INC. |
10901628 | Method for operating storage drives, and system thereof | WIPRO LIMITED |
10901629 | Method and apparatus for managing health of a storage medium in a storage device | HUAWEI TECHNOLOGIES CO., LTD. |
10901630 | Method and apparatus for determining data duplication progress in real-time | EMC IP HOLDING COMPANY LLC |
10901631 | Efficient adaptive read-ahead in log structured storage | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10901632 | Managing data for a data storage system | WESTERN DITIGAL TECHNOLOGIES, INC. |
10901633 | Memory system for increasing a read reclaim count | SK HYNIX INC. |
10901634 | Self-healing in a storage system where critical storage group is rebuilt with different raid geometry using remaining functioning drives (in the critical storage group) that have not failed, and not all data, drained from the critical storage group, is stopped in the critical storage group that is rebuilt | SEAGATE TECHNOLOGY LLC |
10901635 | Mapped redundant array of independent nodes for data storage with high performance using logical columns of the nodes with different widths and different positioning patterns | EMC IP HOLDING COMPANY LLC |
10901636 | Target optimized auto provisioning of storage in a discoverable storage network | OVH US LLC |
10901637 | Allocating storage system ports to virtual machines | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10901638 | Cascading board and SSD shared remote access system and method | HUAWEI TECHNOLOGIES CO., LTD. |
10901639 | Memory allocation in multi-core processors | SAP SE |
10901640 | Memory access system and method | HUAWEI TECHNOLOGIES CO., LTD. |
10901641 | Method and system for inline deduplication | DELL PRODUCTS L.P. |
10901642 | Managing data container instances in a dispersed storage network | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10901643 | Using log objects in object storage for durability of file objects in volatile memory | AMAZON TECHNOLOGIES, INC. |
10901644 | Data-aware orchestration | MICROSOFT TECHNOLOGY LICENSING, LLC |
10901645 | Converting small extent storage pools into large extent storage pools in place | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10901646 | Update of RAID array parity | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10901647 | Distributed copy engine | INTEL CORPORATION |
10901648 | Distributed data storage system with automatic snapshots, user snapshots and soft delete | MICROSOFT TECHNOLOGY LICENSING, LLC |
10901649 | Storage reclamation for distributed storage systems | VMWARE, INC. |
10901650 | Using a master encryption key to sanitize a dispersed storage network memory | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10901651 | Memory block erasure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10901652 | Heat value tracking | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10901653 | Electronic device | SK HYNIX INC. |
10901654 | Buffer credit management in a data storage system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10901655 | Non-volatile storage system with command response piggybacking | WESTERN DIGITAL TECHNOLOGIES, INC. |
10901656 | Memory system with soft-read suspend scheme and method of operating such memory system | SK HYNIX INC. |
10901657 | Dynamic write credit buffer management of non-volatile dual inline memory module | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10901658 | Host adaptive memory device optimization | MICRON TECHNOLOGY, INC. |
10901659 | Memory system for programming user data whose size is different from the reference size and operating method thereof | SK HYNIX INC. |
10901660 | Volume compressed header identification | PURE STORAGE, INC. |
10901661 | Apparatus, system, and method of byte addressable and block addressable storage and retrieval of data to and from non-volatile storage memory | XITORE, INC. |
10901662 | Communication apparatus, method of controlling the same, and storage medium | CANON KABUSHIKI KAISHA |
10901663 | Information processing apparatus, control method thereof, and non-transitory computer-readable storage medium | CANON KABUSHIKI KAISHA |
10901664 | Print job submission mechanism | RICOH COMPANY, LTD. |
10901665 | Workgroup management of categorized print jobs | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10901666 | Method of controlling information processing device that responds to search request, information processing device, and program storage medium | CANON KABUSHIKI KAISHA |
10901667 | Image forming apparatus, usage information output method, and information processing system | RICOH COMPANY, LTD. |
10901668 | Methods for authenticating printing operations from a cloud-based server | KYOCERA DOCUMENT SOLUTIONS INC. |
10901669 | Mechanism to predict print performance using print metadata | RICOH COMPANY, LTD. |
10901670 | Printing apparatus and module | BROTHER KOGYO KABUSHIKI KAISHA |
10901671 | Printing system, printing apparatus, and method for controlling printing system | SEIKO EPSON CORPORATION |
10901672 | Information processing device, image processing system and non-transitory computer-readable recording medium storing instructions to control the same | BROTHER KOGYO KABUSHIKI KAISHA |
10901673 | Image forming apparatus that shreds printed material when predetermined time has elapsed after the printed material was stored | KYOCERA DOCUMENT SOLUTIONS INC. |
10901674 | Protocol for high integrity personal electronic device applications | HONEYWELL INTERNATIONAL INC. |
10901675 | Protocol for high integrity personal electronic device applications | HONEYWELL INTERNATIONAL INC. |
10901676 | Application extension to localized external devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10901677 | Multi-screen display method and display device | HKC CORPORATION LIMITED |
10901678 | Apparatus having curved display | SAMSUNG ELECTRONICS CO., LTD. |
10901679 | Mirroring of screens | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10901680 | Systems and methods for repairing remotely recorded audio data | ZAXCOM, INC. |
10901681 | Visual audio control | CISCO TECHNOLOGY, INC. |
10901682 | Electronic device with embedded microchip | AFTERMASTER, INC. |
10901683 | Cadence determination and media content selection | SPOTIFY AB |
10901684 | Wireless inter-room coordination of audio playback | EVA AUTOMATION, INC. |
10901685 | Systems and methods for composition of audio content from multi-object audio | SLING MEDIA PVT. LTD. |
10901686 | Digital jukebox device with improved user interfaces, and associated methods | TOUCHTUNES MUSIC CORPORATION |
10901687 | Apparatus, systems and methods for presenting content reviews in a virtual world | DISH NETWORK L.L.C. |
10901688 | Natural language command interface for application management | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10901689 | Dynamic augmented reality interface creation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10901690 | Display device and system comprising same | LG ELECTRONICS INC. |
10901699 | Data analysis process assistance device and data analysis process assistance method | HITACHI, LTD. |
10901701 | Orthogonal path renderer for natural looking sequence flows | ORACLE INTERNATIONAL CORPORATION |
10901707 | Declarative container components for builders | SALESFORCE.COM, INC. |
10901730 | Identifying equivalent javascript events | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10901734 | Memory mapping using commands to transfer data and/or perform logic operations | MICRON TECHNOLOGY, INC. |
10901755 | Recursive stack-based serialization of hierarchical data | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10901756 | Context-aware application | FUJITSU LIMITED |
10901757 | System and method for assisting an agent during a client interaction | WEST CORPORATION |
10901758 | Context aware user interface | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10901760 | View augmentation in multiscreen environment | MICROSOFT TECHNOLOGY LICENSING, LLC |
10901761 | Multi-process model for cross-platform applications | APPLE INC. |
10901762 | Tutorial content creation and interaction system | FYIIO INC. |
10901771 | Methods and systems for securely and efficiently clustering distributed processes using a consistent database | VMWARE, INC. |
10901773 | Sharing devices between virtual machines in view of power state information | RED HAT, INC. |
10901809 | Audio, video and control system implementing virtual machines | QSC, LLC |
10901813 | Clustering and monitoring system | SALESFORCE.COM, INC. |
10901814 | Information processing apparatus and information processing method | SONY CORPORATION |
10901816 | Systems and methods for filesystem-based computer application communication | MASTERCARD INTERNATIONAL INCORPORATED |
10901821 | System and method for sending restful commands to UEFI firmware using UEFI variable services | -- |
10901825 | Implementing a storage drive utilizing a streaming mode | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10901826 | Image processing apparatus, control method of image processing apparatus to import setting file and analyze setting value for determining whether communication test is require to execute | CANON KABUSHIKI KAISHA |
10901840 | Error correction decoding with redundancy data | WESTERN DIGITAL TECHNOLOGIES, INC. |
10901841 | Hardware storage device optimization | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10901842 | Memory system and operating method thereof | SK HYNIX INC. |
10901844 | Multiple node repair using high rate minimum storage regeneration erasure code | NETAPP, INC. |
10901845 | Erasure coding for a single-image memory | MICROSOFT TECHNOLOGY LICENSING, LLC |
10901846 | Maintenance of storage devices with multiple logical units | MICROSOFT TECHNOLOGY LICENSING, LLC |
10901847 | Maintaining logical to physical address mapping during in place sector rebuild | EMC IP HOLDING COMPANY LLC |
10901849 | Dynamic authorization batching in a dispersed storage network | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10901857 | Application intelligence with virtual volume data protection | EMC IP HOLDING COMPANY LLC |
10901867 | Distributed redundant storage system | APPLE INC. |
10901883 | Embedded memory management scheme for real-time applications | CONTINENTAL AUTOMOTIVE SYSTEMS, INC. |
10901884 | Wear levelling in non-volatile memories | -- |
10901886 | Hardware-based flash FTL function realization method and data storage device thereof | SHANDONG STORAGE WINGS ELECTRONICS TECHNOLOGY CO., LTD. |
10901889 | Using hybrid-software/hardware based logical-to-physical address mapping to improve the data write throughput of solid-state data storage devices | SCALEFLUX, INC. |
10901891 | Controller and operation method thereof | SK HYNIX INC. |
10901898 | Cost-effective solid state disk data-protection method for power outages | SAMSUNG ELECTRONICS CO., LTD. |
10901901 | Deployment of processing elements in non-uniform memory access environments | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10901903 | Flash memory persistent cache techniques | MICRON TECHNOLOGY, INC. |
10901910 | Memory access based I/O operations | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10901914 | Method for writing multiple copies into storage device, and storage device | HUAWEI TECHNOLOGIES CO., LTD. |
10901915 | Caching system for eventually consistent services | COMCAST CABLE COMMUNICATIONS, LLC |
10901924 | Mixing restartable and non-restartable requests with performance enhancements | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10901927 | Adaptive interface storage device with multiple storage protocols including NVME and NVME over fabrics storage devices | SAMSUNG ELECTRONICS CO., LTD. |
10901929 | Input/output port rotation in a storage area network device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10901930 | Shared virtual media in a composed system | LENOVO ENTERPRISE SOLUTIONS (SINGAPORE) PTE. LTD. |
10901942 | Offloading data to secondary storage | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10901944 | Statelessly populating data stream into successive files | MICROSOFT TECHNOLOGY LICENSING, LLC |
10901946 | Database directory user interface | ALFRESCO SOFTWARE LTD. |
10901949 | Method and apparatus for compressing metadata in a file system | EMC IP HOLDING COMPANY LLC |
10901988 | System and method of data wrangling | BUSINESS OBJECTS SOFTWARE LTD. |
10902008 | Advice provision method for user using household appliance while viewing terminal screen | PANASONIC INTELLECTUAL PROPERTY CORPORATION OF AMERICA |
10902010 | Collective intelligence convergence system and method thereof | -- |
10902012 | Methods and systems for using datatypes to represent common properties | THE MATHWORKS, INC. |
10902037 | Cognitive data curation on an interactive infrastructure management system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10902042 | Patent claim reference generation | -- |
10902045 | Natural language interface for building data visualizations, including cascading edits to filter expressions | TABLEAU SOFTWARE, INC. |
10902051 | Product identification in image with multiple products | MICROSOFT TECHNOLOGY LICENSING, LLC |
10902055 | System and method of identifying visual objects | GOOGLE LLC |
10902059 | System and method for accessing, storing, and rendering digital media content using virtual broadcast channels | CREATIVE TECHNOLOGY LTD. |
10902065 | Systems and methods for computational risk scoring based upon machine learning | MASSACHUSETTS MUTUAL LIFE INSURANCE COMPANY |
10902159 | Systems and method for determining solar panel placement and energy output | SUNPOWER CORPORATION |
10902162 | Comparison and selection of experiment designs | SAS INSTITUTE INC. |
10902163 | Simulation method and system | SHORELINE AS |
10902179 | Modification of file graphic appearance within a collection canvas | MICROSOFT TECHNOLOGY LICENSING, LLC |
10902180 | Flexible online form display | PRONTOFORMS INC. |
10902190 | Populating electronic messages with quotes | MICROSOFT TECHNOLOGY LICENSING, LLC |
10902192 | Dynamic digital document visual aids in a digital medium environment | ADOBE INC. |
10902194 | Natively handling approximate values in spreadsheet applications | MICROSOFT TECHNOLOGY LICENSING, LLC |
10902195 | Apparatuses, systems, and methods for providing a visual program for machine vision systems | COGNEX CORPORATION |
10902204 | Automated document analysis comprising a user interface based on content types | FREEDOM SOLUTIONS GROUP, LLC |
10902222 | Systems and methods for selective localization of a multi-function device | XEROX CORPORATION |
10902223 | Image processing apparatus | KYOCERA DOCUMENT SOLUTIONS INC. |
10902235 | Fingerprint sensor module | NXP B.V. |
10902250 | Mode-changeable augmented reality interface | MICROSOFT TECHNOLOGY LICENSING, LLC |
10902262 | Vision intelligence management for electronic devices | SAMSUNG ELECTRONICS CO., LTD. |
10902277 | Multi-region detection for images | MICROSOFT TECHNOLOGY LICENSING, LLC |
10902298 | Pushing items to users based on a reinforcement learning model | ALIBABA GROUP HOLDING LIMITED |
10902301 | Information processing device and non-transitory computer readable medium storing information processing program | FUJI XEROX CO., LTD. |
10902324 | Dynamic data snapshot management using predictive modeling | NUTANIX, INC. |
10902329 | Text random rule builder | SAS INSTITUTE INC. |
10902373 | System, method and computer program product for order fulfillment in retail supply networks | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10902395 | Intelligent e-book reader incorporating augmented reality or virtual reality | MASSACHUSETTS MUTUAL LIFE INSURANCE COMPANY |
10902407 | Payment device and sales processing device | TOSHIBA TEC KABUSHIKI KAISHA |
10902433 | Motion-enabled transaction system using air sign symbols | AMERICAN EXPRESS TRAVEL RELATED SERVICES COMPANY, INC. |
10902461 | Environmental modification using tone model analysis | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10902466 | Methods and systems for a communications and information resource manager | FACEBOOK, INC. |
10902493 | System and method for customizing photo product designs with minimal and intuitive user inputs | SHUTTERFFY, LLC |
10902495 | Presenting search results in a dynamically formatted graphical user interface | GOOGLE LLC |
10902517 | Order entry actions | TRADING TECHNOLOGIES INTERNATIONAL, INC. |
10902545 | GPU task scheduling | APPLE INC. |
10902551 | True positive transplant | X DEVELOPMENT LLC |
10902554 | Method and system for providing at least a portion of content having six degrees of freedom motion | KAGENOVA LIMITED |
10902565 | Enhanced electronic dive mask system incorporating image enhancement and clarification processing | -- |
10902617 | Data processing for position detection using optically detectable indicators | SONY INTERACTIVE ENTERTAINMENT INC. |
10902627 | Head mounted device for virtual or augmented reality combining reliable gesture recognition with motion tracking algorithm | HINS SAS |
10902628 | Method for estimating user eye orientation using a system-independent learned mapping | MIRAMETRIX INC. |
10902635 | Line-of-sight detection device | NATIONAL UNIVERSITY CORPORATION SHIZUOKA UNIVERSITY |
10902636 | Method for assisting the location of a target and observation device enabling the implementation of this method | NEXTER SYSTEMS |
10902645 | Dynamic stamp texture for digital paintbrush | ADOBE INC. |
10902653 | Vector graphics based live sketching methods and systems | COREL CORPORATION |
10902658 | Method for image splicing | BEIJING KINGSOFT INTERNET SECURITY SOFTWARE CO., LTD. |
10902660 | Determining and presenting solar flux information | GOOGLE LLC |
10902662 | Storing and comparing three-dimensional objects in three-dimensional storage | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10902663 | Method and apparatus for displaying 2D application interface in virtual reality device | BEIJING PICO TECHNOLOGY CO., LTD. |
10902676 | System and method of controlling a virtual camera | CANON KABUSHIKI KAISHA |
10902678 | Display of hidden information | -- |
10902679 | Method of occlusion rendering using raycast and live depth | MAGIC LEAP, INC. |
10902680 | Augmented reality application system and method | -- |
10902681 | Method and system for displaying a virtual object | SONY INTERACTIVE ENTERTAINMENT INC. |
10902683 | Representation of user position, movement, and gaze in mixed reality space | MICROSOFT TECHNOLOGY LICENSING, LLC |
10902684 | Multiple users dynamically editing a scene in a three-dimensional immersive environment | MICROSOFT TECHNOLOGY LICENSING, LLC |
10902717 | Electronic apparatus, control method thereof, remote control apparatus, and control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10902740 | Grammar organizer | -- |
10902743 | Gesture recognition and communication | ARIZONA BOARD OF REGENTS ON BEHALF OF ARIZONA STATE UNIVERSITY |
10902744 | Braille and/or in auditive Morse code writing device, for users with visual disabilities and/or any motor disorder | UNIVERSIDAD CATOLICA DE LA SANTISIMA CONCEPCION |
10902763 | Display device, display control method, and program | SATURN LICENSING LLC |
10902796 | Multi-user display system | APPLE INC. |
10902808 | Touch panel display and control method of touch panel display | SHARP KABUSHIKI KAISHA |
10902813 | Shift register and display device provided with same | SHARP KABUSHIKI KAISHA |
10902824 | Frame complexity based dynamic PPI for displays | INTEL CORPORATION |
10902840 | Method and system for thought-to-speech | SAI SOCIETY FOR ADVANCED SCIENTIFIC RESEARCH |
10902848 | Method for providing telematics service using voice recognition and telematics server using the same | HYUNDAI AUTOEVER AMERICA, LLC. |
10902849 | Non-transitory computer-readable storage medium, information processing apparatus, and utterance control method | FUJITSU LIMITED |
10902852 | Voice controlled appliance | -- |
10902854 | Systems and methods for generating responses to questions about user accounts | EYEBALLS FINANCIAL, LLC |
10902855 | Methods and devices for negotiating performance of control operations with acoustic signals | MOTOROLA MOBILITY LLC |
10902857 | Onboard control device, control device, control method, computer-readable storage medium, and method of responding to utterance | TOYOTA JIDOSHA KABUSHIKI KAISHA |
10902866 | Sound signal processing method, terminal, and headset | HUAWEI TECHNOLOGIES CO., LTD. |
10902872 | Managing index writes to a tape medium | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10902879 | Storage system with actuated media player | SEAGATE TECHNOLOGY LLC |
10902887 | Memory subsystem power management | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10902895 | Configuration bit sequencing control of nonvolatile domain and array wakeup and backup | TEXAS INSTRUMENTS INCORPORATED |
10902902 | Memory system and operation method of memory system | SK HYNIX INC. |
10902903 | Purgeable memory mapped files | APPLE INC. |
10902906 | Apparatuses and methods for logic/memory devices | MICRON TECHNOLOGY, INC. |
10902924 | Memory system varying pass voltage based on erase count of target memory block and operating method thereof | SK HYNIX INC. |
10902931 | Shift register unit and method for driving the same, gate driving circuit, and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
10902934 | Program and operating methods of nonvolatile memory device | SAMSUNG ELECTRONICS CO., LTD. |
10902940 | Triage routing system | ENLITIC, INC. |
10902949 | Call system for patient | SHENZHEN POLYTECHNIC |
10902974 | Transparent conductive film | CAMBRIOS FILM SOLUTIONS CORPORATION |
10902986 | Sensor, microphone, and touch panel | KABUSHIKI KAISHA TOSHIBA |
10903026 | Modular keyboard | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10903284 | Display device | SAMSUNG DISPLAY CO., LTD. |
10903285 | Input sensor, method for manufacturing the same, and display device having the same | SAMSUNG DISPLAY CO., LTD. |
10903286 | Display device | JAPAN DISPLAY INC. |
10903287 | Foldable, flexible display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10903294 | Display device | SAMSUNG DISPLAY CO., LTD. |
10903445 | Electronic device and method of forming flexible display thereof | SAMSUNG ELECTRONICS CO., LTD. |
10903861 | Method and device for generating soft decision detection parameters | KABUSHIKI KAISHA TOSHIBA |
10903876 | System and method for guiding card positioning using phone sensors | CAPITAL ONE SERVICES, LLC |
10903995 | Secure database backup and recovery | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10904001 | Data format-preserving encryption, tokenization, and access control for vaultless systems and methods | TOKENEX, INC. |
10904029 | User interfaces for managing controllable external devices | APPLE INC. |
10904067 | Verifying inmate presence during a facility transaction | SECURUS TECHNOLOGIES, LLC |
10904068 | System and method to provide seamless data placement, data movement, and data management into cloud | DATERA, INC. |
10904080 | Automatic generation of template for provisioning services in a hosted computing environment | SPLUNK INC. |
10904103 | Electronic tool and methods for meetings | BARCO N.V. |
10904105 | Declarative and reactive data layer for component-based user interfaces | SALESFORCE.COM, INC. |
10904116 | Policy utilization analysis | CISCO TECHNOLOGY, INC. |
10904171 | Application based display layout system and method | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10904174 | Screen size-based pictorial message translation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10904180 | Emoticon acknowledgment and reaction of email for fast feedback visualization without email overloading | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10904189 | Terminal and method for displaying previous conversation information while displaying message of current conversation at the terminal | LG ELECTRONICS INC. |
10904197 | Management of members of social network conversations | SALESFORCE.COM, INC. |
10904210 | Dynamic bookmarking of web addresses accessed via short URL | MICROSOFT TECHNOLOGY LICENSING, LLC |
10904211 | Systems, devices, and methods for generating a domain name using a user interface | VERISIGN, INC. |
10904213 | Computer-based system and computer based method for suggesting domain names based on entity profiles having neural networks of data structures | GO DADDY OPERATING COMPANY, LLC |
10904246 | Single channel input multi-factor authentication via separate processing pathways | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10904270 | Enterprise security graph | SPLUNK INC. |
10904285 | Document sanitization | CA, INC. |
10904303 | Control message from streaming source to facilitate scaling | SALESFORCE.COM, INC. |
10904306 | Personal media streaming appliance system | SPOTIFY AB |
10904325 | WebRTC API redirection with screen sharing | CITRIX SYSTEMS, INC. |
10904334 | Cloud data storage via cascaded K-muxing | SPATIAL DIGITAL SYSTEMS, INC. |
10904337 | Zone storage—resilient and efficient storage transactions | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10904338 | Identifying data for deduplication in a network storage environment | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10904370 | Handheld electronic device | APPLE INC. |
10904374 | Systems, methods and apparatuses to facilitate gradual or instantaneous adjustment in levels of perceptibility of virtual objects or reality object in a digital scene | MAGICAL TECHNOLOGIES, LLC |
10904375 | User interfaces for subscription applications | APPLE INC. |
10904379 | Devices and methods for adjusting the provision of notifications | APPLE INC. |
10904399 | Information processing apparatus and non-transitory computer readable medium | FUJI XEROX CO., LTD. |
10904401 | Self driving multifunction copier, server for controlling self driving multifunction copier and method for operating server | LG ELECTRONICS INC. |
10904402 | Image processing apparatus, and image processing method | RICOH COMPANY, LTD. |
10904426 | Portable electronic device for photo management | APPLE INC. |
10904428 | Electronic device, control method, and non-transitory computer readable medium for executing function in accordance with touch operation | CANON KABUSHIKI KAISHA |
10904429 | Image sensor | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10904443 | Lens apparatus and image pickup apparatus that controls driving of an optical member therein based on command source | CANON KABUSHIKI KAISHA |
10904481 | Broadcasting and managing call participation | FACEBOOK, INC. |
10904487 | Integration of videoconferencing with interactive electronic whiteboard appliances | RICOH COMPANY, LTD. |
10904511 | Systems and method for GPU based virtual reality video streaming server | ALCACRUZ INC. |
10904607 | Video content controller and associated method | DOLBY LABORATORIES LICENSING CORPORATION |
10904611 | Intelligent automated assistant for TV user interactions | APPLE INC. |
10904612 | Method for outputting audio and electronic device for the same | SAMSUNG ELECTRONICS CO., LTD. |
10904633 | Display device for displaying user interface controlling channel based on user input and controlling method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10904654 | Method and system for audio sharing | HED TECHNOLOGIES SARL |
10904655 | Method and system for audio sharing | HED TECHNOLOGIES SARL |
10904665 | Selection of master device for synchronized audio | AMAZON TECHNOLOGIES, INC. |
10904672 | Digital microphone assembly with improved frequency response and noise characteristics | KNOWLES ELECTRONICS, LLC |
10904685 | Acoustic signatures in a playback system | SONOS, INC. |
10904714 | Mobile terminal and control method | PANTECH CORPORATION |
10905009 | Display module and display device including the same | SAMSUNG DISPLAY CO., LTD. |
10905021 | Electronic apparatus | TOSHIBA MEMORY CORPORATION |
10905099 | Automatic feeding system for preparing at least one feed ration for ruminant animals from a plurality of feed types | LELY PATENT N.V. |
10905211 | Case for a tablet shaped computer | STM MANAGEMENT PTY LTD. |
10905340 | Physiological detection device | -- |
10905348 | User interfaces for mobile and wearable medical devices | BARD ACCESS SYSTEMS, INC. |
10905350 | Camera-guided interpretation of neuromuscular signals | FACEBOOK TECHNOLOGIES, LLC |
10905366 | Method and system for correlating an image capturing device to a human user for analysis of cognitive performance | NEUROTRACK TECHNOLOGIES, INC. |
10905374 | Method and wearable device for performing actions using body sensor array | SAMSUNG ELECTRONICS CO., LTD. |
10905508 | Remote control robot system | KAWASAKI JUKOGYO KABUSHIKI KAISHA |
10905517 | Image-based controlling method for medical apparatuses | BRAINLAB AG |
10905617 | Wearable assistive jamming apparatus and related methods | INTEL CORPORATION |
10905814 | Video-based upgrade of dialysis machines | FRESENIUS MEDICAL CARE DEUTSCHLAND GMBH |
10905943 | Systems and methods for reducing hops associated with a head mounted system | SONY INTERACTIVE ENTERTAINMENT LLC |
10905945 | Game machine and computer program thereof | KONAMI DIGITAL ENTERTAINMENT CO., LTD. |
10905946 | Continuous controller calibration | VALVE CORPORATION |
10905947 | Method for touch control in mobile real-time simulation game | -- |
10905948 | Game controller for hand-held electronic devices having a touch screen display | -- |
10905955 | Target positioning method and apparatus in virtual interactive scene | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
10905959 | System and method for creating an avatar | NIKE, INC. |
10905960 | Game system, terminal device and program | KONAMI DIGITAL ENTERTAINMENT CO., LTD. |
10906398 | System and method for an improved control of data stream management in a vehicle | ACH HOLDINGS LLC |
10906467 | Exterior rearview mirror assembly | MAGNA MIRRORS OF AMERICA, INC. |
10906483 | Reduced-complexity vehicle instrument control utilizing backchannel transmission | ROBERT BOSCH GMBH |
10906790 | Control box and operator interface for an industrial vehicle | JLG INDUSTRIES, INC. |
10906834 | Ion-exchangeable mixed alkali aluminosilicate glasses | CORNING INCORPORATED |
10906837 | Strengthened glass articles exhibiting improved headform impact performance and automotive interior systems incorporating the same | CORNING INCORPORATED |
10907844 | Multi-function home control system with control system hub and remote sensors | JOHNSON CONTROLS TECHNOLOGY COMPANY |
10907934 | Ballistic aiming system with digital reticle | SIG SAUER, INC. |
10907993 | Gear detection circuit and wrist watch | -- |
10908012 | Initializing a test apparatus for proving operation of gas meters | NATURAL GAS SOLUTIONS NORTH AMERICA, LLC |
10908033 | Pneumatic-based tactile sensor | KOREA INSTITUTE OF MACHINERY & MATERIALS |
10908037 | Transparent force sensing materials and devices | NEW DEGREE TECHNOLOGY, LLC |
10908255 | Modular object-oriented digital sub-system architecture with primary sequence control and synchronization | REMOTE SENSING SOLUTIONS, INC. |
10908279 | Ultrasound/radar for eye tracking | FACEBOOK TECHNOLOGIES, LLC |
10908283 | Sensor and portable terminal comprising same | LG INNOTEK CO., LTD. |
10908336 | Optical laminate, image display device, or touch panel sensor | DAI NIPPON PRINTING CO., LTD. |
10908419 | Smartglasses and methods and systems for using artificial intelligence to control mobile devices used for displaying and presenting tasks and applications and enhancing presentation and display of augmented reality information | LUCYD LTD. |
10908420 | Electronic device for virtual reality (VR), augmented reality (AR), or mixed reality (MR) | LG ELECTRONICS INC. |
10908421 | Systems and methods for personal viewing devices | RAZER (ASIA-PACIFIC) PTE. LTD. |
10908422 | Measuring content brightness in head worn computing | MENTOR ACQUISITION ONE, LLC |
10908425 | Transmission-type head mounted display apparatus, display control method, and computer program | SEIKO EPSON CORPORATION |
10908427 | System for virtual reality or augmented reality having an eye sensor and method thereof | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10908445 | Display component and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10908446 | Display device having streak-like polymers with liquid crystal molecules | JAPAN DISPLAY INC. |
10908448 | Display apparatus | INTERFACE TECHNOLOGY (CHENGDU) CO., LTD. |
10908545 | Apparatus with lifetime prediction, control method of apparatus and storage medium | CANON KABUSHIKI KAISHA |
10908559 | Techniques for managing display usage | APPLE INC. |
10908573 | Engagement and integration platform for renewable energy management system and method thereof | 17TERAWATTS, INC. |
10908597 | Touch systems and methods utilizing customized sensors and genericized controllers | 3M INNOVATIVE PROPERTIES COMPANY |
10908641 | Display generated data transmission from user device to touchscreen via user | SIGMASENSE, LLC. |
10908642 | Movement-based data input device | MOTIONVIRTUAL, INC. |
10908645 | Method for controlling screen output and electronic device supporting same | SAMSUNG ELECTRONICS CO., LTD. |
10908659 | Memory system and method of controlling nonvolatile memory | TOSHIBA MEMORY CORPORATION |
10908676 | Image processing device, object selection method and program | SONY CORPORATION |
10908677 | Vehicle system for providing driver feedback in response to an occupant's emotion | DENSO INTERNATIONAL AMERICA, INC. |
10908678 | Video and image chart fusion systems and methods | FLIR BELGIUM BVBA |
10908679 | Viewing angles influenced by head and body movements | INTEL CORPORATION |
10908680 | Pose estimation using electromagnetic tracking | MAGIC LEAP, INC. |
10908681 | Operating room and surgical site awareness | COVIDIEN LP |
10908682 | Editing cuts in virtual reality | GOOGLE LLC |
10908683 | Eye-tracking calibration | ADHAWK MICROSYSTEMS |
10908684 | 3D display method and user terminal | HUAWEI TECHNOLOGIES CO., LTD. |
10908685 | Hand-wearable device and manufacturing method therefor | FEEL THE SAME, INC. |
10908686 | Systems and methods for pre-touch and true touch | IMMERSION CORPORATION |
10908687 | Providing real-time feedback to a user from states of a model physical system via a surrogate function | ETH ZURICH |
10908688 | Tactile presentation device and control method | TIANMA MICROELECTRONICS CO., LTD. |
10908689 | Method for outputting feedback based on piezoelectric element and electronic device supporting the same | SAMSUNG ELECTRONICS CO., LTD. |
10908691 | Perception of haptic objects | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
10908692 | Touchpad module | NIDEC COPAL CORPORATION |
10908693 | Tactile presentation device | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
10908694 | Object motion tracking with remote device | MICROSOFT TECHNOLOGY LICENSING, LLC |
10908695 | Gesture detection using external sensors | GOOGLE LLC |
10908696 | Advanced gaming and virtual reality control using radar | GOOGLE LLC |
10908697 | Character editing based on selection of an allocation pattern allocating characters of a character array to a plurality of selectable keys | KYOCERA CORPORATION |
10908698 | User interfaces for patient care devices | STRYKER CORPORATION |
10908699 | Electronic apparatus, wireless input device and control method thereof | LITE-ON ELECTRONICS (GUANGZHOU) LIMITED |
10908700 | Electronic handwriting apparatus and electronic handwriting display system | BEIJING DIANSHIJINGWEI TECHNOLOGY CO., LTD. |
10908701 | Electronic device and method for capturing multimedia content | SAMSUNG ELECTRONICS CO., LTD. |
10908702 | Apparatus and method for automatic display control of a video image in mobile terminal | SAMSUNG ELECTRONICS CO., LTD. |
10908703 | User terminal device and method for controlling the user terminal device thereof | SAMSUNG ELECTRONICS CO., LTD. |
10908704 | Mouse | GUANGXI |
10908705 | Electronic device and controlling method therefor | LG ELECTRONICS INC. |
10908706 | Rechargeable electronic pen | WACOM CO., LTD. |
10908707 | Position detecting sensor, position detecting device, and information processing system | WACOM CO., LTD. |
10908708 | Ruggedized active stylus with a single mechanism to enable access to two separate chambers | DELL PRODUCTS L.P. |
10908709 | Writing input device and writing input system | SHARP KABUSHIKI KAISHA |
10908710 | Active stylus and capacitive position detection system | WACOM CO., LTD. |
10908711 | Writing sheet for touch panel pen, touch panel, touch panel system, display device, and method for selecting writing sheet for touch panel pen | DAI NIPPON PRINTING CO., LTD. |
10908712 | Method for recognizing rotation of rotating body and electronic device for processing the same | SAMSUNG ELECTRONICS CO., LTD. |
10908713 | Manufacturing method of touch panel | FUJIFILM CORPORATION |
10908714 | Portable information code display apparatus | DENSO WAVE INCORPORATED |
10908715 | Array substrate for detecting touch position with bottom gate sensing thin film transistor | BOE TECHNOLOGY GROUP CO., LTD. |
10908716 | Flexible display apparatus | SAMSUNG DISPLAY CO., LTD. |
10908717 | Display device with necking region and spacers | -- |
10908718 | Large touch screen display with integrated electrodes | SIGMASENSE, LLC. |
10908719 | Touch display device, touch driving circuit, and touch driving method | LG DISPLAY CO., LTD. |
10908720 | Display device | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
10908721 | Touch display panel and touch display device for removing display noise from a touch sensing signal | LG DISPLAY CO., LTD. |
10908722 | Sensing device and display device | LG DISPLAY CO., LTD. |
10908723 | Touch sensor and display device | SAMSUNG DISPLAY CO., LTD. |
10908724 | Display device | JAPAN DISPLAY INC. |
10908725 | Systems and methods for providing an interactive user interface using a film and projector | CAPITAL ONE SERVICES, LLC |
10908726 | Force touch device, force touch feedback method, and touch display device | BOE TECHNOLOGY GROUP CO., LTD. |
10908727 | Electronic device including touchpad and fingerprint sensor and method of detecting touch | BLACKBERRY LIMITED |
10908728 | Touch display device and method of driving the same | LG DISPLAY CO., LTD. |
10908729 | Multipoint touchscreen | APPLE INC. |
10908730 | Touch panel | JAPAN DISPLAY INC. |
10908731 | Fingerprint recognition device with wake-up function and driving method thereof | -- |
10908732 | Removable electronics device for pre-fabricated sensor assemblies | GOOGLE LLC |
10908733 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10908734 | Display device | SAMSUNG DISPLAY CO., LTD. |
10908735 | Anti-interference method and apparatus for touch panel | HUAWEI TECHNOLOGIES CO., LTD. |
10908736 | Method and system for setting a touch report threshold, and display apparatus | HEFEI BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10908737 | Input device, element data configuration method, and program | ALPS ALPINE CO., LTD. |
10908738 | Foldable electronic device and method for detecting touch input in foldable electronic device | SAMSUNG ELECTRONICS CO., LTD. |
10908739 | Tabletop display device and driving method therefor | SK TELECOM CO., LTD. |
10908740 | Irradiation optical system and projector | SONY CORPORATION |
10908741 | Touch input detection along device sidewall | SENTONS INC. |
10908742 | Device with grooves in conductive casing | MICROSOFT TECHNOLOGY LICENSING, LLC |
10908743 | Display device with integrated touch screen and method for driving the same | LG DISPLAY CO., LTD. |
10908744 | Touch sensor and display device including the same | SAMSUNG DISPLAY CO., LTD. |
10908745 | Three dimensional touch conductive fabric | THE FLORIDA INTERNATIONAL UNIVERSITY BOARD OF TRUSTEES |
10908746 | Window for display device with improved impact resistance, method for fabricating the same and display device including the same | SAMSUNG DISPLAY CO., LTD. |
10908747 | Display apparatus | LG DISPLAY CO., LTD. |
10908748 | Polymer foam adhesive tape and pressure-sensitive type touch panel comprising the same | LG CHEM, LTD. |
10908749 | Touch sensor and image display device including the same | DONGWOO FINE-CHEM CO., LTD. |
10908750 | Minimizing latency for resonant input object detection and classification | SYNAPTICS INCORPORATED |
10908751 | Detection device and display device | JAPAN DISPLAY INC. |
10908752 | Display panel with touch sensor | -- |
10908753 | Capacitively coupled conductors | TACTUAL LABS CO. |
10908754 | Touch sensing unit and display device including the same | SAMSUNG DISPLAY CO., LTD. |
10908755 | Conductive member and touch panel | FUJIFILM CORPORATION |
10908756 | Force sensor and display device including the same | SAMSUNG DISPLAY CO., LTD. |
10908757 | Rollable display panel and rollable display device having the same | SAMSUNG DISPLAY CO., LTD. |
10908758 | Touch display device and touch sensing method | LG DISPLAY CO., LTD. |
10908759 | Touch substrate, detection method and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10908760 | System and method for interactive application preview | LIVEPERSON, INC. |
10908761 | Playlists and bookmarks in an interactive media guidance application system | ROVI GUIDES, INC. |
10908762 | Household appliance closure element with touch interface | WHIRLPOOL CORPORATION |
10908763 | Electronic apparatus for processing user utterance and controlling method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10908764 | Inter-context coordination to facilitate synchronized presentation of image content | ADOBE INC. |
10908765 | Displaying dynamic user interface elements in a social networking system | FACEBOOK, INC. |
10908766 | Managing a floating window | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10908767 | Device for displaying an energy variation and an energy variation bound of an aircraft | DASSAULT AVIATION |
10908768 | User interface for selecting elements of a structured object | WOLFRAM RESEARCH, INC. |
10908769 | Augmented reality computing environments—immersive media browser | SPATIAL SYSTEMS INC. |
10908770 | Performing virtual reality input | ADVANCED NEW TECHNOLOGIES CO., LTD. |
10908771 | Systems and methods for augmented reality with precise tracking | RYPPLZZ, INC. |
10908772 | Method and apparatus for adjusting running state of smart housing device | XIAOMI INC. |
10908773 | Home screen settings for information processing device and information processing system, and recording medium therefor | NINTENDO CO., LTD. |
10908774 | Copy-paste history on a mobile device | SUCCESSFACTORS, INC. |
10908775 | Method for quick navigation in a user interface, method for manufacturing a product from thermoplastic, plant control for quick navigation in a user interface and plant for manufacturing a product from thermoplastic | REIFENHÄUSER GMBH & CO. KG MASCHINENFABRIK |
10908776 | Human-computer interaction method of user terminal, apparatus, and user terminal | HUAWEI TECHNOLOGIES CO., LTD. |
10908777 | Image forming method and image forming apparatus | OKI DATA CORPORATION |
10908778 | Categorized and tagged video annotation | R3 COLLABORATIVES, INC. |
10908779 | Selective display of chat room contents | ATLASSIAN PTY LTD. |
10908780 | Image output device, method of controlling image output device, and television | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10908781 | Systems and methods for displaying notifications received from multiple applications | APPLE INC. |
10908782 | Interactive electronically presented map | ACTIVEMAP LLC |
10908783 | Devices, methods, and graphical user interfaces for interacting with user interface objects and providing feedback | APPLE INC. |
10908784 | Unified document surface | CODA PROJECT, INC. |
10908785 | Systems and methods for SaaS overlays using an embedded browser | CITRIX SYSTEMS, INC |
10908786 | Dynamic extension view with multiple levels of expansion | MICROSOFT TECHNOLOGY LICENSING, LLC |
10908787 | Method for sharing content information and electronic device thereof | SAMSUNG ELECTRONICS CO., LTD. |
10908788 | Automated process discovery and facilitation within a cloud business application | ORACLE INTERNATIONAL CORPORATION |
10908789 | Application switching method and apparatus and graphical user interface | HUAWEI TECHNOLOGIES CO., LTD. |
10908790 | Method and system for displaying recommendation information | BANMA ZHIXING NETWORK (HONGKONG) CO., LIMITED |
10908791 | Inline message alert | AMAZON TECHNOLOGIES, INC. |
10908792 | Interactive event-based information system | RECORDED FUTURE, INC. |
10908793 | Persistent application interface management | SAP SE |
10908794 | Automated scheduling of multimedia content avoiding adjacency conflicts | IHEARTMEDIA MANAGEMENT SERVICES, INC. |
10908795 | Information processing apparatus, information processing method | SONY CORPORATION |
10908796 | Emergent content containers | APPLE INC. |
10908797 | Systems and methods for enabling low-vision users to interact with a touch-sensitive secondary display | APPLE INC. |
10908798 | Display control method and apparatus for display interface of mobile terminal | BEIJING BYTEDANCE NETWORK TECHNOLOGY CO LTD |
10908799 | Method and a device for controlling a moving object, and a mobile apparatus | SZ DJI TECHNOLOGY CO., LTD. |
10908800 | Dynamic graphical user interface for analyzing sensor captured data | ORBITAL INSIGHT, INC. |
10908801 | Group-based communication system dynamic group-based communication interface focusing | SLACK TECHNOLOGIES, INC. |
10908802 | Collaborative, social online education and whiteboard techniques | STUDY SOCIAL, INC. |
10908803 | Collaborative, social online education and whiteboard techniques | STUDY SOCIAL, INC. |
10908804 | Incremental mount framework | FACEBOOK, INC. |
10908805 | Wearable device and execution of application in wearable device | SAMSUNG ELECTRONICS CO., LTD. |
10908806 | Method for processing card information and electronic device thereof | SAMSUNG ELECTRONICS CO., LTD. |
10908807 | Method, apparatus, and computer program product for facilitating the playback of interface events | GROUPON, INC. |
10908808 | Device, method, and graphical user interface for displaying additional information in response to a user contact | APPLE INC. |
10908809 | Devices, methods, and graphical user interfaces for moving user interface objects | APPLE INC. |
10908810 | Method for sliding response acceleration and related products | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10908811 | System and method for improving a graphical menu | DELL PRODUCTS L.P. |
10908812 | Presenting buttons for controlling an application | BLACKBERRY LIMITED |
10908813 | Method, computer program product and device for determining input regions on a graphical user interface | E.SOLUTIONS GMBH |
10908814 | Secure data entry via a virtual keyboard | GOOGLE LLC |
10908815 | Systems and methods for distinguishing between a gesture tracing out a word and a wiping motion on a touch-sensitive keyboard | APPLE INC. |
10908816 | Electronic device for providing predictive word and operating method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10908817 | Signal reduction in a microcontroller architecture for non-volatile memory | SANDISK TECHNOLOGIES LLC |
10908818 | Accessing deduplicated data from write-evict units in solid-state memory cache | EMC IP HOLDING COMPANY LLC |
10908819 | Media drive system with built-in controller for improved functionality | QUANTUM CORPORATION |
10908820 | Host-based and client-based command scheduling in large bandwidth memory systems | SAMSUNG ELECTRONICS CO., LTD. |
10908821 | Use of outstanding command queues for separate read-only cache and write-read cache in a memory sub-system | MICRON TECHNOLOGY, INC. |
10908822 | Limiting bandwidth usage on asynchronous replication sessions for a destination storage system | EMC IP HOLDING COMPANY LLC |
10908823 | Data transfer for wear leveling with bank clusters | MICRON TECHNOLOGY, INC. |
10908824 | Flash memory storage device and method thereof | -- |
10908825 | SSD with persistent DRAM region for metadata | INTEL CORPORATION |
10908826 | Controlling access to memory cells | SALESFORCE.COM, INC. |
10908827 | Semiconductor memory devices, and memory systems and electronic apparatuses having the same | SAMSUNG ELECTRONICS CO., LTD. |
10908828 | Enhanced quality of service (QoS) for multiple simultaneous replication sessions in a replication setup | EMC IP HOLDING COMPANY LLC |
10908829 | Memory calibration method and system, and vehicle system | AUTOCHIPS INC. |
10908830 | Extent lock resolution in active/active replication | EMC IP HOLDING COMPANY LLC |
10908831 | Selecting cloud storage | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
10908832 | Common pool management | MICRON TECHNOLOGY, INC. |
10908833 | Data migration method for a storage system after expansion and storage system | HUAWEI TECHNOLOGIES CO., LTD. |
10908834 | Load balancing for scalable storage system | HITACHI, LTD. |
10908835 | Reversing deletion of a virtual machine | PURE STORAGE, INC. |
10908836 | Memory system and operation method thereof | SK HYNIX INC. |
10908837 | Secure application acceleration system and apparatus | -- |
10908838 | Column replacement with non-dedicated replacement columns | SANDISK TECHNOLOGIES LLC |
10908839 | Storage device throttling amount of communicated data depending on suspension frequency of operation | SAMSUNG ELECTRONICS CO., LTD. |
10908840 | Semiconductor memory module including nonvolatile memory devices | SAMSUNG ELECTRONICS CO., LTD. |
10908841 | Increasing throughput of non-volatile memory express over fabric (NVMEoF) via peripheral component interconnect express (PCIe) interface | CISCO TECHNOLOGY, INC. |
10908842 | Storage device including write buffer memory and method of operating storage device | SAMSUNG ELECTRONICS CO., LTD. |
10908843 | Memory system for managing free pages based on real time clock and operating method thereof | SK HYNIX INC. |
10908844 | Storage system and method for memory backlog hinting for variable capacity | WESTERN DIGITAL TECHNOLOGIES, INC. |
10908845 | Managing threshold voltage drift based on a temperature-dependent slope of the threshold voltage drift of a memory sub-system | MICRON TECHNOLOGY, INC. |
10908846 | Memory system and operation method thereof | SK HYNIX INC. |
10908847 | Volatility management for non-volatile memory device | WESTERN DIGITAL TECHNOLOGIES, INC. |
10908848 | Automated management of bundled applications | ROBIN SYSTEMS, INC. |
10908849 | Networked three-dimensional printing | MAKERBOT INDUSTRIES, LLC |
10908850 | Information processing apparatus, and non-transitory computer-readable recording medium therefor to be compliant to a particular protocol | BROTHER KOGYO KABUSHIKI KAISHA |
10908851 | Communication system and information processing apparatus that manage log information about an external apparatus, and control method therefor | CANON KABUSHIKI KAISHA |
10908852 | Image processing apparatus receiving, generating, processing, and outputting print data | BROTHER KOGYO KABUSHIKI KAISHA |
10908853 | Image processing apparatus and method for controlling notifications between power transitioning | CANON KABUSHIKI KAISHA |
10908854 | Image forming system and image forming apparatus that perform maintenance or cancel of sleep mode | KYOCERA DOCUMENT SOLUTIONS INC. |
10908855 | Image forming apparatus with power saving mode | TOSHIBA TEC KABUSHIKI KAISHA |
10908856 | Image forming apparatus for executing automatic installation of driver to external terminal by remote control and image forming system including the image forming apparatus | KYOCERA DOCUMENT SOLUTIONS INC. |
10908857 | System including operation device and information storing apparatus, method performed by the system, and the information storing apparatus | RICOH COMPANY, LTD. |
10908858 | Image forming apparatus, information processing apparatus, and computer program | CANON KABUSHIKI KAISHA |
10908859 | Image forming apparatus, printing system, and printing information notification method | TOSHIBA TEC KABUSHIKI KAISHA |
10908860 | Multi-Function Printer (MFP) for executing multiple jobs | TOSHIBA TEC KABUSHIKI KAISHA |
10908861 | Information processing method which registers for a web service via an intermediary, image processing apparatus, and information processing system | CANON KABUSHIKI KAISHA |
10908862 | Image forming system and voltage adjustment method | TOSHIBA TEC KABUSHIKI KAISHA |
10908863 | System and method for providing access to co-located operations data for an electronic display | MANUFACTURING RESOURCES INTERNATIONAL, INC. |
10908864 | Systems, devices, and methods for dynamically providing user interface controls at a touch-sensitive secondary display | APPLE INC. |
10908865 | Collaborative multi-user virtual reality | INTEL CORPORATION |
10908866 | Organic light emitting diode display device and method for operating the same | LG ELECTRONICS INC. |
10908867 | Method and apparatus for displaying digital object identifier | ADVANCED NEW TECHNOLOGIES CO., LTD. |
10908868 | Data processing method and mobile device | HUAWEI TECHNOLOGIES CO., LTD. |
10908870 | Audio playing method, apparatus, device and server | BAIDU ONLINE NETWORK TECHNOLOGY (BEIJING) CO., LTD. |
10908873 | Command confirmation for a media playback device | SPOTIFY AB |
10908874 | Enhanced control and security of a voice controlled device | THE IDEALOGIC GROUP, INC. |
10908875 | Method to determine intended direction of a vocal command and target for vocal interaction | COMCAST CABLE COMMUNICATIONS, LLC |
10908876 | Determination of a match between data values stored by several arrays | MICRON TECHNOLOGY, INC. |
10908883 | Voice interaction development tool | ADOBE INC. |
10908918 | Image erasing method and system | GUANGZHOU SHIRUI ELECTRONICS CO., LTD. |
10908921 | Data processing method and system for intercepting signals between a peripheral device and a software application | SPARKLE CS LTD |
10908925 | Dynamic loading method, and target file creation method and apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
10908929 | Human versus bot detection using gesture fingerprinting | FAMOUS INDUSTRIES, INC. |
10908930 | Hybrid interactivity in JavaScript webpage user interfaces | WALMART APOLLO, LLC |
10908931 | Methods for user interface generation and application modification | VERSATA FZ-LLC |
10908958 | Shared memory in memory isolated partitions | MICROSOFT TECHNOLOGY LICENSING, LLC |
10908960 | Resource allocation based on comprehensive I/O monitoring in a distributed storage system | ALIBABA GROUP HOLDING LIMITED |
10908966 | Adapting target service times in a storage system | PURE STORAGE, INC. |
10908967 | Modifying resource allocation among pools supporting a VM set executing a multicomponent software application including nested components | VMWARE, INC. |
10908968 | Instantiating a virtual machine with a virtual non-uniform memory architecture and determining a highest detected NUMA ratio in a datacenter | MICROSOFT TECHNOLOGY LICENSING, LLC |
10908970 | Data interface for secure analytic data system integration | SALESFORCE.COM, INC. |
10908976 | Broadcast queue adjustment method, terminal, and storage medium | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10908982 | Method and system for processing data | YANDEX EUROPE AG |
10908986 | Multi-level recovery reads for memory | SANDISK TECHNOLOGIES LLC |
10908987 | Handling memory errors in computing systems | AMAZON TECHNOLOGIES, INC. |
10908988 | Storage apparatus | HITACHI, LTD. |
10908990 | Shared address counters for multiple modes of operation in a memory device | MICRON TECHNOLOGY, INC. |
10908997 | Simple and efficient technique to support disk extents of different sizes for mapped RAID | EMC IP HOLDING COMPANY LLC |
10908998 | Managing function level reset in an IO virtualization-enabled storage device | TOSHIBA MEMORY CORPORATION |
10909000 | Tagging data for automatic transfer during backups | RUBRIK, INC. |
10909001 | Storage system with snapshot group split functionality | EMC IP HOLDING COMPANY LLC |
10909007 | Storage system and storage control method for replacing storage controllers in a communication-disabled state during a shutdown-less replacement | HITACHI, LTD. |
10909011 | Intelligent post-packaging repair | MICRON TECHNOLOGY, INC. |
10909031 | Memory system and operating method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10909032 | Address scheduling methods for non-volatile memory devices with three-dimensional memory cell arrays | SAMSUNG ELECTRONICS CO., LTD. |
10909033 | Techniques for efficiently partitioning memory | NVIDIA CORPORATION |
10909052 | Memory system capable of transmitting data directly between memory devices | SK HYNIX INC. |
10909054 | Method for status monitoring of acceleration kernels in a storage device and storage device employing the same | SAMSUNG ELECTRONICS CO., LTD. |
10909061 | Method, apparatus and system for changing to which remote device a local device is in communication via a communication medium through use of interruption of the communication medium | THINKLOGICAL, LLC |
10909069 | Service oriented data management and architecture | IGUAZIO SYSTEMS LTD. |
10909072 | Key value store snapshot in a distributed memory object architecture | MEMVERGE, INC. |
10909073 | Automatic snapshot and journal retention systems with large data flushes using machine learning | EMC IP HOLDING COMPANY LLC |
10909087 | Rollback on a sequential storage medium to a specific point in time | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10909098 | Setting a flag to indicate that a user interface has stale data within a subject matter category | LENOVO ENTERPRISE SOLUTIONS (SINGAPORE) PTE. LTD. |
10909130 | Graphical user interface for a database system | PALANTIR TECHNOLOGIES INC. |
10909147 | User interfaces based on pre-classified data sets | INTUIT, INC. |
10909164 | Method for updating an index of a person | ESSILOR INTERNATIONAL |
10909168 | Database systems and interactive user interfaces for dynamic interaction with, and review of, digital medical image data | MERGE HEALTHCARE SOLUTIONS INC. |
10909171 | Intelligent automated assistant for media exploration | APPLE INC. |
10909183 | Computer data system data source refreshing using an update propagation graph having a merged join listener | DEEPHAVEN DATA LABS LLC |
10909189 | Service-backed contextual document embedding | MICROSOFT TECHNOLOGY LICENSING, LLC |
10909191 | Systems and methods for displaying supplemental content for an electronic book | ROVI GUIDES, INC. |
10909197 | Curation rank: content portion search | -- |
10909200 | Endless search result page | EBAY INC. |
10909208 | Smart content pre-loading on client devices | VERIZON MEDIA INC. |
10909224 | Information processing device, information processing method, and program for tampering detection | CYGAMES, INC. |
10909226 | Method for controlling biosensor, and electronic device | SAMSUNG ELECTRONICS CO., LTD. |
10909238 | Storage device and method for protecting against virus/malware thereof and computing system having the same | SAMSUNG ELECTRONICS CO., LTD. |
10909256 | Correcting access rights of files in electronic communications | GOOGLE LLC |
10909272 | Storage compute appliance with user authentication and memory allocation capabilities | SEAGATE TECHNOLOGY LLC |
10909286 | Optimization techniques for quantum computing device simulation | PSIQUANTUM CORP. |
10909304 | Methods and systems for WYSIWYG web content generation | PAGECLOUD INC. |
10909306 | Systems and methods of publishing a design | CANVA PTY LTD. |
10909308 | Information processing apparatus, information processing method, and program | SONY CORPORATION |
10909309 | Electronic document content extraction and document type determination | MICROSOFT TECHNOLOGY LICENSING, LLC |
10909314 | Card-based information displaying method and apparatus, and information displaying service processing method and apparatus | ADVANCED NEW TECHNOLOGIES CO., LTD. |
10909332 | Signal processing terminal and method | -- |
10909333 | Machine interpretation of distress situations using body language | CARRIER CORPORATION |
10909344 | Fingerprint identification control methods, touch panels and display devices | KUNSHAN GO-VISIONOX OPTOELECTRONICS CO., LTD. |
10909346 | Electronic apparatus and control method | KYOCERA CORPORATION |
10909360 | Information processing device, control method of information processing device, and storage medium | SEIKO EPSON CORPORATION |
10909370 | Information processing apparatus, image display apparatus, control method for information processing apparatus and image display apparatus, and computer program | SEIKO EPSON CORPORATION |
10909371 | System and method for contextual driven intelligence | SAMSUNG ELECTRONICS CO., LTD. |
10909372 | Assistive device for the visually-impaired | MICROSOFT TECHNOLOGY LICENSING, LLC |
10909376 | Information processing apparatus, information processing system, and non-transitory computer readable medium storing program | FUJI XEROX CO., LTD. |
10909378 | Processing content based on natural language queries | COMCAST CABLE COMMUNICATIONS, LLC |
10909397 | Aircraft suite including main cabin compartment and lower lobe rest compartment | B/E AEROSPACE, INC. |
10909411 | Information processing apparatus, information processing method, and computer program product | KABUSHIKI KAISHA TOSHIBA |
10909412 | Electronic device and control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10909413 | Information-processing apparatus, control method, and non-transitory computer readable medium | CANON KABUSHIKI KAISHA |
10909427 | Method and device for classifying webpages | BEIJING QIHOO TECHOLOGY COMPANY LIMITED |
10909433 | Information carriers and methods for encoding and reading such information carriers | HAYDALE TECHNOLOGIES (THAILAND) COMPANY LIMITED |
10909479 | Personalized multimedia autographing system | -- |
10909485 | Relationship-based search | EVERNOTE CORPORATION |
10909506 | Information management systems with time zone information, including event scheduling processes | MICROSOFT TECHNOLOGY LICENSING, LLC |
10909507 | Apparatus, method, and program product for digital assistant management | LENOVO (SINGAPORE) PTE. LTD. |
10909511 | Method for providing a graphical user interface for an electronic transaction | VISA INTERNATIONAL SERVICE ASSOCIATION |
10909549 | Method and system of providing information during content breakpoints in a virtual universe | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10909555 | Systems and methods for interactive web-based processing of real estate transactions | FSBO SYSTEM, LLC |
10909573 | System and method for online data mining and advertisement generator | -- |
10909595 | Systems and methods for controlling shelf display units and for graphically presenting information on shelf display units | SUNRISE R&D HOLDINGS, LLC |
10909601 | Providing product advice recommendation | FACEBOOK, INC. |
10909613 | Product display graphic user interface | ROYAL APP LTD. |
10909678 | Method and apparatus for monitoring of a human or animal subject | OXEHEALTH LIMITED |
10909715 | High-integrity optical pose estimation using coded features | ROCKWELL COLLINS, INC. |
10909747 | Systems and methods for providing immersive graphical interfaces | FACEBOOK TECHNOLOGIES, LLC |
10909751 | Methods and apparatus to transition between 2D and 3D renderings of augmented reality content | INTEL CORPORATION |
10909759 | Information processing to notify potential source of interest to user | SONY CORPORATION |
10909760 | Creating a topological map for localization in augmented or virtual reality systems | MAGIC LEAP, INC. |
10909762 | Gestures for facilitating interaction with pages in a mixed reality environment | MICROSOFT TECHNOLOGY LICENSING, LLC |
10909763 | Registration between actual mobile device position and environmental model | APPLE INC. |
10909765 | Augmented reality system for vehicle blind spot prevention | PACCAR INC |
10909767 | Focal and interaction driven content replacement into augmented reality | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10909769 | Mixed reality based 3D sketching device and method | INDUSTRY ACADEMY COOPERATION FOUNDATION OF SEJONG UNIVERSITY |
10909772 | Precise scaling of virtual objects in an extended reality environment | SPLUNK INC. |
10909773 | Medical image modeling system and medical image modeling method | -- |
10909779 | 3D vehicle model data capturing and retrieving for vehicle inspection, service and maintenance | TEKION CORP |
10909819 | Haptic actuator controller | GOODIX TECHNOLOGY (HK) COMPANY LIMITED |
10909820 | Haptic and biosensing hand mat | BASKARAN PILLAI |
10909821 | Spatialized haptic feedback based on dynamically scaled values | IMMERSION CORPORATION |
10909837 | Systems and methods for monitoring on-route transportations | BEIJING DIDI INFINITY TECHNOLOGY AND DEVELOPMENT CO., LTD. |
10909862 | User interfaces for mutually exclusive three dimensional flying spaces | KITTY HAWK CORPORATION |
10909874 | Simulator for crane, construction machine or industrial truck | LIEBHERR-WERK BIBERACH GMBH |
10909876 | Spray paint simulator and training aid | ENVISION TECHNOLOGIES, LLC |
10909879 | Multilingual interface for three-step process for mimicking plastic surgery results | ELYSE ENTERPRISES LLC |
10909884 | Electronically adjustable joint, and associated systems and methods | APPLIED MINDS, LLC |
10909893 | Shift register circuit, GOA circuit, display device and method for driving the same | BOE TECHNOLOGY GROUP CO., LTD. |
10909916 | OLED array substrate, OLED display panel, pixel circuit, driving method and method for fingerprint recognition using OLED display panel | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
10909932 | Display apparatus and method of driving display panel using the same | SAMSUNG DISPLAY CO., LTD. |
10909938 | Display device and method of driving the same | SAMSUNG DISPLAY CO., LTD. |
10909974 | Content presentation analytics and optimization | SINCLAIR BROADCAST GROUP, INC. |
10909975 | Content segmentation and time reconciliation | SINCLAIR BROADCAST GROUP, INC. |
10909978 | Secure utterance storage | AMAZON TECHNOLOGIES, INC. |
10909979 | Voice controlled remote thermometer | EWIG INDUSTRIES MACAO COMMERCIAL OFFSHORE LIMITED |
10909981 | Mobile terminal, method of controlling same, and computer-readable storage medium | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10909982 | Electronic apparatus for processing user utterance and controlling method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10909987 | Hotword detection on multiple devices | GOOGLE LLC |
10909988 | Systems and methods for displaying a user interface | QUALCOMM INCORPORATED |
10910037 | Apparatuses and methods for input receiver circuits and receiver masks for same | MICRON TECHNOLOGY, INC. |
10910063 | Memory device and operating method thereof | SK HYNIX INC. |
10910067 | Memory system | TOSHIBA MEMORY CORPORATION |
10910070 | Storage device and operating method thereof | SK HYNIX INC. |
10910074 | Memory controller and method of operating the same | SK HYNIX INC. |
10910080 | Nonvolatile memory device configured to adjust a read parameter based on degradation level | SAMSUNG ELECTRONICS CO., LTD. |
10910081 | Management of test resources to perform reliability testing of memory components | MICRON TECHNOLOGY, INC. |
10910095 | Mapping systems | QVERA LLC |
10910096 | Augmented reality computing system for displaying patient data | ALLSCRIPTS SOFTWARE, LLC |
10910097 | Medical scan report labeling system | ENLITIC, INC. |
10910101 | Image diagnosis support apparatus, image diagnosis support method, and image diagnosis support program | FUJIFILM CORPORATION |
10910168 | Electronic device | SAMSUNG DISPLAY CO., LTD. |
10910278 | Semiconductor device, method of manufacturing the same and electronic device including the same | INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES |
10910333 | Display device | SAMSUNG DISPLAY CO., LTD. |
10910449 | Electronic device and manufacturing method for same | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10910450 | Chip on film package and display device | -- |
10910510 | Encapsulated flexible electronic device, and corresponding manufacturing method | STMICROELECTRONICS S.R.L. |
10910588 | Display module and display device comprising the same | SAMSUNG DISPLAY CO., LTD. |
10910592 | Flexible electroluminescent display device | LG DISPLAY CO., LTD. |
10910593 | Organic light emitting display device | SAMSUNG DISPLAY CO., LTD. |
10911065 | Computer system and method including selectively compressing data files and directories based on an operator indication and representing the amount of available free space | -- |
10911090 | Portable terminal, and control method | SHARP KABUSHIKI KAISHA |
10911103 | Portable electronic device for facilitating a proximity based interaction with a short range communication enabled object | INTERNATIONAL FORTE GROUP LLC |
10911230 | Securely activating functionality of a computing device in a dispersed storage network | PURE STORAGE, INC. |
10911293 | Terminal and information processing method | CANON KABUSHIKI KAISHA |
10911302 | Network node policy generation and implementation | UPGUARD, INC. |
10911321 | Reconstruction of an optical network link in a link viewer based on a text file | INFINERA CORPORATION |
10911323 | System-on-chip (SoC) assembly, configurable IP generation and IP integration utilizing distributed computer systems | TEXAS INSTRUMENTS INCORPORATED |
10911324 | Declarative and reactive data layer for component-based user interfaces | SALESFORCE.COM, INC. |
10911328 | Quality of service policy based load adaption | NETAPP, INC. |
10911339 | Systems and methods for improving quality of service while streaming code-agnostic content | ROVI GUIDES, INC. |
10911346 | Monitoring I.T. service-level performance using a machine data key performance indicator (KPI) correlation search | SPLUNK INC. |
10911385 | Method and system for synchronizing instant messages between multiple clients | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
10911386 | Thread visualization tool for electronic communication documents | RELATIVITY ODA LLC |
10911389 | Rich preview of bundled content | MICROSOFT TECHNOLOGY LICENSING, LLC |
10911392 | Coalesced notifications for social groups | GOOGLE LLC |
10911402 | Storage system with network-wide configurable device names | EMC IP HOLDING COMPANY LLC |
10911408 | Identifying and displaying application dependencies | VMWARE, INC. |
10911468 | Sharing of machine learning model state between batch and real-time processing paths for detection of network security issues | SPLUNK INC. |
10911470 | Detecting anomalies in a computer network based on usage similarity scores | SPLUNK INC. |
10911507 | Immersive audio in a media playback system | SONOS, INC. |
10911512 | Personalized content streams using aligned encoded content segments | AMAZON TECHNOLOGIES, INC. |
10911515 | System, method, and apparatus for electronic patient care | DEKA PRODUCTS LIMITED PARTNERSHIP |
10911550 | Partial loading and editing of documents from a server | MICROSOFT TECHNOLOGY LICENSING, LLC |
10911553 | Dynamic customization of structured interactive content on an interactive computing system | ADOBE INC. |
10911573 | Web-based collaborative inking via computer networks | MICROSOFT TECHNOLOGY LICENSING, LLC |
10911586 | Mobile terminal and flexible display device | LG ELECTRONICS INC. |
10911594 | Handling calls on a shared speech-enabled device | GOOGLE LLC |
10911596 | Voice user interface for wired communications system | AMAZON TECHNOLOGIES, INC. |
10911601 | Object oriented call management | MICROSOFT TECHNOLOGY LICENSING, LLC |
10911610 | Printer script autocorrect | DATAMAX-O'NEIL CORPORATION |
10911611 | Transmitting fax document by using cloud server | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10911613 | Writing IPv4 or IPv6 information into an nfc tag attached to an image forming apparatus | CANON KABUSHIKI KAISHA |
10911615 | Scoring apparatus and non-transitory computer readable medium | FUJI XEROX CO., LTD. |
10911616 | Information processing apparatus configured to create print data based on different sheet sizes | BROTHER KOGYO KABUSHIKI KAISHA |
10911617 | Processing apparatus and program product for attaching mark to displayed process receiving portion to simulate a hardware button | FUJI XEROX CO., LTD. |
10911618 | Image processing device for receiving an operation instruction by a voice, method for controlling image processing device, and program | KONICA MINOLTA, INC. |
10911619 | Input device, image forming apparatus, and non-transitory computer readable medium for allocating a function to a visually unascertainable detection region | FUJI XEROX CO., LTD. |
10911620 | Display control apparatus for displaying first menu items and second lower level menu items based on touch and touch-release operations, and control method thereof | CANON KABUSHIKI KAISHA |
10911622 | Non-transitory storage medium storing instructions executable by information processing apparatus communicable with function executing apparatus, and the information processing apparatus | BROTHER KOGYO KABUSHIKI KAISHA |
10911623 | Asset management framework for customizing multifunction peripheral configurations | TOSHIBA TEC KABUSHIKI KAISHA |
10911624 | Server, method of controlling data communication, and storage medium | RICOH COMPANY, LTD. |
10911628 | Image processing apparatus, method, and non-transitory computer-readable storage medium | CANON KABUSHIKI KAISHA |
10911633 | Printing apparatus, method of controlling printing apparatus, and storage medium for setting information to be printed | CANON KABUSHIKI KAISHA |
10911638 | Non-transitory computer-readable recording medium, information processing apparatus and printing method | BROTHER KOGYO KABUSHIKI KAISHA |
10911662 | Portable device and method for adjusting settings of images taken therewith | SAMSUNG ELECTRONICS CO., LTD. |
10911663 | Electronic apparatus and method for controlling the same | CANON KABUSHIKI KAISHA |
10911664 | Wireless device having dedicated rear panel control | TRACFONE WIRELESS, INC. |
10911685 | Monitoring apparatus and system which detects events occurred in a region of interest and counts a number of occurred events | HANWHA TECHWIN CO., LTD. |
10911716 | System and method for interactive video conferencing | TOUCHCAST LLC |
10911720 | System and method of acquisition, registration and multimedia management | -- |
10911742 | Electronic device with flexible display and louvered filter, and corresponding systems and methods | MOTOROLA MOBILITY LLC |
10911748 | Display calibration system | APPLE INC. |
10911790 | Live video push method, device, storage medium, and program product | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
10911818 | Electronic device and method for controlling the same | SAMSUNG ELECTRONICS CO., LTD. |
10911834 | User selection of software components in a television set-top box | TIME WARNER CABLE ENTERPRISES LLC |
10911837 | Systems and methods for dynamically augmenting videos via in-video insertion on mobile devices | VERIZON MEDIA INC. |
10911860 | Automated and body driven headset audio control | INTEL CORPORATION |
10911863 | Illuminated user interface architecture | APPLE INC. |
10911872 | Context-aware voice guidance | APPLE INC. |
10911885 | Augmented reality virtual audio source enhancement | MICROSOFT TECHNOLOGY LICENSING, LLC |
10911900 | Multiple object location assembly | -- |
10911906 | Integrated systems and methods providing situational awareness of operations in an organization | INTREPID NETWORK, LLC |
10912131 | Method and mobile terminal for controlling bluetooth low energy device | SAMSUNG ELECTRONICS CO., LTD. |
10912136 | Controlling electronic devices based on wireless ranging | APPLE INC. |
10912177 | Intelligent lighting control system learning exclusion systems | RACEPOINT ENERGY, LLC |
10912490 | Footwear having sensor system | NIKE, INC. |
10912529 | Determining a remaining time during medical imaging | SIEMENS HEALTHCARE GMBH |
10912634 | Augmented reality dental design method and system | TRISPERA DENTAL INC. |
10912709 | Hand mounted CPR chest compression monitor | ZOLL MEDICAL CORPORATION |
10912916 | Electronic display adjustments to mitigate motion sickness | FORD GLOBAL TECHNOLOGIES, LLC |
10912955 | Treatment of celiac disease, C. difficile infection, food intolerance and food allergy with secretory IgA/IgM | -- |
10912992 | Ergonomic handheld input/output device | -- |
10913125 | Welding system providing visual and audio cues to a welding helmet with a display | LINCOLN GLOBAL, INC. |
10913157 | Robot simulation apparatus and robot simulation method | KEYENCE CORPORATION |
10913290 | Portable printer apparatus | -- |
10913353 | Operation control method for vehicle infotainment system | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
10913463 | Gesture based control of autonomous vehicles | APPLE INC. |
10913464 | Intelligent escalation strategy for autonomous vehicle | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
10914401 | Fuel monitoring system | THE HEIL CO. |
10914472 | Oven | SAMSUNG ELECTRONICS CO., LTD. |
10914567 | Magnetic sensor based proximity sensing | APPLE INC. |
10914619 | Electronic device and hardware diagnosis result-based process execution method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10914724 | Urine sample testing apparatus and apparatus for processing measurement result of urine sample | SYSMEX CORPORATION |
10914773 | Resolution adjustment for capacitive touch sensor | INTEL CORPORATION |
10914810 | Marine electronic device for presentment of nautical charts and sonar images | NAVICO HOLDING AS |
10914862 | System and method for detecting object | -- |
10914947 | Head mounted display and information processing method | SONY INTERACTIVE ENTERTAINMENT INC. |
10914951 | Visual, audible, and/or haptic feedback for optical see-through head mounted display with user interaction tracking | QUALCOMM INCORPORATED |
10914955 | Peripheral vision in a human-machine interface | THALES |
10914956 | Tiled display assemblies for artificial reality headset | FACEBOOK TECHNOLOGIES, LLC |
10914957 | Video compression methods and apparatus | APPLE INC. |
10914958 | Vehicle display device | YAZAKI CORPORATION |
10914972 | Detection device and detection method for detecting curvature of display panel | HKC CORPORATION LIMITED |
10914975 | Circuit substrate and display device | JAPAN DISPLAY INC. |
10915053 | Management system, management method, and management server | CANON KABUSHIKI KAISHA |
10915076 | Controlling an agricultural implement using a metric priority | DEERE & COMPANY |
10915098 | Object controller | YONGIN-SI |
10915102 | Vehicle | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10915138 | Display apparatus | LG DISPLAY CO., LTD. |
10915143 | Systems and methods for customizing display modes for a touch-sensitive secondary display | APPLE INC. |
10915145 | Electronic book | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10915147 | Portable electronic device and method of controlling an image of a display module | -- |
10915148 | Dynamic distortion correction for optical compensation | FACEBOOK TECHNOLOGIES, LLC |
10915161 | Facilitating dynamic non-visual markers for augmented reality on computing devices | INTEL CORPORATION |
10915162 | Flexure based guidance system for varifocal head-mounted displays | FACEBOOK TECHNOLOGIES, LLC |
10915163 | Electronic device, hinge assembly and augmented reality interaction process for electronic device | -- |
10915164 | Directed emitter/sensor for electromagnetic tracking in augmented reality systems | MAGIC LEAP, INC. |
10915165 | Methods and systems for controlling a displacement of a virtual point of view in a virtual reality environment | -- |
10915166 | Simulation system, processing method, and information storage medium | BANDAI NAMCO ENTERTAINMENT INC. |
10915167 | Rendering rich media content based on head position information | AMAZON TECHNOLOGIES, INC. |
10915168 | Input method and apparatus of device | GOERTEK INC. |
10915169 | Correcting method and device for eye-tracking | -- |
10915170 | Eye-protection display device and method | BOE TECHNOLOGY GROUP CO., LTD. |
10915171 | Method and apparatus for communication between humans and devices | PERTH ROAD VILLAGE |
10915173 | Haptic feedback system | MICROSOFT TECHNOLOGY LICENSING, LLC |
10915174 | Electronic devices with directional haptic output | APPLE INC. |
10915175 | Haptic notification system for vehicles | HARMAN INTERNATIONAL INDUSTRIES, INCORPORATED |
10915176 | Apparatus for providing haptic pattern on smart device | LYNTZ CO., LTD. |
10915177 | Three-dimensional perceptions in haptic systems | ULTRAHAPTICS IP LTD |
10915178 | Communication system, server, storage medium, and communication control method | SONY CORPORATION |
10915179 | Vehicle air suspension control system | TESLA, INC. |
10915180 | Systems and methods for monitoring a user's eye | GOOGLE LLC |
10915181 | Push button switch with operation unit latched to base | OMRON CORPORATION |
10915182 | Keyboard | -- |
10915183 | Automatic language selection in messaging application | AVAST SOFTWARE S.R.O. |
10915184 | Object navigation device and object navigation method | -- |
10915185 | Generating a three-dimensional image using tilt angle of a digital pen | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10915186 | Projection video display apparatus and video display method | MAXELL, LTD. |
10915187 | Panel and manufacturing method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
10915188 | Information processing apparatus, information processing method, and program | SONY CORPORATION |
10915189 | Display device with built-in touch screen and method for driving the same | LG DISPLAY CO., LTD. |
10915190 | Touch substrate and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10915191 | Touch substrate, method for manufacturing touch substrate, and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10915192 | Method for driving display panel, display panel and display device | CHONGQING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10915193 | Touch panel, display device and manufacturing method of touch panel | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10915194 | Touch display panel and touch display device | KUNSHAN GO-VISIONOX OPTO-ELECTRONICS CO., LTD. |
10915195 | Electronic apparatus | AU OPTRONICS (SUZHOU) CORP., LTD. |
10915196 | In-cell touch display panel | HANNSTAR DISPLAY (NANJING) CORPORATION |
10915197 | Display device with sensor | JAPAN DISPLAY INC. |
10915198 | Breast pump or other medical devices with dynamically adaptive pump configuration providing error detection and distinctive suction profile | CLINICARE LTD. |
10915199 | Input device and control method of the same | HYUNDAI MOTOR COMPANY |
10915200 | Touch and display driver, driving method, host and touch display apparatus | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10915202 | Display device with a touch sensor | JAPAN DISPLAY INC. |
10915203 | Display panel | -- |
10915204 | Systems and methods for identifying faulty touch panel having intermittent field failures | HAND HELD PRODUCTS, INC. |
10915205 | Touch panels and methods of manufacturing touch panels | SAMSUNG DISPLAY CO., LTD. |
10915206 | Touch screen panel and display device including the same | LG DISPLAY CO., LTD. |
10915207 | Multipoint touch surface controller | APPLE INC. |
10915208 | Touch substrate, fabrication method, touch display panel, and touch display device | BOE TECHNOLOGY GROUP CO., LTD. |
10915209 | Liquid detecting method and controller for a capacitive touch pad | -- |
10915210 | Touch display panel and touch display device | LG DISPLAY CO., LTD. |
10915211 | Touch panel, method for fabricating the same, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10915212 | Conductive film, conductive film having three-dimensional shape, method of producing the same, method of producing stretched film, and touch sensor film | FUJIFILM CORPORATION |
10915213 | Touch sensor | SAMSUNG DISPLAY CO., LTD. |
10915214 | Annunciator drawer | Z124 |
10915215 | System and method for optimizing the viewability of website content | OPENX TECHNOLOGIES, INC. |
10915216 | User interface for access control enabled peer-to-peer sharing | GOOGLE LLC |
10915217 | Application for assisting in conducting covert cyber operations | NTREPID, LLC |
10915218 | Methods and systems for an intermediate graphical desktop sharing protocol | SKYTAP |
10915219 | Tracking changes in collaborative authoring environment | MICROSOFT TECHNOLOGY LICENSING, LLC |
10915220 | Input terminal device and operation input method | MAXELL, LTD. |
10915221 | Predictive facsimile cursor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10915222 | Multi-disciplinary team workspace | CERNER INNOVATION, INC. |
10915223 | Mobile terminal and method for controlling the same | LG ELECTRONICS INC. |
10915224 | Portable electronic device with interface reconfiguration mode | APPLE INC. |
10915225 | User terminal apparatus and method of controlling the same | SAMSUNG ELECTRONICS CO., LTD. |
10915226 | Mobile user interface to access shared folders | EMC IP HOLDING COMPANY LLC |
10915227 | System for adjustment of resource allocation based on multi-channel inputs | BANK OF AMERICA CORPORATION |
10915228 | Character input device, character input method, and character input program | OMRON CORPORATION |
10915229 | Merging entities maintained by an online system subject to selection of interactions with an entity being merged by users who performed the interactions with the entity being merged | FACEBOOK, INC. |
10915230 | Layer superimposition in a user interface for a lighting plan | CITELUM SA |
10915231 | Seat selection application for social distancing compliance | -- |
10915232 | Methods, systems, and media for specifying different content management techniques across various publishing platforms | INTEGRAL AD SCIENCE, INC. |
10915233 | Automated entity correlation and classification across heterogeneous datasets | ORACLE INTERNATIONAL CORPORATION |
10915234 | Responsive, visual presentation of informational briefs on user requested topics | MOTOROLA MOBILITY LLC |
10915235 | Mobile device and method for editing and deleting pages | SAMSUNG ELECTRONICS CO., LTD. |
10915236 | User interface design system | TARGET BRANDS INC. |
10915237 | Testing of virtual user interfaces | -- |
10915238 | Data processing method and apparatus applied to electronic map, and mobile terminal | BEIJING XINGXUAN TECHNOLOGY CO., LTD. |
10915239 | Providing bitmap image format files from media | FOX BROADCASTING COMPANY, LLC |
10915240 | Method of selection and manipulation of graphical objects | ALIGHT CREATIVE, INC. |
10915241 | Communication device with ID input method which conforms to positional notation of numeral system | TINYPOWERS CO., LTD. |
10915242 | Interface to computer and other display information | -- |
10915243 | Device, method, and graphical user interface for adjusting content selection | APPLE INC. |
10915244 | Reading and writing via file system for tape recording system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10915245 | Allocation of external memory | KOVE IP, LLC |
10915246 | Cloud storage format to enable space reclamation while minimizing data transfer | NETAPP, INC. |
10915247 | Efficient data management through compressed data interfaces | WESTERN DIGITAL TECHNOLOGIES, INC. |
10915248 | Memory device | -- |
10915249 | Apparatuses and methods for in-memory operations | MICRON TECHNOLOGY, INC. |
10915250 | Addressing usage of shared SSD resources in volatile and unpredictable operating environments | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10915251 | Dynamic parallelism | EMC IP HOLDING COMPANY LLC |
10915252 | System and method for managing a group of storage devices using their wear levels and a target wearing profile | VMWARE, INC. |
10915253 | Temporary enrollment in anonymously obtained credentials | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10915254 | Technologies for contemporaneous access of non-volatile and volatile memory in a memory device | INTEL CORPORATION |
10915255 | Restore of secondary data using thread pooling | COMMVAULT SYSTEMS, INC. |
10915256 | Efficient mapping scheme with deterministic power transition times for flash storage devices | SK HYNIX INC. |
10915257 | Semiconductor device and semiconductor system | SAMSUNG ELECTRONICS CO., LTD. |
10915258 | Bi-directional negotiation for dynamic data chunking | INTEL CORPORATION |
10915259 | Memory device, memory controller and memory system including them, and method for operating them for matching operation mode of memory interfaces | SK HYNIX INC. |
10915260 | Dual-mode deduplication based on backup history | VERITAS TECHNOLOGIES LLC |
10915261 | Selecting a set of storage units in a distributed storage network | PURE STORAGE, INC. |
10915262 | Hybrid storage device partitions with storage tiers | SEAGATE TECHNOLOGY LLC |
10915263 | Apparatuses and methods for partitioned parallel data movement | MICRON TECHNOLOGY, INC. |
10915264 | Apparatus, systems, and methods to reclaim storage associated with cached data | INTEL CORPORATION |
10915265 | Controller, operating method thereof and memory system for controlling a buffer | SK HYNIX INC. |
10915266 | Storage device | TOSHIBA MEMORY CORPORATION |
10915267 | Atomic cross-media writes on a storage device | INTEL CORPORATION |
10915268 | Event based runtime scheduling | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10915269 | Method for using set parameters to determine processor priority for accessing memory within system on chip having multiple processors | -- |
10915270 | Random file I/O and chunked data upload | CLIPCHAMP IP PTY LTD |
10915271 | Memory controller with programmable atomic operations | MICRON TECHNOLOGY, INC. |
10915272 | Data management in shared storage systems including movement of logical units of data and mapping of virtual devices to storage device groups, wherein the movement and the mapping are, both, based on policy specifying that backup data type cannot be shared with other data types | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10915273 | Apparatus and method for identifying and printing a replacement version of a document | XEROX CORPORATION |
10915274 | Computer-readable medium, communication system, and method for making it easier to imagine output image from each selectable printer | BROTHER KOGYO KABUSHIKI KAISHA |
10915276 | Defect control in security setting of image forming apparatus | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10915277 | Method and apparatus for securing peripheral devices | VIDEOJET TECHNOLOGIES INC. |
10915278 | Information processing apparatus that provides print data to printer and control method therefor | CANON KABUSHIKI KAISHA |
10915279 | Printing apparatus | SEIKO EPSON CORPORATION |
10915280 | Control apparatus,executing printing of a print job,control method,and non-transitory computer-readable storage medium storing program | CANON KABUSHIKI KAISHA |
10915281 | Printing apparatus having changeable print settings, control method, and storage medium | CANON KABUSHIKI KAISHA |
10915282 | Information processing apparatus that manages print jobs based on order information, printing system, and print control method | CANON KABUSHIKI KAISHA |
10915283 | Communication device, control method, and non-transitory computer-readable storage medium for print job with post-processing | CANON KABUSHIKI KAISHA |
10915284 | Multi-monitor full screen mode in a windowing environment | LOCKHEED MARTIN CORPORTATION |
10915285 | Multi-purpose conference terminal | BOE TECHNOLOGY GROUP CO., LTD. |
10915286 | Displaying shared content on respective display devices in accordance with sets of user preferences | LENOVO (SINGAPORE) PTE. LTD. |
10915287 | Display device for a vehicle having migration of image display | TOYOTA JIDOSHA KABUSHIKI KAISHA |
10915288 | Systems and methods for sharing physical writing actions | INKERZ PTY LTD. |
10915289 | Shared terminal and image transmission method | RICOH COMPANY, LTD. |
10915290 | Augmented reality software development kit | BOSE CORPORATION |
10915291 | User-interfaces for audio-augmented-reality | BOSE CORPORATION |
10915292 | Bluetooth speaker configured to produce sound as well as simultaneously act as both sink and source | EAGLE ACOUSTICS MANUFACTURING, LLC |
10915293 | Audio control system | EBAY INC. |
10915294 | Sound adjustment method for hearing protection and sound adjustment device performing the same | -- |
10915295 | Automated generation of audio daily activity overview powered by a database | SALESFORCE.COM, INC. |
10915296 | Information apparatus that includes a touch sensitive screen interface for managing or replying to e-mails | FLEXIWORLD TECHNOLOGIES, INC. |
10915300 | Editing a database during preview of a virtual web page | WIX.COM LTD. |
10915306 | Publishing customized application modules | VIGNET INCORPORATED |
10915316 | Correcting comment drift in merges in a version control system | ATLASSIAN PTY LTD. |
10915319 | Two dimensional masked shift instruction | GOOGLE LLC |
10915336 | Optimizing content engagement with imposed content constraints | AMAZON TECHNOLOGIES, INC. |
10915363 | Resource sharing controller of a computer platform and associated resource sharing method | THALES |
10915365 | Determining a quantity of remote shared partitions based on mapper and reducer nodes | HUAWEI TECHNOLOGIES CO., LTD. |
10915381 | System and method for computational storage device intercommunication | NGD SYSTEMS, INC. |
10915384 | Information processing method and device | BEIJING XINGXUAN TECHNOLOGY CO., LTD. |
10915395 | Read retry with targeted auto read calibrate | MICRON TECHNOLOGY, INC. |
10915397 | Data storage method, apparatus, and system | HUAWEI TECHNOLOGIES CO., LTD. |
10915398 | Memory system and operating method thereof | SK HYNIX INC. |
10915399 | Storage system with error recovery mechanism and method of operation thereof | CNEX LABS, INC. |
10915401 | Data saving caused by a partial failure of the memory device | HITACHI, LTD. |
10915406 | Storage unit replacement using point-in-time snap copy | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10915414 | Test controller for concurrent testing of an application on multiple devices without using pre-recorded scripts | CITRIX SYSTEMS, INC. |
10915440 | Namespace mapping optimization in non-volatile memory devices | MICRON TECHNOLOGY, INC. |
10915441 | Storage system having non-volatile memory device | HITACHI, LTD. |
10915442 | Managing block arrangement of super blocks | -- |
10915443 | Allocation of overprovisioned blocks for minimizing write amplification in solid state drives | MICRON TECHNOLOGY, INC. |
10915449 | Prioritizing data requests based on quality of service | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
10915450 | Methods and systems for padding data received by a state machine engine | MICRON TECHNOLOGY, INC. |
10915452 | Method, system, and computer program product for maintaining a cache | VISA INTERNATIONAL SERVICE ASSOCIATION |
10915455 | Cache warming: agility for a stateful service | NETFLIX, INC. |
10915458 | Configuration of isolated regions or zones based upon underlying memory geometry | RADIAN MEMORY SYSTEMS, INC. |
10915469 | Method and apparatus for supporting a field programmable gate array (FPGA) based add-in-card (AIC) solid state drive (SSD) | SAMSUNG ELECTRONICS CO., LTD. |
10915470 | Memory system | SK HYNIX INC. |
10915490 | Audio streams over peripheral component interconnect (PCI) express (PCIE) links | QUALCOMM INCORPORATED |
10915493 | Component building blocks and optimized compositions thereof in disaggregated datacenters | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10915499 | Filesystem durable write operations to cloud object storage | CISCO TECHNOLOGY, INC. |
10915501 | Inline content file item attachment | MICROSOFT TECHNOLOGY LICENSING, LLC |
10915503 | Efficient detection of available space in a file system space map | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10915514 | Methods and systems for fast set-membership tests using one or more processors that support single instruction multiple data instructions | ORACLE INTERNATIONAL CORPORATION |
10915526 | Historical data replay utilizing a computer system | DEEPHAVEN DATA LABS LLC |
10915565 | Retrieval result providing device and retrieval result providing method | ALPINE ELECTRONICS, INC. |
10915568 | Selecting digital content for inclusion in media presentations | GOPRO, INC. |
10915579 | Threshold establishment for key performance indicators derived from machine data | SPLUNK INC. |
10915580 | Providing query suggestions and/or search results based on determined user activity | GOOGLE LLC |
10915583 | Suggested field extraction | SPLUNK INC. |
10915586 | Search engine for identifying analogies | KENSHO TECHNOLOGIES, LLC |
10915599 | System and method for producing transferable, modular web pages | ELEMENTOR LTD. |
10915619 | Virtual reality identity verification | ADVANCED NEW TECHNOLOGIES CO., LTD. |
10915620 | Paint on micro chip touch screens | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10915646 | Method and apparatus for network secure storage | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
10915651 | Automated data transfer from mobile application silos to authorized third-party applications | MICROSOFT TECHNOLOGY LICENSING, LLC |
10915654 | Data loss prevention | NOBLIS, INC. |
10915668 | Secure display device | CRYPTERA A/S |
10915671 | Methods and systems for processing building information modeling (BIM)-based data | VIEWPOINT, INC. |
10915695 | Electronic document content augmentation | MICROSOFT TECHNOLOGY LICENSING, LLC |
10915697 | Computer-implemented presentation of synonyms based on syntactic dependency | GRAMMARLY, INC. |
10915698 | Multi-purpose tool for interacting with paginated digital content | BARNES & NOBLE COLLEGE BOOKSELLERS, LLC |
10915699 | Dynamic referencing of term definitions within a document | NEXWRITER LIMITED |
10915705 | Media content item generation for a content sharing platform | SNAP INC. |
10915713 | Apparatus, methods and computer programs for enabling information to be read from an apparatus | NOKIA TECHNOLOGIES OY |
10915726 | Display device and biometric detection method thereof | -- |
10915740 | Facial mirroring in virtual and augmented reality | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10915747 | Graphical user interface created via inputs from an electronic document | GOOGLE LLC |
10915753 | Operation assistance apparatus, operation assistance method, and computer readable recording medium | NEC CORPORATION |
10915768 | Vehicle and method of controlling the same | HYUNDAI MOTOR COMPANY |
10915776 | Modifying capture of video data by an image capture device based on identifying an object of interest within capturted video data to the image capture device | FACEBOOK, INC. |
10915778 | User interface framework for multi-selection and operation of non-consecutive segmented information | SAMSUNG ELECTRONICS CO., LTD. |
10915780 | Error block determination | MICRO FOCUS LLC |
10915827 | System and method for field value recommendations based on confidence levels in analyzed dataset | SALESFORCE.COM, INC. |
10915851 | Generating a unified graphical user interface view from disparate sources | SAP SE |
10915854 | System and method to incorporate customized capacity utilization cost in balancing fulfillment load across retail supply networks | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10915855 | On-demand purchasing and delivery ecosystem | MASTERCARD INTERNATIONAL INCORPORATED |
10915858 | System and method for taking an inventory of containers for beverages | -- |
10915868 | Displaying life events while navigating a calendar | MICROSOFT TECHNOLOGY LICENSING, LLC |
10915904 | Systems and methods for facilitating network transactions based on user authentication | MASTERCARD INTERNATIONAL INCORPORATED |
10915913 | Data structures for categorizing and filtering content | PAYPAL, INC. |
10915940 | Method, medium, and system for analyzing user sentiment to dynamically modify communication sessions | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10915960 | User interface for qualitative risk profile determination | WELLS FARGO BANK, N.A. |
10915981 | Method for efficient re-rendering objects to vary viewports and under varying rendering and rasterization parameters | SONY INTERACTIVE ENTERTAINMENT LLC |
10915984 | Method and system for interactive graphics streaming | ELEKTRAGLIDE LTD |
10915985 | System and method for rendering perspective adjusted views of a virtual object in a real world environment | GROUNDSPEAK, INC. |
10916020 | Method and device for identifying light source | GUANGDONG VIRTUAL REALITY TECHNOLOGY CO., LTD. |
10916040 | Processing image data using different data reduction rates | APICAL LTD. |
10916044 | Information processing apparatus, information processing method, and program | SONY CORPORATION |
10916047 | Object creation using body gestures | MICROSOFT TECHNOLOGY LICENSING, LLC |
10916049 | Device and method for rendering image | SAMSUNG ELECTRONICS CO., LTD. |
10916056 | Method of displaying virtual information in a view of a real environment | APPLE INC. |
10916057 | Method, apparatus and computer program for displaying an image of a real world object in a virtual reality enviroment | NOKIA TECHNOLOGIES OY |
10916058 | Augmented reality devices for hazardous contaminant testing | BECTON, DICKINSON AND COMPANY |
10916059 | Interactive video game system having an augmented virtual representation | UNIVERSAL CITY STUDIOS LLC |
10916060 | Optical elements based on polymeric structures incorporating inorganic materials | MAGIC LEAP, INC. |
10916061 | Systems and methods to synchronize real-world motion of physical objects with presentation of virtual content | DISNEY ENTERPRISES, INC. |
10916062 | 6-DoF tracking using visual cues | GOOGLE LLC |
10916063 | Dockable billboards for labeling objects in a display having a three-dimensional perspective of a virtual or real environment | SPLUNK INC. |
10916064 | Method and system for resolving hemisphere ambiguity using a position vector | MAGIC LEAP, INC. |
10916065 | Prevention of user interface occlusion in a virtual reality environment | FACEBOOK TECHNOLOGIES, LLC |
10916080 | Systems and methods for using motion pattern of a user for authentication | CAPITAL ONE SERVICES, LLC |
10916105 | Processing system for providing enhanced reality interfaces at an automated teller machine (ATM) terminal platform | BANK OF AMERICA CORPORATION |
10916117 | Collison avoidance for wearable apparatuses | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10916121 | Virtual maintenance manager | JOHNSON CONTROLS TECHNOLOGY COMPANY |
10916123 | Control system, control apparatus, and control method | SONY CORPORATION |
10916155 | Method and apparatus for constructing and/or using saliently patterned spatiotemporal inputs that support the development and maintenance of the neural circuitry critical to efficient language processing | RAPT VENTURES, INC. |
10916179 | Display screen and electronic device | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10916181 | Display device | -- |
10916190 | Driving circuit, display panel, and display device including phototransistors | LG DISPLAY CO., LTD. |
10916215 | Video frame rate compensation through adjustment of vertical blanking | SONY INTERACTIVE ENTERTAINMENT LLC |
10916216 | Apparatus for image capture | -- |
10916220 | Detection and display of mixed 2D/3D content | APPLE INC. |
10916222 | Method, apparatus, and virtual reality device for displaying virtual reality scene | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10916226 | Display device | LG ELECTRONICS INC. |
10916244 | Electronic device and controlling method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10916246 | Information processing device, in-vehicle device, and storage medium | TOYOTA JIDOSHA KABUSHIKI KAISHA |
10916247 | Voice control system, control method, and non-transitory computer-readable storage medium storing program | CANON KABUSHIKI KAISHA |
10916250 | Duplicate speech to text display for the deaf | SONY CORPORATION |
10916252 | Accelerated data transfer for latency reduction and real-time processing | NVIDIA CORPORATION |
10916258 | Audio channel monitoring by voice to keyword matching with notification | TELEGRAPH PEAK TECHNOLOGIES, LLC |
10916306 | Burst mode operation conditioning for a memory device | WESTERN DIGITAL TECHNOLOGIES, INC. |
10916316 | Managed NAND performance throttling | MICRON TECHNOLOGY, INC. |
10916337 | Medical scan de-identification system | ENLITIC, INC. |
10916516 | High bandwidth memory (HBM) bandwidth aggregation switch | XILINX, INC. |
10916569 | Thin-film transistor and method of forming an electrode of a thin-film transistor | H.C. STARCK INC. |
10916594 | Display device including a plurality of color filters and a plurality of light emitting layers | JAPAN DISPLAY INC. |
10916600 | Flexible touch control display screen and method for manufacturing same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10916601 | Display device | SAMSUNG DISPLAY CO., LTD. |
10916692 | Piezoelectric detection circuit, method and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10916695 | Electronic device and method for fabricating the same | SK HYNIX INC. |
10916725 | Organic light-emitting diode display panel, method for fabricating the same and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10917101 | Analog to digital conversion circuit with very narrow bandpass digital filtering | SIGMASENSE, LLC. |
10917291 | RAID configuration | NEW H3C INFORMATION TECHNOLOGIES CO., LTD. |
10917297 | Application service configuration system | UBER TECHNOLOGIES, INC. |
10917317 | Enterprise slice management | CISCO TECHNOLOGY, INC. |
10917319 | MDL-based clustering for dependency mapping | CISCO TECHNOLOGY, INC. |
10917321 | Disaggregated physical memory resources in a data center | INTEL CORPORATION |
10917366 | Method and apparatus for managing message in electronic device | SAMSUNG ELECTRONICS CO., LTD. |
10917369 | Information processing apparatus, information processing system, and information processing method | RICOH COMPANY, LTD. |
10917370 | Electronic communication-based storage of documents to support multiple workflows | MICROSOFT TECHNOLOGY LICENSING, LLC |
10917377 | Managing an ephemeral post in a social networking system | FACEBOOK, INC. |
10917390 | Browser drag and drop file upload encryption enforcement | DELL PRODUCTS L.P. |
10917400 | Online security center | UNITED SERVICES AUTOMOBILE ASSOCIATION (USAA) |
10917407 | Method for controlling an electronic display | XPONET |
10917431 | System, method, and device of authenticating a user based on selfie image or selfie video | BIOCATCH LTD. |
10917451 | Systems and methods to facilitate selective dialogue presentation | DISNEY ENTERPRISES, INC. |
10917455 | File transfer display control method and apparatus, and corresponding terminal | BEIJING DAJIA INTERNET INFORMATION TECHNOLOGY CO., LTD. |
10917459 | Server load management for data migration | SKYKICK, INC. |
10917465 | Synchronization setting device and distribution system | YAMAHA CORPORATION |
10917496 | Networked storage architecture | AMAZON TECHNOLOGIES, INC. |
10917508 | Mobile terminal that switches audio paths based on detected movement of the terminal | LG ELECTRONICS INC. |
10917509 | Smart watch and method for unlocking the same | SAMSUNG DISPLAY CO., LTD. |
10917515 | Method for switching applications in split screen mode, computer device and computer-readable storage medium | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10917528 | Image reading device and image forming apparatus including the same that sequentially transport and read plurality of documents one by one | SHARP KABUSHIKI KAISHA |
10917530 | Image processing apparatus and method | CANON KABUSHIKI KAISHA |
10917531 | Operation apparatus, information processing system, and operation method for outputting a message by voice sound | RICOH COMPANY, LTD. |
10917533 | Information processing apparatus | FUJI XEROX CO., LTD. |
10917540 | Communication apparatus, control method, and recording medium | CANON KABUSHIKI KAISHA |
10917552 | Photographing method using external electronic device and electronic device supporting the same | SAMSUNG ELECTRONICS CO., LTD. |
10917586 | Electronic device providing switching between signals output from concurrently operable image sensors | SAMSUNG ELECTRONICS CO., LTD. |
10917587 | Importing and presenting data | ORACLE INTERNATIONAL CORPORATION |
10917619 | Display apparatus, display system, moveable body, and display method | KYOCERA CORPORATION |
10917634 | Display systems and methods for determining registration between a display and a user's eyes | MAGIC LEAP, INC. |
10917687 | Methods, systems, and media for presenting caption information based on volume setting adjustments | GOOGLE LLC |
10917689 | Systems and methods for episode tracking in an interactive media environment | ROVI GUIDES, INC. |
10917690 | Intelligent and context aware reading systems | MASSACHUSETTS MUTUAL LIFE INSURANCE COMPANY |
10917722 | System and method for digital signal processing | BONGIOVI ACOUSTICS, LLC |
10917734 | Electronic device for controlling speaker and operating method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10917747 | Apparatus and method for detecting objects and navigation | AT&T INTELLECTUAL PROPERTY I, L.P. |
10917762 | Communications system with common electronic interface | CHALLENGE STAR LLC |
10917767 | IOT device selection | INTEL CORPORATION |
10917827 | Communication system and head mounted display | -- |
10917851 | Information processing device, electronic device, control method of information processing device and storage medium | SHARP KABUSHIKI KAISHA |
10917958 | Illumination system and method for maintaining a common illumination value on a release command sent from a keypad | LUTRON KETRA, LLC |
10918100 | Rabbit and squirrel call device | INNOVATIVE SPORTSMEN, LLC |
10918112 | Dough preparation apparatus and methods | DUKE MANUFACTURING CO. |
10918151 | Collaboration in an apparel design system | LEVI STRAUSS & CO. |
10918311 | User movement monitoring method and system performing the same | KOREA ELECTRONICS TECHNOLOGY INSTITUTE |
10918332 | Systems and methods for monitoring physical therapy of the knee and other joints | ZIPLINE MEDICAL, INC. |
10918338 | Anatomical-imaging communication device | THE AGA KHAN UNIVERSITY |
10918398 | Method and apparatus for treating a joint, including the treatment of cam-type femoroacetabular impingement in a hip joint and pincer-type femoroacetabular impingement in a hip joint | STRYKER CORPORATION |
10918446 | Systems and methods for selecting, activating, or selecting and activating transducers | KARDIUM INC. |
10918450 | Controlling a laser surgical device with a sensation generator and a gesture detector | ALCON INC. |
10918872 | Method and device for neural implant communication | SALUDA MEDICAL PTY LTD |
10918936 | Terminal apparatus, information processing apparatus, and microphone voice transmission method | SONY INTERACTIVE ENTERTAINMENT INC. |
10918945 | Methods and systems for spectating characters in follow-mode for virtual reality views | SONY INTERACTIVE ENTERTAINMENT INC. |
10919148 | Event processing using robotic entities | BANK OF AMERICA CORPORATION |
10919540 | Driving assistance method, and driving assistance device, driving control device, vehicle, and recording medium using said method | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10920014 | Compounds, compositions including the same, and layered structures and devices prepared from the compositions | SAMSUNG ELECTRONICS CO., LTD. |
10920357 | Washing apparatus | LG ELECTRONICS INC. |
10920806 | System for controlling deformation of flexible screen | KUNSHAN NEW FLAT PANEL DISPLAY TECH. CR. CO., LTD. |
10920946 | In-mold electronics package | -- |
10921008 | Indoor comfort control system and method with multi-party access | BRAEBURN SYSTEMS LLC |
10921039 | Food storage apparatus and control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10921053 | Domestic appliance comprising illumination device for recessed grip | BSH HAUSGERAETE GMBH |
10921150 | Navigation application programming interface | GOOGLE LLC |
10921381 | Systems and methods for monitoring and presenting battery information | NORTHSTAR BATTERY COMPANY, LLC |
10921432 | Seamless authentication using radar | GOOGLE LLC |
10921586 | Image processing method and apparatus in virtual reality device | HUAWEI TECHNOLOGIES CO., LTD. |
10921592 | Self-contained breathing apparatus face piece lens vision system | SMOKE-I CORPORATION |
10921595 | Contextual adjustment to augmented reality glasses | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10921599 | Automatic placement of a virtual object in a three-dimensional space | MAGIC LEAP, INC. |
10921605 | Systems, devices, and methods for notification management | GOOGLE LLC |
10921628 | Input device | SHARP KABUSHIKI KAISHA |
10921653 | Display panel, display apparatus and control method thereof | CHONGQING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10921659 | Pixel structure, drive method thereof, display panel, and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10921660 | Circuit board, display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10921693 | Focal length calibration method and projection device | -- |
10921694 | Dynamic user control system | KINESTRAL TECHNOLOGIES, INC |
10921704 | Method for controlling projection content and electronic device | -- |
10921764 | Neuromuscular control of physical objects in an environment | FACEBOOK TECHNOLOGIES, LLC |
10921776 | Log collecting device, industrial robot, and electric-powered press | JANOME SEWING MACHINE CO., LTD. |
10921796 | Component information retrieval device, component information retrieval method, and program | MITSUBISHI POWER, LTD. |
10921802 | Handheld device for navigating a marine vessel | GARMIN SWITZERLAND GMBH |
10921851 | Devices, systems, and methods for displaying visual features | -- |
10921854 | Electronic device with sensing strip | APPLE INC. |
10921865 | Input touchpad module and computing device having a touch member with improved swinging stability | -- |
10921866 | Access to high frame-rate radar data via a circular buffer | GOOGLE LLC |
10921873 | Method for displaying content and electronic device thereof | SAMSUNG ELECTRONICS CO., LTD. |
10921877 | Silhouette-based limb finder determination | MICROSOFT TECHNOLOGY LICENSING, LLC |
10921878 | Virtual spaces, mixed reality spaces, and combined mixed reality spaces for improved interaction and collaboration | FACEBOOK, INC. |
10921879 | Artificial reality systems with personal assistant element for gating user interface elements | FACEBOOK TECHNOLOGIES, LLC |
10921880 | System, method, and computer-readable medium for displaying virtual image based on position detected by sensor | GREE, INC. |
10921881 | Position tracking system for head-mounted displays that includes sensor integrated circuits | VALVE CORPORATION |
10921882 | Human-machine interaction method, system and apparatus for controlling an electronic device | -- |
10921883 | Eye tracking for management of mobile device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10921884 | Virtual reality/augmented reality apparatus and method | INTEL CORPORATION |
10921885 | Occupant supports and virtual visualization and navigation | -- |
10921886 | Circumferential array of electromyographic (EMG) sensors | MEDIBOTICS LLC |
10921887 | Cognitive state aware accelerated activity completion and amelioration | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10921888 | Sensory evoked response based attention evaluation systems and methods | CORNELL UNIVERSITY |
10921890 | Method and apparatus for providing tactile sensations | ULTRAHAPTICS IP LTD |
10921891 | Systems and methods for authentication code entry in touch-sensitive screen enabled devices | VERIFONE, INC. |
10921892 | Personalized tactile output | SUBPAC, INC. |
10921893 | Personalized tactile output | SUBPAC, INC. |
10921894 | User interface device | MITSUBISHI ELECTRIC CORPORATION |
10921895 | Multi-directional actuating module | CK MATERIALS LAB CO., LTD. |
10921896 | Device interaction in augmented reality | FACEBOOK TECHNOLOGIES, LLC |
10921897 | System and method for assisting operator engagement with input devices | INTUITIVE SURGICAL OPERATIONS, INC. |
10921898 | Method and apparatus for manipulating content in an interface | ATHEER, INC. |
10921899 | Interaction system using collocated visual, haptic, and/or auditory feedback | HARMAN INTERNATIONAL INDUSTRIES, INCORPORATED |
10921900 | Vehicle closure panel control assembly and method | FORD GLOBAL TECHNOLOGIES, LLC |
10921901 | Electronic device having multi-functional human interface | INNOPRESSO, INC. |
10921902 | Electronic device having multi-functional human interface | INNOPRESSO, INC. |
10921903 | Predicting text input based on user demographic information and context information | SAMSUNG ELECTRONICS CO., LTD. |
10921904 | Dynamically balanced multi-degrees-of-freedom hand controller | FLUIDITY TECHNOLOGIES INC. |
10921905 | Keyboard module | LITE-ON ELECTRONICS (GUANGZHOU) LIMITED |
10921906 | Pen-shaped position indicator | WACOM CO., LTD. |
10921907 | Multipurpose stylus with exchangeable modules | APPLE INC. |
10921908 | Stylus pen and method for manufacturing the same | HIDEEP INC. |
10921909 | Input touch pen | MITSUBISHI PENCIL COMPANY, LIMITED |
10921910 | High resolution touch sensor | DONGWOO FINE-CHEM CO., LTD. |
10921911 | Methods, apparatus and systems for controlling the operation of a smart watch | -- |
10921912 | Technologies for interfacing an input overlay device with a touch screen compute device | INTEL CORPORATION |
10921913 | Rotatable knob interface | SYNAPTICS INCORPORATED |
10921914 | Touch sensing apparatus, touch control display panel, touch control display apparatus, and touch sensing method including magnetic sensor units | BOE TECHNOLOGY GROUP CO., LTD. |
10921915 | Touch panel, display device and driving method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
10921916 | Display device | SAMSUNG DISPLAY CO., LTD. |
10921917 | Input sensing circuit and display module having the same | SAMSUNG DISPLAY CO., LTD. |
10921918 | Touch display device and method for driving the same | -- |
10921919 | Touch panel display device | JAPAN DISPLAY INC. |
10921920 | Gestures and touches on force-sensitive input devices | AMAZON TECHNOLOGIES, INC. |
10921921 | Force sensitive capacitive sensor | KOSTAL OF AMERICA, INC. |
10921922 | Mobile terminal having a touch region to obtain fingerprint information | LG ELECTRONICS INC. |
10921923 | Information processing apparatus and non-transitory recording medium storing program for controlling information processing apparatus | BROTHER KOGYO KABUSHIKI KAISHA |
10921924 | Display device and method of driving the same in two modes | SAMSUNG DISPLAY CO., LTD. |
10921925 | Touch display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10921926 | Apparatus and method for recognizing proximity motion using sensors | SAMSUNG ELECTRONICS CO., LTD. |
10921927 | Alterable ground plane for touch surfaces | TACTUAL LABS CO. |
10921928 | Input apparatus and input system | SHARP KABUSHIKI KAISHA |
10921929 | Touch screen panel and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10921930 | Display apparatus, display system, and method for controlling display apparatus | SEIKO EPSON CORPORATION |
10921931 | Touch input system | SHARP KABUSHIKI KAISHA |
10921932 | Adaptive touch panel system and an adaptive method thereof adapted to environmental change | -- |
10921933 | Touch detection apparatus and touch detection method | -- |
10921934 | Information processing apparatus, information processing method, and recording medium | SONY CORPORATION |
10921935 | Interactive projection system and interactive projection method | -- |
10921936 | Liquid crystal display device associated with touch panel | LG DISPLAY CO., LTD. |
10921937 | Touch panel and method for making same | INTERFACE TECHNOLOGY (CHENGDU) CO., LTD. |
10921938 | Capacitance detecting circuit, touch detecting device and terminal device | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
10921939 | Device and method for capacitive sensing with noise mitigation | SYNAPTICS INCORPORATED |
10921940 | Touch sensor | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10921941 | Electronic device having display and surrounding touch sensitive surfaces for user interface and control | APPLE INC. |
10921942 | Touch system, its touch device and input device, and its signal transmission method | -- |
10921943 | Compliant material for protecting capacitive force sensors and increasing capacitive sensitivity | APPLE INC. |
10921944 | Touch panel, method for manufacturing the same and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10921945 | Resistive force touch control device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10921946 | Systems and methods for smoothing views of videos | GOPRO, INC. |
10921948 | Dynamic resource management for cloud-based services | AMAZON TECHNOLOGIES, INC. |
10921949 | User interface for integrated gestural interaction and multi-user collaboration in immersive virtual reality environments | ULTRAHAPTICS IP TWO LIMITED |
10921950 | Pointing and interaction control devices for large scale tabletop models | FORD GLOBAL TECHNOLOGIES, LLC |
10921951 | Dual-purpose user-interface control for data submission and capturing feedback expressions | ORACLE INTERNATIONAL CORPORATION |
10921952 | Dynamic button with visual indication of application action result | SAP SE |
10921953 | Display controlling device, display controlling method, and non-transitory computer-readable recording medium storing instructions therefor | BROTHER KOGYO KABUSHIKI KAISHA |
10921954 | Method for sharing content and content sharing system | SAMSUNG ELECTRONICS CO., LTD. |
10921955 | Non-transitory computer-readable storage medium with executable information processing program stored thereon, information processing apparatus, information processing system, and information processing method | NINTENDO CO., LTD. |
10921956 | System and method for assessing content | SUMMIZE, INC. |
10921957 | User interface for context labeling of multimedia items | CLARIFAI, INC. |
10921958 | Electronic device supporting avatar recommendation and download | SAMSUNG ELECTRONICS CO., LTD. |
10921959 | Customer service management workspace | SERVICENOW, INC. |
10921960 | Mapping geological features using facies-type data manipulation | LANDMARK GRAPHICS CORPORATION |
10921961 | Outputting history log information | TRIMBLE SOLUTIONS CORPORATION |
10921962 | Systems and methods for automatically associating objects with a value | LOOP COMMERCE, INC. |
10921963 | Information processing apparatus, information processing method, and program for controlling a location at which an operation object for a device to be operated is displayed | SONY CORPORATION |
10921964 | Message input reception device, message system, and information storage medium | KONAMI DIGITAL ENTERTAINMENT CO., LTD. |
10921965 | Computing system for presenting patient health records in a problem-centric manner | ALLSCRIPTS SOFTWARE, LLC |
10921966 | Digitizing device and digitizing method | SHARP KABUSHIKI KAISHA |
10921967 | Electronic device and method for configuring display thereof | SAMSUNG ELECTRONICS CO., LTD. |
10921968 | Laser finishing design tool with image preview | LEVI STRAUSS & CO. |
10921969 | Interface for navigating imagery | GOOGLE LLC |
10921970 | Slide bar display control device and slide bar display control method | NEC CORPORATION |
10921971 | Methods and systems for presenting multiple live video feeds in a user interface | GOOGLE LLC |
10921972 | Building system with data model including bidirectional relationships | JOHNSON CONTROLS TECHNOLOGY COMPANY |
10921973 | Building system with a building model including semantic relationships | JOHNSON CONTROLS TECHNOLOGY COMPANY |
10921974 | Using drag and drop to apply metadata | MICROSOFT TECHNOLOGY LICENSING, LLC |
10921975 | Devices, methods, and user interfaces for conveying proximity-based and contact-based input events | APPLE INC. |
10921976 | User interface for manipulating user interface objects | APPLE INC. |
10921977 | Information processing apparatus and information processing method | FUJITSU LIMITED |
10921978 | Shaft feeder | FANUC CORPORATION |
10921979 | Display and processing methods and related apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
10921980 | Flick to send or display content | TIVO SOLUTIONS INC. |
10921981 | Electronic device and mode switching method of thereof | -- |
10921982 | Device and method for operating a device | VOLKSWAGEN AKTIENGESELLSCHAFT |
10921983 | Toolbar dashboard functionality | MICROSOFT TECHNOLOGY LICENSING, LLC |
10921984 | Protection of user data in data storage devices using preemptive health reporting | WESTERN DIGITAL TECHNOLOGIES, INC. |
10921986 | Efficient space management for high performance writable snapshots | ORACLE INTERNATIONAL CORPORATION |
10921987 | Deduplication of large block aggregates using representative block digests | EMC IP HOLDING COMPANY LLC |
10921988 | System and method for discovering parallelism of memory devices | SK HYNIX INC. |
10921990 | Method, electronic device and computer program product for storage | EMC IP HOLDING COMPANY LLC |
10921991 | Rule invalidation for a block store management system | AMAZON TECHNOLOGIES, INC. |
10921992 | Method and system for data placement in a hard disk drive based on access frequency for improved IOPS and utilization efficiency | ALIBABA GROUP HOLDING LIMITED |
10921993 | Supporting MPIO for logical volume backed virtual disks | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10921994 | Managing storage array configuration | EMC IP HOLDING COMPANY LLC |
10921995 | Systems and methods for packing data in a scalable memory system protocol | MICRON TECHNOLOGY, INC. |
10921996 | Data lines updating for data generation | MICRON TECHNOLOGY, INC. |
10921997 | Information capture device and control method thereof | -- |
10921998 | Memory system and operating method thereof | SK HYNIX INC. |
10921999 | Initialization of memory in a computer system | DIALOG SEMICONDUCTOR B.V. |
10922000 | Controller, operating method thereof, and memory system including the same | SK HYNIX INC. |
10922001 | Vector-based storage management | EMC IP HOLDING COMPANY LLC |
10922002 | Processing I/O requests using a redundant array of independent disks (RAID) | EMC IP HOLDING COMPANY LLC |
10922003 | Realizing host-assisted device-level data deduplication on solid-state data storage devices with embedded non-volatile memory | SCALEFLUX, INC. |
10922004 | Systems and methods for migrating data records | ZHEJIANG DAHUA TECHNOLOGY CO., LTD. |
10922005 | Infinite memory fabric streams and APIs | ULTRATA, LLC |
10922006 | System and method for storing redundant information | COMMVAULT SYSTEMS, INC. |
10922007 | High-performance writable snapshots in data storage systems | ORACLE INTERNATIONAL CORPORATION |
10922008 | System and method for backup of virtual machines organized using logical layers | EMC IP HOLDING COMPANY LLC |
10922009 | Mirroring write operations across data storage devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10922010 | Secure data removal | MICRON TECHNOLOGY, INC. |
10922011 | Controllers configured to perform secure deletion, key-value storage devices including said controllers, and methods of operation thereof | SAMSUNG ELECTRONICS CO., LTD. |
10922012 | Fair data scrubbing in a data storage system | DROPBOX, INC. |
10922013 | Suspending and resuming a read operation for a non-volatile memory | WESTERN DIGITAL TECHNOLOGIES, INC. |
10922014 | Die access order variation | SEAGATE TECHNOLOGY LLC |
10922015 | Processing system, related integrated circuit, device and method | STMICROELECTRONICS APPLICATION GMBH |
10922016 | Data processing system and operating method thereof | SK HYNIX INC. |
10922017 | Memories for reading data corresponding to multiple addresses associated with a read command | MICRON TECHNOLOGY, INC. |
10922018 | System and method for latency aware data access | VERIZON MEDIA INC. |
10922019 | Data writing method, memory controlling circuit unit and memory storage device | -- |
10922020 | Writing and querying operations in content addressable memory systems with content addressable memory buffers | MICRON TECHNOLOGY, INC. |
10922021 | Data storage method based on data type identification, memory storage apparatus and memory control circuit unit | -- |
10922022 | Method and system for managing LBA overlap checking in NVMe based SSDs | SAMSUNG ELECTRONICS CO., LTD. |
10922023 | Method for accessing code SRAM and electronic device | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
10922024 | Self-protection against serialization incompatibilities | AMAZON TECHNOLOGIES, INC. |
10922025 | Nonvolatile memory bad row management | SAMSUNG ELECTRONICS CO., LTD. |
10922026 | Data processing unit having hardware-based range encoding and decoding | FUNGIBLE, INC. |
10922027 | Managing data storage in storage systems | EMC IP HOLDING COMPANY LLC |
10922028 | Data programming method, memory storage device and memory control circuit unit | HEFEI CORE STORAGE ELECTRONIC LIMITED |
10922029 | Information processing device and non-transitory computer readable medium | FUJI XEROX CO., LTD. |
10922031 | Image forming apparatus that adjusts a cycle of a horizontal synchronization signal in accordance with an image width of a page image | KYOCERA DOCUMENT SOLUTIONS INC. |
10922032 | Printing from an untrustworthy source | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10922033 | Information processing apparatus transmitting requests and communication apparatus receiving the requests | BROTHER KOGYO KABUSHIKI KAISHA |
10922034 | Information processing apparatus, information processing system, and non-transitory computer readable medium storing information processing program | FUJI XEROX CO., LTD. |
10922035 | Image forming device and non-transitory computer readable medium for checking processing result and registering processing execution data | FUJI XEROX CO., LTD. |
10922036 | Management of 3D printing | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10922037 | Management apparatus, management system, and non-transitory computer readable medium | FUJI XEROX CO., LTD. |
10922038 | Memory control method, memory control apparatus, and image forming method that uses memory control method | KYOCERA DOCUMENT SOLUTIONS INC. |
10922039 | Image processing apparatus, information processing method, and storage medium | CANON KABUSHIKI KAISHA |
10922040 | Displaying data by a display system | GE AVIATION SYSTEMS LIMITED |
10922041 | Wireless screen transmission method, extension device, and wireless screen transmission system | GUANGZHOU SHIRUI ELECTRONICS CO. LTD. |
10922042 | System for sharing virtual content and method for displaying virtual content | GUANGDONG VIRTUAL REALITY TECHNOLOGY CO., LTD. |
10922043 | Information processing device and information processing method for acquiring information associated with a target | SONY CORPORATION |
10922044 | Wearable audio device capability demonstration | BOSE CORPORATION |
10922045 | Control and audio systems for a boat | MASTERCRAFT BOAT COMPANY, LLC |
10922046 | Method for processing a plurality of A/V signals in a rendering system and associated rendering apparatus and system | INTERDIGITAL CE PATENT HOLDINGS, SAS |
10922047 | Method and device for controlling a terminal speaker and computer readable storage medium | SHENZHEN SKYWORTH-RGB ELECTRONIC CO., LTD. |
10922048 | Sound input/output device for vehicle | TOYOTA JIDOSHA KABUSHIKI KAISHA |
10922049 | Natural language based computer animation | ROUNDFIRE, INC. |
10922050 | System and method for providing mobile personal security platform | ROADWAREZ INC. |
10922051 | Application-specific profile managers | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10922058 | Method, system and apparatus for visual programming of interaction workflows for omni-channel customer contact centers with integrated customer relationship management | OPEN METHODS, INC. |
10922071 | Centralized off-board flash memory for server devices | -- |
10922074 | Deferred state mutation | ORACLE INTERNATIONAL CORPORATION |
10922078 | Host processor configured with instruction set comprising resilient data move instructions | EMC IP HOLDING COMPANY LLC |
10922094 | Systems and methods for proactively providing recommendations to a user of a computing device | APPLE INC. |
10922099 | Methods for user interface generation and application modification | VERSATA FZ-LLC |
10922100 | Method and electronic device for controlling display | SAMSUNG ELECTRONICS CO., LTD. |
10922101 | User interface widget recommendation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10922102 | Method of controlling applications in a terminal and terminal | BOE TECHNOLOGY GROUP CO., LTD. |
10922103 | Electronic transaction method and apparatus | -- |
10922104 | Systems and methods for determining and presenting a graphical user interface including template metrics | ASANA, INC. |
10922105 | Realtime generated assistance video | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10922108 | Information processing apparatus, method for processing information, and information processing program | RICOH COMPANY, LTD. |
10922118 | Distributed container image repository service | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10922132 | Secure migration of servers from customer networks to service provider systems | AMAZON TECHNOLOGIES, INC. |
10922135 | Dynamic multitasking for distributed storage systems by detecting events for triggering a context switch | EMC IP HOLDING COMPANY LLC |
10922147 | Storage system destaging based on synchronization object with watermark | EMC IP HOLDING COMPANY LLC |
10922157 | Managing functions on an iOS mobile device using ANCS notifications | CELLCONTROL, INC. |
10922160 | Managing phys of a data storage target device background of the disclosure | WESTERN DIGITAL TECHNOLOGIES, INC. |
10922168 | Dynamic link error protection in memory systems | QUALCOMM INCORPORATED |
10922178 | Masterless raid for byte-addressable non-volatile memory | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
10922179 | Post rebuild verification | PURE STORAGE, INC. |
10922181 | Using storage locations greater than an IDA width in a dispersed storage network | PURE STORAGE, INC. |
10922188 | Method and system to tag and route the striped backups to a single deduplication instance on a deduplication appliance | EMC IP HOLDING COMPANY LLC |
10922191 | Virtual proxy based backup | EMC IP HOLDING COMPANY LLC |
10922193 | Data backup method, storage medium, and terminal | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10922198 | Cloning failing memory devices in a dispersed storage network | PURE STORAGE, INC. |
10922200 | Memory system and method of operating the same | SK HYNIX INC. |
10922201 | Method and device of data rebuilding in storage system | EMC IP HOLDING COMPANY LLC |
10922221 | Memory management | MICRON TECHNOLOGY, INC. |
10922228 | Multiple location index | EMC IP HOLDING COMPANY LLC |
10922234 | Method and system for online recovery of logical-to-physical mapping table affected by noise sources in a solid state drive | ALIBABA GROUP HOLDING LIMITED |
10922236 | Cascade cache refreshing | ADVANCED NEW TECHNOLOGIES CO., LTD. |
10922238 | Method for storing content, method for consulting content, method for managing content and content readers | ORANGE |
10922246 | System and method of polychromatic identification for a KVM switch | HIGH SEC LABS LTD. |
10922247 | Interface components | MICRON TECHNOLOGY, INC. |
10922256 | Display apparatus and control method for high display bandwidth thereof | -- |
10922258 | Centralized-distributed mixed organization of shared memory for neural network processing | ALIBABA GROUP HOLDING LIMITED |
10922279 | Server for ingesting and updating renderable data objects from a flat file server | GROUPON, INC. |
10922287 | Intelligent layout of composite data structures in tiered storage | CISCO TECHNOLOGY, INC. |
10922290 | Method and apparatus for organizing database system in a cloud environment | TMAX CLOUD CO., LTD. |
10922294 | Methods and systems for fast set-membership tests using one or more processors that support single instruction multiple data instructions | ORACLE INTERNATIONAL CORPORATION |
10922296 | In-memory row storage durability | SAP SE |
10922297 | Garbage collection for in-memory row storage | SAP SE |
10922311 | Dynamic updating of query result displays | DEEPHAVEN DATA LABS LLC |
10922323 | Service processing method, server and computer storage medium | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
10922333 | Efficient management of client synchronization updates | DROPBOX, INC. |
10922339 | Portable globe creation for a geographical information system | GOOGLE LLC |
10922351 | Dynamic feedback in a recommendation system | RCRDCLUB CORPORATION |
10922361 | Identifying and structuring related data | MICROSOFT TECHNOLOGY LICENSING, LLC |
10922370 | Personalized recommendations using localized regularization | ADOBE INC. |
10922394 | Electronic device including fingerprint sensor and method for operating the same | SAMSUNG ELECTRONICS CO., LTD. |
10922398 | Optical fingerprint sensor with non-touch imaging capability | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
10922400 | User identification based on the motion of a device | ROKU, INC. |
10922415 | Method and system for fail-safe booting | ONITEO AB |
10922437 | Installation and management of client extensions | MICROSOFT TECHNOLOGY LICENSING, LLC |
10922444 | Method and apparatus for displaying application interface | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
10922449 | Computer aided systems and methods for creating custom products | BEST APPS, LLC |
10922475 | Systems and methods for managing documents containing one or more hyper texts and related information | XEROX CORPORATION |
10922481 | Visual user attention redirection while form filling to enhance auto-fill accuracy | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10922484 | Error detection in human voice recordings of manuscripts | AMAZON TECHNOLOGIES, INC. |
10922493 | Determining a relationship recommendation for a natural language request | SPLUNK INC. |
10922496 | Modified graphical user interface-based language learning | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10922511 | Embedded fingerprint recognition device based on mobile terminal | HUIZHOU TCL MOBILE COMMUNICATION CO., LTD. |
10922513 | Electronic component and electronic device comprising same | SAMSUNG ELECTRONICS CO., LTD. |
10922516 | Display device with fingerprint area | SAMSUNG DISPLAY CO., LTD. |
10922530 | Display device and operating method thereof with adjustments related to an image display according to bending motion of the display device | SAMSUNG ELECTRONICS CO., LTD. |
10922532 | Interactive seating system and method for interacting with a crowd | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10922534 | Identifying and addressing offensive actions in visual communication sessions | AT&T INTELLECTUAL PROPERTY I, L.P. |
10922583 | Training a neural network with representations of user interface devices | MAGIC LEAP, INC. |
10922592 | Image forming apparatus comprising controller circuit borad instructs engine circuit board to set a setting data list for renewing the setting data list in head circuit board to control print engine for printing process | KYOCERA DOCUMENT SOLUTIONS INC. |
10922640 | Smart template for predictive analytics | SAP SE |
10922685 | Multi-signature verification network | INTERCONTINENTAL EXCHANGE HOLDINGS, INC. |
10922695 | User interface for customer assistance | HRB INNOVATIONS, INC. |
10922697 | Credibility techniques | CREDIBILITY, LLC |
10922733 | Payment information providing system using wearable device and payment information providing method using the same | SK PLANET CO., LTD. |
10922743 | Adaptive performance of actions associated with custom user interface controls | AMAZON TECHNOLOGIES, INC. |
10922753 | Methods and systems for configurable display of dynamic data | GENEVA TECHNOLOGIES, LLC |
10922762 | System and method for producing a customized beverage or beverage concentrate | THE COCA-COLA COMPANY |
10922780 | Method to distribute the drawing calculation of architectural data elements between multiple threads | GRAPHISOFT SE |
10922850 | Augmented reality system for persona simulation | -- |
10922859 | Vector art object deformation techniques | ADOBE INC. |
10922862 | Presentation of content on headset display based on one or more condition(s) | LENOVO (SINGAPORE) PTE. LTD. |
10922865 | Information processing apparatus, information processing method, and program | SONY CORPORATION |
10922870 | 3D digital painting | -- |
10922875 | Ultrasound system and method of displaying three-dimensional (3D) image | SAMSUNG MEDISON CO., LTD. |
10922876 | Saccadic redirection for virtual reality locomotion | NVIDIA CORPORATION |
10922881 | Three dimensional/360 degree (3D/360°) real-time full information smart management integrated mapping system (SMIMS) and process of generating the same | STAR GLOBAL EXPERT SOLUTIONS JOINT STOCK COMPANY |
10922885 | Interface deploying method and apparatus in 3D immersive environment | BEIJING PICO TECHNOLOGY CO., LTD. |
10922888 | Sensor fusion augmented reality eyewear device | -- |
10922890 | Multi-user virtual and augmented reality tracking systems | WORLDVIZ, INC. |
10922891 | Method for generating an augmented representation of a real environment, corresponding device, computer program product, and computer-readable carrier medium | INTERDIGITAL CE PATENT HOLDINGS |
10922892 | Manipulation of virtual object position within a plane of an extended reality environment | SPLUNK INC. |
10922893 | Augmented reality system | PTC INC. |
10922895 | Projection of content libraries in three-dimensional environment | MICROSOFT TECHNOLOGY LICENSING, LLC |
10922899 | Method of interactive quantification of digitized 3D objects using an eye tracking camera | ÚSTAV EXPERIMENTÁLNEJ FYZIKY SAV |
10922901 | Systems, methods, and computer-readable media for placing an asset on a three-dimensional model | APPLE INC. |
10922902 | Display control device, display control method, and recording medium | SONY CORPORATION |
10922931 | Information processing apparatus, receipt printer, and information processing method | SEIKO EPSON CORPORATION |
10922932 | Acoustic user interface | ROCHE DIABETES CARE, INC. |
10922938 | Systems and methods for providing an immersive experience of a facility control room using virtual reality | HONEYWELL INTERNATIONAL INC. |
10922954 | System and method for facilitating user interactions with life safety systems | CARRIER CORPORATION |
10922957 | Methods and systems for content processing | DIGIMARC CORPORATION |
10922988 | Remote transportation management | XRS CORPORATION |
10922990 | Display apparatus and method for question and answer | SAMSUNG ELECTRONICS CO., LTD. |
10922992 | Firearm simulation and training system and method | V-ARMED INC. |
10922994 | Interactive phonics game system and method | SQUARE PANDA, INC. |
10922998 | System and method for assisting and guiding a visually impaired person | -- |
10923002 | Flexible display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10923011 | Bistable display device and driving circuit | -- |
10923014 | Liquid crystal display device | PANASONIC LIQUID CRYSTAL DISPLAY CO., LTD. |
10923016 | Controlling emission rates in digital displays | APPLE INC. |
10923026 | Keyboard backlights via display surfaces | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10923070 | Signal transmission method, related timing controller, source driver and display device | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
10923072 | Method and device for displaying non-standard resolution data | BOE TECHNOLOGY GROUP CO., LTD. |
10923078 | Photo sensor, display device including the same, and driving method thereof | SAMSUNG DISPLAY CO., LTD. |
10923092 | Apparatus for playing a multi-string instrument | OB-SESSION ENTERPRISES, INC. |
10923101 | Pausing synthesized speech output from a voice-controlled device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10923104 | Systems and methods for customizing and providing automated voice prompts for text displayed on a security system keypad | ADEMCO INC. |
10923114 | Semantic jargon | N3, LLC |
10923116 | Break state detection in content management systems | SINCLAIR BROADCAST GROUP, INC. |
10923118 | Speech recognition based audio input and editing method and terminal device | BEIJING SOGOU TECHNOLOGY DEVELOPMENT CO., LTD. |
10923122 | Pausing automatic speech recognition | AMAZON TECHNOLOGIES, INC. |
10923124 | Method and apparatus for using image data to aid voice recognition | GOOGLE LLC |
10923140 | Device, robot, method, and recording medium | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10923160 | Testing assembly for sealed hard disk drives | SEAGATE TECHNOLOGY LLC |
10923165 | Stacked memory device, a system including the same and an associated method | SAMSUNG ELECTRONICS CO., LTD. |
10923166 | Semiconductor devices performing a write leveling training operation and semiconductor systems including the semiconductor devices | SK HYNIX INC. |
10923175 | Memory device adjusting duty cycle and memory system having the same | SAMSUNG ELECTRONICS CO., LTD. |
10923212 | Memory control method, memory storage device and memory control circuit unit | -- |
10923225 | Athletic performance sensing and/or tracking systems and methods | NIKE, INC. |
10923310 | Ion beam treatment process for producing a scratch-resistant high-transmittance antireflective sapphire | IONICS FRANCE |
10923513 | Array substrate and method for manufacturing the same, display device | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10923523 | Multi-photodiode pixel cell | FACEBOOK TECHNOLOGIES, LLC |
10923542 | Display screen assembly having a flexible circuit board with curved portions | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10923931 | Information handling system with sensor activated battery charge level indicator | DELL PRODUCTS L.P. |
10923932 | Methods and devices for presenting auxiliary energy delivery indicia on a display | MOTOROLA MOBILITY LLC |
10924053 | Method for remote support of electric drive apparatus | ABB SCHWEIZ AG |
10924131 | Electronic device and method for compressing sampled data | HONEYWELL FEDERAL MANUFACTURING & TECHNOLOGIES, LLC |
10924296 | Terminal apparatus, control apparatus, installation-location-ascertainment support system, installation-location-setting support system, installation-location-ascertainment support method, installation-location-setting support method, and program | MITSUBISHI ELECTRIC CORPORATION |
10924355 | Handling changes in a distributed network management system that uses a logical multi-dimensional label-based policy model | ILLUMIO, INC. |
10924376 | Selective sensor polling | GOOGLE LLC |
10924444 | Device, method, and graphical user interface for managing customer relationships using a lightweight messaging platform | FACEBOOK, INC. |
10924467 | Delegated authorization for isolated collections | MICROSOFT TECHNOLOGY LICENSING, LLC |
10924474 | User security authentication system in internet and method thereof | EBAY KOREA CO., LTD. |
10924506 | Monitoring cloud computing environments | RED HAT, INC. |
10924529 | System and method of transmitting data by using widget window | SAMSUNG ELECTRONICS CO., LTD. |
10924532 | Presenting suggestion content in reaction to content generation | FACEBOOK, INC. |
10924536 | Method and system for selecting a storage node based on a distance from a requesting device | ORACLE INTERNATIONAL CORPORATION |
10924552 | Hyper-converged flash array system | TOSHIBA MEMORY CORPORATION |
10924565 | Tracking event attendance | FACEBOOK, INC. |
10924566 | Use of corroboration to generate reputation scores within virtual reality environments | HIGH FIDELITY, INC. |
10924595 | Light-emitting device having a bent substrate | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10924601 | Lock screen interface processing method and terminal | HUAWEI TECHNOLOGIES CO., LTD. |
10924603 | Phone map used to find and operate multiuser devices | -- |
10924604 | System, a computer readable medium, and a method for providing an integrated management of message information | NHN CORPORATION |
10924606 | Artificial intelligence audio apparatus and operation method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10924622 | Management apparatus | FUJI XEROX CO., LTD. |
10924628 | Transmitting apparatus, method for controlling the transmitting apparauts, and computer-readable storage medium | CANON KABUSHIKI KAISHA |
10924630 | Using middleware for generating vector graphics imaged security documents | HYDRAGRAPHIX |
10924638 | Compact, low cost VCSEL projector for high performance stereodepth camera | INTEL CORPORATION |
10924639 | System and method for polarization and wavelength gated transparent displays | DISNEY ENTERPRISES, INC. |
10924641 | Wearable video camera medallion with circular display | UBIQUITI INC. |
10924651 | Wearable multimedia device and cloud computing platform with application ecosystem | HUMANE, INC. |
10924654 | Surface surveilance by unmanned aerial vehicles | DRONE CONTROL LLC |
10924658 | Information processing apparatus, electronic apparatus, server, information processing program, and information processing method | SONY CORPORATION |
10924659 | Electronic device with image capture and stimulus features | APPLE INC. |
10924679 | Display device for vehicle and control method thereof | LG ELECTRONICS INC. |
10924709 | Dynamically controlled view states for improved engagement during communication sessions | MICROSOFT TECHNOLOGY LICENSING, LLC |
10924710 | Method for managing avatars in virtual meeting, head-mounted display, and non-transitory computer readable storage medium | -- |
10924795 | Image display device and operating method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10924834 | Display device with transverse planar microphone arrays | AMAZON TECHNOLOGIES, INC. |
10924855 | Microphone for ambisonics, A/B format conversion software, recorder, and playback software | ZOOM CORPORATION |
10924856 | Touch bluetooth headset | SHENZHEN GRANDSUN ELECTRONIC CO., LTD. |
10924869 | Use of periauricular muscle signals to estimate a direction of a user's auditory attention locus | STARKEY LABORATORIES, INC. |
10924875 | Augmented reality platform for navigable, immersive audio experience | -- |
10924879 | Sound effect controlling method and sound outputting device with dynamic gain adjustment | -- |
10925160 | Electronic device with a display assembly and silicon circuit board substrate | AMAZON TECHNOLOGIES, INC. |
10925168 | Methods for forming of flexible circuitry layers | LOOMIA TECHNOLGIES, INC. |
10925176 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
10925327 | Athletic band with removable module | NIKE, INC. |
10925342 | Helmet communication and safety system | BLTWAVE PTE LTD |
10925355 | Functional, socially-enabled jewelry and systems for multi-device interaction | LOOP DEVICES, INC. |
10925388 | Personal control apparatus and method for sharing information in a collaborative workspace | STEELCASE INC. |
10925463 | Navigation of endoscopic devices by means of eye-tracker | -- |
10925551 | Medical voice command integration | CERNER INNOVATION, INC. |
10925571 | Intra-oral imaging sensor with operation based on output of a multi-dimensional sensor | DENTAL IMAGING TECHNOLOGIES CORPORATION |
10925586 | Endoscope control system | INTUITIVE SURGICAL OPERATIONS, INC |
10925703 | Simultaneous cleaning of multiple teeth | WILLO 32 SAS |
10926056 | Method, apparatus and system for mitigating motion sickness in a virtual reality environment | ADVANCED MICRO DEVICES, INC. |
10926293 | Vibration provision system for providing real-time vibration according to frequency change and vibration provision method therefor | -- |
10926483 | Vacuum fixture | NCR CORPORATION |
10926507 | Protective packaging machines demonstrative content | PREGIS INTELLIPACK LLC |
10926634 | User interface and method for operating a user interface for a transportation means | VOLKSWAGEN AG |
10926635 | Vehicle including communication system for disabled person and control method of communication system for disabled person | HYUNDAI MOTOR COMPANY |
10926636 | Control system for an agricultural working vehicle | CLAAS SELBSTFAHRENDE ERNTEMASCHINEN GMBH |
10926637 | Onboard voice outputting device, voice outputting device, voice outputting method, and computer-readable storage medium | TOYOTA JIDOSHA KABUSHIKI KAISHA |
10926638 | Method and apparatus that reformats content of eyebox | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
10926721 | Control system | AUTONETWORKS TECHNOLOGIES, LTD. |
10926773 | Systems and methods for mitigating motion sickness in a vehicle | DENSO INTERNATIONAL AMERICA, INC. |
10926786 | Steering wheel with distributed sensors | KEY SAFETY SYSTEMS, INC. |
10926878 | Passenger tray with integrated user interface device | ROCKWELL COLLINS, INC. |
10926906 | Load stability-based wrapping | LANTECH.COM, LLC |
10928054 | Sensor system and apparatus | -- |
10928087 | Method of associating an HVAC controller with an external web service | ADEMCO INC. |
10928180 | Flexible deformation sensor | TACTUAL LABS CO. |
10928189 | Intensity-modulated light pattern for active stereo | MICROSOFT TECHNOLOGY LICENSING, LLC |
10928216 | Systems and methods for controlling viewport movement in view of user context | GOOGLE LLC |
10928259 | Pressure sensor | NISSHA CO., LTD. |
10928266 | Mobile device and method for changing centroid thereof | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10928472 | System and method for brain state classification | TECHNION RESEARCH & DEVELOPMENT FOUNDATION LIMITED |
10928499 | Millimeter-wave radar sensor system for gesture and movement analysis | NOVELIC D.O.O. |
10928635 | Curved display assembly for artificial reality headset | FACEBOOK TECHNOLOGIES, LLC |
10928638 | Eyewear devices with focus tunable lenses | DOLBY LABORATORIES LICENSING CORPORATION |
10928664 | Display substrate, method for manufacturing the same and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10928665 | Display device | SHARP KABUSHIKI KAISHA |
10928672 | Display device | JAPAN DISPLAY INC. |
10928774 | Holographic representations of digital object transfers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10928782 | Virtual detent bezel device | INTEL CORPORATION |
10928791 | Model-based human machine interface (HMI) | SIEMENS AKTIENGESELLSCHAFT |
10928842 | Systems and methods for enhancing wellness associated with habitable environments | DELOS LIVING LLC |
10928853 | Transparent display device | -- |
10928858 | Display panel with pressing sensor and electronic device with pressing input function | MURATA MANUFACTURING CO., LTD. |
10928863 | Portable information handling system midframe to sliding frame assembly | DELL PRODUCTS L.P. |
10928871 | Computing device and operation method thereof | SK HYNIX INC. |
10928881 | Low power touch sensing during a sleep state of an electronic device | APPLE INC. |
10928887 | Discontinuing display of virtual content and providing alerts based on hazardous physical obstructions | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10928888 | Systems and methods for configuring a hub-centric virtual/augmented reality environment | LOGITECH EUROPE S.A. |
10928889 | Apparatus, system, and method for directional acoustic sensing via wearables donned by users of artificial reality systems | FACEBOOK TECHNOLOGIES, LLC |
10928890 | Using camera image light intensity to control system state | AUTODESK, INC. |
10928891 | Method and arrangement for calibrating a head-mounted display | TOBII AB |
10928892 | Optical engine time warp for augmented or mixed reality environment | ALIBABA TECHNOLOGY (ISRAEL) LTD. |
10928893 | Content delivery | NOKIA TECHNOLOGIES OY |
10928894 | Eye tracking | NOKIA TECHNOLOGIES OY |
10928895 | Systems and methods for interacting with a computing device using gaze information | TOBII AB |
10928896 | Information processing apparatus and information processing method | SONY CORPORATION |
10928897 | Foveated rendering | TOBII AB |
10928898 | Augmented reality safety | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10928899 | Handling multiple eye-gaze tracking (EGT) sources in virtual, augmented, or mixed reality (XR) applications | DELL PRODUCTS L.P. |
10928900 | Communication systems and methods | TECHNOLOGY AGAINST ALS |
10928901 | Calibration method for three-dimensional (3D) augmented reality and apparatus thereof | SAMSUNG ELECTRONICS CO., LTD. |
10928903 | Method and apparatus for display control using eye tracking | LG ELECTRONICS INC. |
10928904 | User recognition and gaze tracking in a video system | LOGITECH EUROPE S.A. |
10928905 | Body motion and position sensing, recognition and analytics from an array of wearable pressure sensors | TACSENSE, INC. |
10928906 | Data entry device for entering characters by a finger with haptic feedback | -- |
10928907 | Content-based tactile outputs | APPLE INC. |
10928908 | Haptic device, for interaction with virtual reality or augmented reality systems | GO TOUCH VR SAS |
10928909 | Tactile sensation presenting device for presenting warm/cold information and vibration information | ALPS ALPINE CO., LTD. |
10928910 | Method and apparatus to provide haptic feedback based on media content and one or more external parameters | IMMERSION CORPORATION |
10928911 | Movement capability for buttonless touchpads and forcepads | CIRQUE CORPORATION |
10928912 | Visual sense and tactile sense integrated presentation device | FUJIFILM CORPORATION |
10928913 | Mobile terminal | AAC TECHNOLOGIES PTE. LTD. |
10928914 | Individually interactive multi-view display system for non-stationary viewing locations and methods therefor | MISAPPLIED SCIENCES, INC. |
10928915 | Distributed storytelling environment | DISNEY ENTERPRISES, INC. |
10928917 | Multiple user interaction with audio devices using speech and gestures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10928918 | Raise to speak | APPLE INC. |
10928919 | Information processing device and information processing method for virtual objects operability | SONY CORPORATION |
10928920 | Reminder dialog presentation and behavior | FLEXTRONICS AP, LLC |
10928921 | Gesture based user interface | APPLE INC. |
10928922 | Vehicle and operation method of vehicle | TOYOTA JIDOSHA KABUSHIKI KAISHA |
10928923 | Apparatuses, systems, and methods for representing user interactions with real-world input devices in a virtual space | FACEBOOK TECHNOLOGIES, LLC |
10928924 | Typing feedback derived from sensor information | LENOVO (SINGAPORE) PTE. LTD. |
10928925 | Method and system for recommending candidates in input method | KIKA TECH (CAYMAN) HOLDINGS CO., LIMITED |
10928926 | Software-independent shortcuts | SAP SE |
10928927 | Video interactive system | -- |
10928928 | Control panels and methods of controlling | ARRANGED BV |
10928929 | Method for displaying a virtual interaction on at least one screen and input device, system and method for a virtual application by means of a computing unit | -- |
10928930 | Transparent display device and control method using the same | -- |
10928931 | Navigation device with adjustable sample period | -- |
10928932 | 3D mouse for computers | -- |
10928933 | Mouse device | -- |
10928934 | Rock and slide wireless dongle | DELL PRODUCTS L.P. |
10928935 | Optical-effect touchpad on a steering wheel for finger detection | CONTINENTAL AUTOMOTIVE FRANCE |
10928936 | Touch display substrate with a conductive shield layer and method for manufacturing the same, display device and method for driving the same | BOE TECHNOLOGY GROUP CO., LTD. |
10928937 | Touch panel and sheet of touch sensors | TPK GLASS SOLUTIONS (XIAMEN) INC. |
10928938 | Touch module, method for manufacturing the same, and electronic device using the same | INTERFACE TECHNOLOGY (CHENGDU) CO., LTD. |
10928939 | Display device and portable terminal including same | SAMSUNG DISPLAY CO., LTD. |
10928940 | Touch panel, method for manufacturing the same, and touch display device | BOE TECHNOLOGY GROUP CO., LTD. |
10928941 | Input sensing device and display device including the same | SAMSUNG DISPLAY CO., LTD. |
10928942 | Display substrate, manufacturing method thereof, display panel and display device | CHONGQING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10928943 | Touch display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10928944 | Device and method for proximity sensing on an input device | SYNAPTICS INCORPORATED |
10928945 | Sensor-equipped display device and sensor device | JAPAN DISPLAY INC. |
10928946 | Touchscreen stylus and display module interface | DELL PRODUCTS L.P. |
10928947 | Pressure signal processing | CAMBRIDGE TOUCH TECHNOLOGIES LTD. |
10928948 | User terminal apparatus and control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10928949 | Display control and touch detection device, semiconductor integrated circuit | SYNAPTICS JAPAN GK |
10928950 | Pressure-sensitive touch panel | CAMBRIDGE TOUCH TECHNOLOGIES LTD. |
10928951 | Touch panel having high resistance to static electricity | JAPAN AVIATION ELECTRONICS INDUSTRY, LIMITED |
10928952 | Touch display panel and touch display device | SHANGHAI TIANMA AM-OLED CO., LTD. |
10928953 | Capacitance to code converter with sigma-delta modulator | CYPRESS SEMICONDUCTOR CORPORATION |
10928954 | Touch sensor and display device including the same | SAMSUNG DISPLAY CO., LTD. |
10928955 | Suppression of structured image artifacts | APPLE INC. |
10928956 | Pressure sensor for use in folding structure, and electronic device | MURATA MANUFACTURING CO., LTD. |
10928957 | Optical proximity sensor | NEONODE INC. |
10928958 | Interactive environment with three-dimensional scanning | MEP TECH, INC. |
10928959 | Touch screen and manufacturing method thereof, and touch display device | BOE TECHNOLOGY GROUP CO., LTD. |
10928960 | System and method to track movement of an interactive figurine on a touch screen interface | MOBILIZAR TECHNOLOGIES PVT LTD |
10928961 | Pressure sensor and display device including the same | SAMSUNG DISPLAY CO., LTD. |
10928962 | Electronic device and touch sensing module of electronic device | FU TAI HUA INDUSTRY (SHENZHEN) CO., LTD. |
10928963 | Conductive sheet and touch panel | FUJIFILM CORPORATION |
10928964 | Display device having a substantially transparent region in a touch pad | LG DISPLAY CO., LTD. |
10928965 | Touch panel, method for manufacturing the same and touch device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10928966 | Touch panel and touch-panel-integrated organic light-emitting display device having touch electrodes with floating units | LG DISPLAY CO., LTD. |
10928967 | Information processing apparatus, non-transitory computer-readable recording medium, and control method | FUJITSU COMPONENT LIMITED |
10928968 | Detecting mechanical interactions | PERATECH HOLDCO LTD |
10928969 | Input device and electronic apparatus therewith | -- |
10928970 | User-interface for developing applications that apply machine learning | APPLE INC. |
10928971 | Device and method of displaying windows by using work group | SAMSUNG ELECTRONICS CO., LTD. |
10928972 | Hierarchical, zoomable presentations of media sets | MICROSOFT TECHNOLOGY LICENSING, LLC |
10928973 | Computer simulation method with user-defined transportation and layout | PFAQUTRUMA RESEARCH LLC |
10928974 | System and method for facilitating user interaction with a three-dimensional virtual environment in response to user input into a control device having a graphical interface | META VIEW, INC. |
10928975 | On-the-fly adjustment of orientation of virtual objects | MICROSOFT TECHNOLOGY LICENSING, LLC |
10928976 | Virtual assistant acquisitions and training | VERINT AMERICAS INC. |
10928977 | Mobile terminal and method of controlling medical apparatus by using the mobile terminal | SAMSUNG ELECTRONICS CO., LTD. |
10928978 | Modular systems and methods for selectively enabling cloud-based assistive technologies | AUDIOEYE, INC. |
10928979 | Information apparatus control method, computer-readable recording medium, and information providing method to control devices connected to network via device icons displayed on floor plan | PANASONIC INTELLECTUAL PROPERTY CORPORATION OF AMERICA |
10928980 | User interfaces for playing and managing audio items | APPLE INC. |
10928981 | Digital image tagging apparatuses, systems, and methods | VERIZON PATENT AND LICENSING INC. |
10928982 | Automatic grouping of user interface elements into components | SALESFORCE.COM, INC. |
10928983 | Mobile user interface for contextual browsing while playing digital content | GOOGLE LLC |
10928984 | Display device and display system of injection molding machine | FANUC CORPORATION |
10928985 | User terminal device and displaying method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10928986 | Transaction visibility frameworks implemented using artificial intelligence | EMC IP HOLDING COMPANY LLC |
10928987 | Presenting, at a graphical user interface (GUI), a constellation view of communications associated with node groups in a network | ORDR INC. |
10928988 | Method and system for providing information based on context, and computer-readable recording medium thereof | SAMSUNG ELECTRONICS CO., LTD. |
10928989 | Server and terminal | DWANGO CO., LTD. |
10928990 | Tab visibility | GOOGLE LLC |
10928991 | System and method for facilitating user interaction with a virtual space through a graphical chat interface | KABAM, INC. |
10928992 | HTML editing operations | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10928993 | Device, method, and graphical user interface for manipulating workspace views | APPLE INC. |
10928994 | Processing objects on touch screen devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10928995 | Systems and methods for UV packing | LUCASFILM ENTERTAINMENT COMPANY LTD. LLC |
10928996 | Systems, devices and methods for electronic determination and communication of location information | -- |
10928997 | Mobile terminal and control method thereof | LG ELECTRONICS INC. |
10928998 | Methods and systems for configuring automatic media playback settings | SPOTIFY AB |
10928999 | Abnormality detection parameter adjustment display device | FANUC CORPORATION |
10929000 | Interface apparatus, information processing apparatus, and non-transitory computer readable medium storing program | FUJI XEROX CO., LTD. |
10929001 | Threshold-based draggable gesture system and method for triggering events | OPEN TEXT SA ULC |
10929002 | Electronic device for controlling a plurality of applications | SAMSUNG ELECTRONICS CO., LTD. |
10929003 | Cross-platform drag and drop user experience | MICROSOFT TECHNOLOGY LICENSING, LLC |
10929004 | Diagrams for structured data | LUCID SOFTWARE, INC. |
10929005 | Electronic device, wearable device, and method of controlling displayed object in electronic device | SAMSUNG ELECTRONICS CO., LTD. |
10929006 | User interface for recommended alternative search queries | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10929007 | Method of displaying object on device, device for performing the same, and recording medium for performing the method | SAMSUNG ELECTRONICS CO., LTD. |
10929008 | Touch-based interactive learning environment | APPLE INC. |
10929009 | Electronic device for outputting graphic indication | SAMSUNG ELECTRONICS CO., LTD. |
10929010 | Touch response method for touchscreen, apparatus, and terminal | HUAWEI TECHNOLOGIES CO., LTD. |
10929011 | System and method for dynamic gesture control for workload management in a deployment | EMC IP HOLDING COMPANY LLC |
10929012 | Systems and methods for multiuse of keys for virtual keyboard | MICROSOFT TECHNOLOGY LICENSING, LLC |
10929013 | Method for adjusting input virtual keyboard and input apparatus | BEIJING SOGOU TECHNOLOGY DEVELOPMENT CO., LTD. |
10929014 | Character correction method and apparatus, device, and storage medium | -- |
10929015 | Touch detection method and apparatus, touch device, computer device and readable medium | BOE TECHNOLOGY GROUP CO., LTD. |
10929016 | Touch calibration at keyboard location | DELL PRODUCTS L.P. |
10929017 | Data block migration | QUEST SOFTWARE INC. |
10929018 | Layered clustered scale-out storage system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10929019 | Enhanced servicing for multi-drive sleds | EMC IP HOLDING COMPANY LLC |
10929020 | Information processing device having control unit that controls communication unit to transmit data generated or processed by processing unit to predetermined storage device, and information processing system including the same | KYOCERA DOCUMENT SOLUTIONS INC. |
10929021 | Quality of service management in a distributed storage system | WEKA.IO LTD. |
10929022 | Space savings reporting for storage system supporting snapshot and clones | NETAPP. INC. |
10929023 | Bank to bank data transfer | MICRON TECHNOLOGY, INC. |
10929024 | Solid state drive devices and storage systems having the same | SAMSUNG ELECTRONICS CO., LTD. |
10929025 | Data storage system with I/O determinism latency optimization | SEAGATE TECHNOLOGY LLC |
10929026 | Multi-cell structure for non-volatile resistive memory | SAMSUNG ELECTRONICS CO., LTD. |
10929027 | Reporting using data obtained during backup of primary storage | COMMVAULT SYSTEMS, INC. |
10929028 | Control device for dynamically allocating storage space and data storage device including the control device | SK HYNIX INC. |
10929029 | Memory controller and method for accessing memory modules and processing sub-modules | MONTAGE TECHNOLOGY CO., LTD. |
10929030 | Computer and control method | HITACHI, LTD. |
10929031 | Maximizing data reduction in a partially encrypted volume | PURE STORAGE, INC. |
10929032 | Host hinting for smart disk allocation to improve sequential access performance | EMC IP HOLDING COMPANY LLC |
10929033 | Allocating variable media types of memory devices in a memory system | MICRON TECHNOLOGY, INC. |
10929034 | Allocation of task control blocks in a storage controller for staging and destaging based on storage rank response time | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10929035 | Memory management via dynamic tiering pools | SAP SE |
10929036 | Optimizing static object allocation in garbage collected programming languages | LASERLIKE, INC. |
10929037 | Converting a RAID to a more robust RAID level | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10929038 | Facilitating a smart watch list with limited resources in storage systems | EMC IP HOLDING COMPANY LLC |
10929039 | DNA digital data storage device and method, and decoding method of DNA digital data | UNIVERSITY-INDUSTRY COOPERATION GROUP OF KYUNG HEE UNIVERSITY |
10929040 | RAID 1—half compressed data storage | EMC IP HOLDING COMPANY LLC |
10929041 | Block-storage service supporting multi-attach | AMAZON TECHNOLOGIES, INC. |
10929042 | Data storage system, process, and computer program for de-duplication of distributed data in a scalable cluster system | HITACHI, LTD. |
10929043 | Space reservation for distributed storage systems | NETAPP, INC. |
10929044 | Information processing apparatus | NEC CORPORATION |
10929045 | Data migration for applications on a mobile device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10929046 | Identifying and relocating hot data to a cache determined with read velocity based on a threshold stored at a storage device | PURE STORAGE, INC. |
10929047 | Storage system with snapshot generation and/or preservation control responsive to monitored replication data | EMC IP HOLDING COMPANY LLC |
10929048 | Dynamic multiple proxy deployment | EMC IP HOLDING COMPANY LLC |
10929049 | Minimizing recovery time after a high availability event in a large-scale storage system | EMC IP HOLDING COMPANY LLC |
10929050 | Storage system with deduplication-aware replication implemented using a standard storage command protocol | EMC IP HOLDING COMPANY LLC |
10929051 | Data storage across a plurality of cloud storage systems | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10929052 | Snapshot space reduction method and apparatus | ARCSERVE (USA) LLC |
10929053 | Safe destructive actions on drives | PURE STORAGE, INC. |
10929054 | Scalable garbage collection | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10929055 | Memory system and operating method thereof | SK HYNIX INC. |
10929056 | Interruption of program operations at a memory sub-system | MICRON TECHNOLOGY, INC. |
10929057 | Selecting a disconnect from different types of channel disconnects using a machine learning module | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10929058 | Enhanced memory device architecture for machine learning | WESTERN DIGITAL TECHNOLOGIES, INC. |
10929059 | Resistance switching memory-based accelerator | MEMRAY CORPORATION |
10929060 | Data access request specifying enable vector | ARM LIMITED |
10929061 | Memory system and memory control method | TOSHIBA INFORMATION SYSTEMS (JAPAN) CORPORATION |
10929062 | Gradually throttling memory due to dynamic thermal conditions | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10929063 | Assisted indirect memory addressing | AMAZON TECHNOLOGIES, INC. |
10929064 | Methods of operating mixed device type memory modules, and processors and systems configured for operating the same | SAMSUNG ELECTRONICS CO., LTD. |
10929065 | Method, device and computer program product for coordinating access operations | EMC IP HOLDING COMPANY LLC |
10929066 | User stream aware file systems with user stream detection | EMC IP HOLDING COMPANY LLC |
10929067 | Nonvolatile memory system and method for controlling write and read operations in the nonvolatile memory by a host | TOSHIBA MEMORY CORPORATION |
10929068 | Pre-caching data according to a current or predicted requester location | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10929069 | Addressing page-correlated read issues using intra-block parity | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10929070 | Reduced data access time on tape with data redundancy | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10929071 | Systems and methods for memory card emulation | FASETTO, INC. |
10929072 | Information processing apparatus, control method, and storage medium | CANON KABUSHIKI KAISHA |
10929073 | Information processing system | RICOH COMPANY, LTD. |
10929074 | Information processing apparatus capable of executing a common control program for controlling, management apparatus, information processing system, and non-transitory computer readable medium with common control program therein | FUJI XEROX CO., LTD. |
10929075 | Print control device performing print control for printing object on upper tape to be affixed to lower tape, and notifying of error when object meets precondition but does not meet all of prescribed conditions | BROTHER KOGYO KABUSHIKI KAISHA |
10929076 | Automatic scaling for legibility | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10929077 | Secure deleting of image data stored at printing apparatus based on a deleting request from a terminal apparatus | BROTHER KOGYO KABUSHIKI KAISHA |
10929078 | Electronic apparatus for generating screen image to be displayed by display apparatus and control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10929079 | Video display method, electronic device and computer program product | CLOUDMINDS (SHENZHEN) ROBOTICS SYSTEMS CO., LTD. |
10929080 | Empathetic image selection | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10929081 | Context management for multiple devices | UNITED SERVICES AUTOMOBILE ASSOCIATION (USAA) |
10929082 | Communication between display and device utilizing a communication and display protocol | AERIS COMMUNICATIONS, INC. |
10929083 | Resource sharing device and control method thereof | -- |
10929085 | Electronic apparatus for controlling display of virtual input interface in environment of a plurality of output screens and operating method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10929086 | Multi-screen display apparatus and display method thereof | -- |
10929088 | Active area of interest tracking in a multiuser digital whiteboard session | CISCO TECHNOLOGY, INC. |
10929089 | Display panel bezel, display terminal, spliced display device, and image output control method | BOE TECHNOLOGY GROUP CO., LTD. |
10929090 | Wireless display screen | SHENZHEN AINOLDIA INTELLIGENT CONTROL TECHNOLOGY CO., LTD |
10929091 | Methods and electronic devices for dynamic control of playlists | SPOTIFY AB |
10929092 | Music network for collaborative sequential musical production | COLLABRA LLC |
10929093 | Audio data buffering | BOSE CORPORATION |
10929094 | Control method, controller, and device | PANASONIC INTELLECTUAL PROPERTY CORPORATION OF AMERICA |
10929096 | Systems and methods for handling application notifications | GOOGLE LLC |
10929097 | Systems and methods for switching operational modes based on audio triggers | ROVL GUIDES, INC. |
10929098 | Methods and systems for conducting a session over audible and visual interfaces | THE TORONTO-DOMINION BANK |
10929099 | Spatialized virtual personal assistant | BOSE CORPORATION |
10929107 | Workflow support for dynamic action output | SERVICENOW, INC. |
10929112 | Conversion of remote application dialogs to native mobile controls | PARALLELLS INTERNATIONAL GMBH |
10929150 | Optical line terminal and method for upgrading primary device and secondary device of optical line terminal | HUAWEI TECHNOLOGIES CO., LTD. |
10929152 | Intelligent data storage and processing using FPGA devices | IP RESERVOIR, LLC |
10929154 | Overflow detection and correction in state machine engines | MICRON TECHNOLOGY, INC. |
10929165 | System and method for memory resizing in a virtual computing environment | NUTANIX, INC. |
10929176 | Method of efficiently migrating data from one tier to another with suspend and resume capability | EMC IP HOLDING COMPANY LLC |
10929206 | System and method for outward communication in a computational storage device | NGD SYSTEMS, INC. |
10929207 | Notification control device, notification control method, and storage medium | JVCKENWOOD CORPORATION |
10929208 | Methods and apparatus for copying a selected browser region to a clipboard as an image | ACCUSOFT CORPORATION |
10929212 | Method of running network application based on pos payment terminal, terminal, and non volatile readable storage medium | PAX COMPUTER TECHNOLOGY (SHENZHEN) CO., LTD |
10929224 | Partial XOR protection | WESTERN DIGITAL TECHNOLOGIES, INC. |
10929225 | Semiconductor memory devices, memory systems including the same and methods of operating memory systems | SAMSUNG ELECTRONICS CO., LTD. |
10929228 | Overwriting data objects in a dispersed storage network | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10929229 | Decentralized RAID scheme having distributed parity computation and recovery | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10929230 | Method, apparatus and computer program product for managing storage system | EMC IP HOLDING COMPANY LLC |
10929231 | System configuration selection in a storage system | PURE STORAGE, INC. |
10929238 | Management of changed-block bitmaps | MELLANOX TECHNOLOGIES, LTD. |
10929239 | Storage system with snapshot group merge functionality | EMC IP HOLDING COMPANY LLC |
10929243 | Service-level rebuild | EMC IP HOLDING COMPANY LLC |
10929251 | Data loss prevention for integrated memory buffer of a self encrypting drive | INTEL CORPORATION |
10929254 | Data processing system providing service continuity protection | CHINA UNIONPAY CO., LTD. |
10929257 | Method and apparatus for defining local failure using storage group containers | EMC IP HOLDING COMPANY LLC |
10929265 | Optimizing automated interactions with web applications | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10929284 | Memory controlling device including phase change memory and memory system including the same | MEMRAY CORPORATION |
10929285 | Storage system and method for generating a reverse map during a background operation and storing it in a host memory buffer | WESTERN DIGITAL TECHNOLOGIES, INC. |
10929287 | Computer memory usage by releasing unused heap space | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10929292 | Data write control apparatus and method | HUAWEI TECHNOLOGIES CO., LTD. |
10929299 | Storage system, method and non-transitory computer-readable storage medium | FUJITSU LIMITED |
10929300 | Semiconductor memory device for controlling an address for temperature management | SK HYNIX INC. |
10929303 | Data storage device utilizing virtual blocks to improve performance and data storage method thereof | -- |
10929308 | Performing maintenance operations | ARM LIMITED |
10929309 | Direct host access to storage device memory space | WESTERN DIGITAL TECHNOLOGIES, INC. |
10929314 | Interface devices, methods for controlling an interface device, and computer-readable media | RAZER (ASIA-PACIFIC) PTE. LTD. |
10929355 | Bundled distribution and management of aeronautical data | FOREFLIGHT LLC |
10929356 | Detection of hidden data co-occurrence relationships | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10929368 | Data set visualizer for tree based file systems | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10929394 | Persistent query dispatch and execution architecture | DEEPHAVEN DATA LABS LLC |
10929410 | User interface methods and systems for selecting and presenting content based on user relationships | VEVEO, INC. |
10929414 | Flexible interactive data visualization enabled by dynamic attributes | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10929415 | Isolated execution environment system monitoring | SPLUNK INC. |
10929422 | Method, device, and non-transitory computer-readable storage medium for visually expressing likelihood of occurrence of life events | FUJITSU LIMITED |
10929426 | Traversal rights | DROPBOX, INC. |
10929427 | Selective synchronization of content items in a content management system | DROPBOX, INC. |
10929430 | Replicating non-supported data types using an existing supported replication format | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10929431 | Collision handling during an asynchronous replication | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
10929436 | System and method for news events detection and visualization | PALANTIR TECHNOLOGIES INC. |
10929443 | Location and context for computer file system | MICROSOFT TECHNOLOGY LICENSING, LLC |
10929452 | Multi-document summary generation method and apparatus, and terminal | HUAWEI TECHNOLOGIES CO., LTD. |
10929455 | Generating an acronym index by mining a collection of document artifacts | MICROSOFT TECHNOLOGY LICENSING, LLC |
10929457 | User-specific media playlists | RCRDCLUB CORPORATION |
10929458 | Automated presentation control | MICROSOFT TECHNOLOGY LICENSING, LLC |
10929466 | Efficient distributed indexing of clumpy data | RED HAT, INC. |
10929476 | Systems and methods for visualizing and analyzing multi-dimensional data | PALANTIR TECHNOLOGIES INC. |
10929479 | Systems and methods for using linked documents | PALANTIR TECHNOLOGIES INC. |
10929486 | Activity assistant | GOOGLE LLC |
10929491 | Social sharing path user interface insights | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10929496 | Activate a cross browser platform to enable interfaces | -- |
10929498 | Identifying and storing relevant user content in a collection accessible to user in website subscribed to service | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10929508 | Database systems and interactive user interfaces for dynamic interaction with, and indications of, digital medical image data | MERGE HEALTHCARE SOLUTIONS INC. |
10929516 | Dynamic grip signature for personal authentication | ADVANCED NEW TECHNOLOGIES CO., LTD. |
10929517 | Touch response method and device | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
10929521 | Image forming apparatus | CANON KABUSHIKI KAISHA |
10929544 | Preventing malicious observance of private information | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10929548 | Methods for policy-based printing operations in a public domain | KYOCERA DOCUMENT SOLUTIONS INC. |
10929549 | System and method for protecting audio and video files | SCAEVA TECHNOLOGIES, INC. |
10929552 | Driver consent management | LYTX, INC. |
10929556 | Discrete data masking security system | BANK OF AMERICA CORPORATION |
10929564 | URL evaluation for mobile web browsers | FINJAN MOBILE, INC. |
10929574 | Integrated standardized metrology system (ISMetS) | THE BOEING COMPANY |
10929595 | Digital story generation | STORYFORGE LLC |
10929599 | Methods and systems for website content management | CITICORP CREDIT SERVICES, INC. (USA) |
10929616 | Topic based conversation retrieval | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10929626 | Interactive electronic device | -- |
10929632 | Fingerprint information processing method and electronic device supporting the same | SAMSUNG ELECTRONICS CO., LTD. |
10929633 | Fingerprint identification device, touch display panel, and method for driving fingerprint identification device | BOE TECHNOLOGY GROUP CO., LTD. |
10929634 | Touch sensor, display device including the same, and operating method of the touch sensor | SAMSUNG DISPLAY CO., LTD. |
10929637 | Electronic device including filler for filling a space between biological sensor disposed under a display and the display | SAMSUNG ELECTRONICS CO., LTD. |
10929638 | Display panel, method for driving the same, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10929640 | Flat panel display with optical image sensor embedded therein | LG DISPLAY CO., LTD. |
10929658 | Active stereo with adaptive support weights from a separate image | MICROSOFT TECHNOLOGY LICENSING, LLC |
10929659 | Terminal with line-of-sight tracking function, and method and apparatus for determining point of gaze of user | HUAWEI TECHNOLOGIES CO., LTD. |
10929668 | Wearable device and control method | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10929689 | Using a camera to assist forward vehicles in a caravan | ROBERT BOSCH GMBH |
10929699 | Optical encoder capable of identifying absolute positions | -- |
10929701 | Navigation device with consistent output and 2-stage moving average filter thereof | -- |
10929703 | Method apparatus and program product for enabling two or more electronic devices to perform operations based on a common subject | LENOVO (SINGAPORE) PTE. LTD. |
10929729 | Solid state drive apparatuses with attached labels and overlapping image marking | SAMSUNG ELECTRONICS CO., LTD. |
10929752 | Automated control of display devices | GUMGUM, INC. |
10929781 | Systems and methods for determining training parameters for dialog generation | CAPITAL ONE SERVICES, LLC |
10929788 | Method, computer program product, and apparatus for providing an energy map | LEADLINE, LLC |
10929791 | Task management apparatus, task management method and computer-readable medium | CASIO COMPUTER CO., LTD. |
10929804 | Delivery management systems and methods for zero-inventory distribution | DELIVERIGHT LOGISTICS, INC. |
10929814 | In-context display of out-of-context contact activity | MICROSOFT TECHNOLOGY LICENSING, LLC |
10929822 | Graphical user interfaces for facilitating end-to-end transactions on computing devices | CAPITAL ONE SERVICES, LLC |
10929851 | Portable apparatus and method for changing screen of the same | SAMSUNG ELECTRONICS CO., LTD. |
10929860 | Viewed location metric generation and engagement attribution within an AR or VR environment | ADOBE INC. |
10929902 | Augmented reality systems for facilitating a purchasing process at a merchant location | CAPITAL ONE SERVICES, LLC |
10929910 | Method and apparatus for providing a marketplace for distributors and businesses | -- |
10929915 | Method and system for multi-modal input based platform for intent based product recommendations | WIPRO LIMITED |
10929937 | Intelligent fuel dispensers | WAYNE FUELING SYSTEMS LLC |
10929942 | Screen capture method, terminal, and storage medium employing both parent application program and sub-application program | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
10929953 | Method and apparatus for selecting communication interface in wireless communication system | SAMSUNG ELECTRONICS CO., LTD. |
10930008 | Information processing apparatus, information processing method, and program for deriving a position orientation of an image pickup apparatus using features detected from an image | CANON KABUSHIKI KAISHA |
10930021 | Guided generation of color gradients via adjustable color map paths through color space elements of graphical interfaces | ADOBE INC. |
10930023 | Method and apparatus for imitating original graphic, computing device, and storage medium | BOE TECHNOLOGY GROUP CO., LTD. |
10930033 | Content aware tracing for generating vector drawings | ADOBE INC. |
10930035 | Request resolution shaper in a networked system architecture | SERVICENOW, INC. |
10930038 | Dynamic location based digital element | LAB OF MISFITS AR, INC. |
10930041 | Methods and systems for head up display (HUD) of aerial refueling operation status and signaling | THE BOEING COMPANY |
10930042 | Artificially tiltable image display | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930044 | Control system for virtual characters | MURSION, INC. |
10930067 | Tool for shared engineering mesh-run integration with version evolution tracking | LAWRENCE LIVERMORE NATIONAL SECURITY, LLC |
10930075 | User interface discovery and interaction for three-dimensional virtual environments | MICROSOFT TECHNOLOGY LICENSING, LLC |
10930076 | Matching content to a spatial 3D environment | MAGIC LEAP, INC. |
10930085 | Packing structure | -- |
10930096 | System and method for signifying intent for lock operation | SCHLAGE LOCK COMPANY LLC |
10930123 | Perceptions in a haptic system | ULTRAHAPTICS IP LTD |
10930142 | Remote control device and remote control system | HONDA MOTOR CO., LTD. |
10930174 | Systems and methods providing a computerized eyewear device to aid in welding | LINCOLN GLOBAL, INC. |
10930177 | Interactive globe | LEAPFROG ENTERPRISES, INC. |
10930183 | Hologram content generation apparatus, integrated hologram content control system having the same, and method for operating the hologram content generation apparatus | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
10930205 | Display system and moving object | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10930207 | Display controller and corresponding display device | BOE TECHNOLOGY GROUP CO., LTD. |
10930233 | Display panel, display device and compensation method for display device | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
10930236 | Gate driver and display device having the same | SAMSUNG DISPLAY CO., LTD. |
10930242 | Display apparatus, operation assist system and operation assist method | AIOI SYSTEMS CO., LTD. |
10930244 | Data processing systems | APICAL LIMITED |
10930249 | Information processor, information processing method, and recording medium | SONY CORPORATION |
10930251 | Smartphone-based radar system for facilitating awareness of user presence and orientation | GOOGLE LLC |
10930252 | Dividing image data into regional images of different resolutions based on a gaze point and transmitting the divided image data | BEIJING 7INVENSUN TECHNOLOGY CO., LTD. |
10930257 | System and method for providing a video with lyrics overlay for use in a social messaging environment | SPOTIFY AB |
10930264 | Voice quality preference learning device, voice quality preference learning method, and computer program product | KABUSHIKI KAISHA TOSHIBA |
10930265 | Cognitive enhancement of communication with tactile stimulation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930275 | Natural language input disambiguation for spatialized regions | MICROSOFT TECHNOLOGY LICENSING, LLC |
10930276 | Apparatus, system and method for directing voice input in a controlling device | UNIVERSAL ELECTRONICS INC. |
10930277 | Configuration of voice controlled assistant | AMAZON TECHNOLOGIES, INC. |
10930278 | Trigger sound detection in ambient audio to provide related functionality on a user interface | GOOGLE LLC |
10930280 | Device for providing toolkit for agent developer | LG ELECTRONICS INC. |
10930282 | Competing devices responding to voice triggers | APPLE INC. |
10930286 | Method and system for muting classified information from an audio | TATA CONSULTANCY SERVICES LIMITED |
10930297 | Acoustic echo canceling | HARMAN BECKER AUTOMOTIVE SYSTEMS GMBH |
10930300 | Automated transcript generation from multi-channel audio | VERITEXT, LLC |
10930302 | Quality of text analytics | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930310 | Data storage device sorting access commands based on peak current for multiple actuators | WESTERN DIGITAL TECHNOLOGIES, INC. |
10930328 | Processing device with nonvolatile logic array backup | TEXAS INSTRUMENTS INCORPORATED |
10930329 | Storage device adjusting a timing of a data signal and a data strobe signal | SAMSUNG ELECTRONICS CO., LTD. |
10930330 | Synchronous dynamic random access memory (SDRAM) device, memory controller therefor, and method of operating same | SAMSUNG ELECTRONICS CO., LTD. |
10930354 | Enhanced flush transfer efficiency via flush prediction | MICRON TECHNOLOGY, INC. |
10930356 | Memory controller and method of operating the same | SK HYNIX INC. |
10930357 | Semiconductor storage device having a temperature sensor that generates a temperature signal based on which applied voltages are generated | TOSHIBA MEMORY CORPORATION |
10930387 | Chest x-ray differential diagnosis system | ENLITIC, INC. |
10930400 | Operating room checklist system | LIVEDATA, INC. |
10930598 | Display device and flexible circuit board thereof | HKC CORPORATION LIMITED |
10930632 | Memory device with a multiplexed command/address bus | MICRON TECHNOLOGY, INC. |
10930688 | Display substrate, display device, and display control method of display device | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
10930714 | Display device | SAMSUNG DISPLAY CO., LTD. |
10931002 | Light transmitting wearable device with transparent and translucent components | DISNEY ENTERPRISES, INC. |
10931250 | Modular signal conversion apparatus and method | DREAMUS COMPANY |
10931388 | Display control device, display control method and non-transitory computer-readable medium storing instructions for display control | BROTHER KOGYO KABUSHIKI KAISHA |
10931402 | Distributed storage system data management and security | CLOUD STORAGE, INC. |
10931505 | System and method for multi-tier synchronization | DROPBOX, INC. |
10931540 | Continuous data sensing of functional states of networked computing devices to determine efficiency metrics for servicing electronic messages asynchronously | KHOROS, LLC |
10931550 | Out-of-band management techniques for networking fabrics | INTEL CORPORATION |
10931599 | Automated failure recovery of subsystems in a management system | PAYPAL, INC. |
10931606 | Mobile terminal and method of controlling therefor | LG ELECTRONICS INC. |
10931608 | Integration of chat messaging in email | VMWARE, INC. |
10931622 | Associating an indication of user emotional reaction with content items presented by a social networking system | FACEBOOK, INC. |
10931632 | Communication apparatus and recording medium | FUJI XEROX CO., LTD. |
10931666 | Method and apparatus for automatically connecting a mobile device and an output device | XEROX CORPORATION |
10931733 | Method, apparatus, and computer-readable medium for transmission of files over a web socket connection in a networked collaboration workspace | RE MAGO LTD |
10931751 | Method and system for cloning data using a wearable electronic device | MOTOROLA SOLUTIONS, INC. |
10931755 | Method and apparatus for managing a shared storage system | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
10931777 | Network efficient geographically diverse data storage system employing degraded chunks | EMC IP HOLDING COMPANY LLC |
10931783 | Targeted profile picture selection | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10931808 | Terminal | LG ELECTRONICS INC. |
10931811 | Method and system for verifying transmission of multimedia messages | ALIBABA GROUP HOLDING LIMITED |
10931812 | Communication system and method of gaffe prevention | -- |
10931833 | Image forming apparatus, service system, control method, and recording medium storing computer program | SHARP KABUSHIKI KAISHA |
10931834 | Information processing apparatus | FUJI XEROX CO., LTD. |
10931837 | Image processing device, non-transitory computer readable medium, and image processing method | FUJI XEROX CO., LTD. |
10931842 | Intelligent control of feeding media units in a printer | KYOCERA DOCUMENT SOLUTIONS INC. |
10931869 | Imaging apparatus and control method therefor | FUJIFILM CORPORATION |
10931878 | System, apparatus, method, and program for displaying wide view image | RICOH COMPANY, LTD. |
10931887 | Collation device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10931909 | Wireless audio synchronization using a spread code | ROKU, INC. |
10931911 | Creating and disseminating of user generated content over a network | MYSONGTOYOU, INC. |
10931917 | Transmission terminal, transmission method, and computer-readable recording medium storing transmission program | RICOH COMPANY, LTD. |
10931921 | Techniques to provide a micro-broadcast of an event | CBS INTERACTIVE INC. |
10931940 | Virtual display engine | -- |
10931941 | Controls and interfaces for user interactions in virtual spaces | FACEBOOK, INC. |
10931979 | Methods, devices, and systems for decoding portions of video content according to a schedule based on user viewpoint | AT&T INTELLECTUAL PROPERTY I, L.P. |
10931995 | Method of transferring audiovisual data and corresponding device | INTERDIGITAL CE PATENT HOLDINGS |
10931996 | System for connecting a mobile device and a common display | TIV, INC. |
10932005 | Speech interface | PROMPTU SYSTEMS CORPORATION |
10932012 | Video integration using video indexing | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10932013 | Systems and methods for providing contextual information | ROVL GUIDES, INC. |
10932024 | Loudspeaker system | SOUND UNITED, LLC. |
10932028 | Method and system for audio sharing | HED TECHNOLOGIES SARL |
10932040 | Lighting integrated sound processing | SIGNIFY HOLDING B.V. |
10932077 | Method and device for automatic configuration of an audio output system | SENNHEISER ELECTRONIC GMBH & CO. KG |
10932347 | Intelligent lighting control system electrical connector apparatuses, systems, and methods | RACEPOINT ENERGY, LLC |
10932364 | Transparent conductive film | CANATU OY |
10932369 | Display device | SAMSUNG DISPLAY CO., LTD. |
10932389 | Frame member attached to mobile terminal, operation device for machine including frame member, and computer program for mobile terminal | FANUC CORPORATION |
10932550 | Lanyard attachment for an input device | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10932603 | Electronic mezuzah system, device and method of use | SHEMA ISRAEL MEZUZAH INC |
10932650 | Medical scope button system providing user feedback without the need for direct sight or activation test | KARL STORZ IMAGING, INC. |
10932688 | EEG-based decoding and closed-loop neuromodulation system for assessing and enhancing sensorimotor learning | ARIZONA BOARD OF REGENTS ON BEHALF OF ARIZONA STATE UNIVERSITY |
10932728 | Method and system for identifying location of a touched body part | GOOGLE TECHNOLOGY HOLDINGS LLC |
10932733 | Multiple-dimension imaging sensor with operation based on movement detection | DENTAL IMAGING TECHNOLOGIES CORPORATION |
10932806 | Reactive algorithm for surgical system | ETHICON LLC |
10932874 | Remote control robot system | KAWASAKI JUKOGYO KABUSHIKI KAISHA |
10932936 | Systems and methods for weight management including virtual reality, artificial intelligence, accountability integration, and biofeedback | -- |
10933310 | Method and apparatus for controlling virtual character, electronic device, and storage medium | NETEASE (HANGZHOU) NETWORK CO., LTD. |
10933313 | Programmable actuation inputs of an accessory and methods thereof | STEELSERIES APS |
10933314 | System for remote game access | ELBO INC. |
10933317 | Near real-time augmented reality video gaming system | SONY INTERACTIVE ENTERTAINMENT LLC. |
10933320 | Method generating an input in an omnidirectional locomotion system | VIRTUIX HOLDINGS INC. |
10933321 | Information processing device and information processing method | SONY CORPORATION |
10933332 | Information processing system | UNIVERSAL ENTERTAINMENT CORPORATION |
10933628 | Nonrestrictive drive-type marking system and marking method thereof | -- |
10933644 | Print cartridges with light pipes and touch sensitive circuits | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10933803 | Autonomous vehicle visual based communication | GM CRUISE HOLDINGS LLC |
10933824 | Methods and apparatus for categorization of vehicle loading and display thereof | FORD GLOBAL TECHNOLOGIES, LLC |
10934149 | Dispensing system and user interface | PEPSICO, INC. |
10935421 | Light intensity detection method and apparatus, and intelligent terminal | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
10935620 | On-chip resonance detection and transfer function mapping of resistive-inductive-capacitive sensors | CIRRUS LOGIC, INC. |
10935789 | Image display apparatus and image display method | HONDA MOTOR CO., LTD. |
10935792 | Virtual/augmented reality system having reverse angle diffraction grating | MAGIC LEAP, INC. |
10935796 | Sensor data conveyance | NOKIA TECHNOLOGIES OY |
10935806 | Virtual and augmented reality systems and methods | MAGIC LEAP, INC. |
10935816 | Light-emitting diode glasses, control system for multiple light-emitting diode glasses, and control method therefor | NEOFECT CO., LTD. |
10935826 | Method of manufacturing image display device | DEXERIALS CORPORATION |
10935828 | Display device, multi-screen display device using the same and method for manufacturing the same | LG DISPLAY CO., LTD. |
10935915 | Image forming apparatus having test patterns for image density adjustment | CANON KABUSHIKI KAISHA |
10936008 | Synchronization in a multi-tile processing array | GRAPHCORE LIMITED |
10936011 | Information processing apparatus, information processing method, and program | SATURN LICENSING LLC |
10936013 | Electronic device with transparent display | INTEL CORPORATION |
10936014 | Portable information apparatus having a display | LENOVO (SINGAPORE) PTE. LTD. |
10936017 | Flexible display device | LG ELECTRONICS INC. |
10936022 | Enhanced field of view to augment three-dimensional (3D) sensory space for free-space gesture interpretation | ULTRAHAPTICS IP TWO LIMITED |
10936038 | Power control for use of volatile memory as non-volatile memory | MICROSOFT TECHNOLOGY LICENSING, LLC |
10936049 | PMIC/PMIC interface for distributed memory management implementations | INTEL CORPORATION |
10936050 | Systems and methods for user indication recognition | HONDA MOTOR CO., LTD. |
10936051 | Power management for gesture recognition in virtual, augmented, and mixed reality (xR) applications | DELL PRODUCTS L.P. |
10936052 | Method and device for determining head movement according to electrooculographic information | BEIJING ZHIGU RUI TUO TECH CO., LTD |
10936053 | Interaction system of three-dimensional space and method for operating same | BOE TECHNOLOGY GROUP CO., LTD. |
10936054 | User eye-gaze based derivation of activity stream processing augmentations | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10936055 | Encoding content for virtual, augmented, and mixed reality (xR) applications in connectivity-constrained environments | DELL PRODUCTS L.P. |
10936056 | Method and system of eye tracking with glint drift correction on wearable heads-up display | GOOGLE LLC |
10936057 | System and method for natural three-dimensional calibration for robust eye tracking | SAMSUNG ELECTRONICS CO., LTD. |
10936059 | Systems and methods for gaze tracking | CAJAL CORPORATION |
10936060 | System and method for using gaze control to control electronic switches and machinery | FLEX LTD. |
10936061 | Eye tracking using reverse-biased light-emitting diode devices | FACEBOOK TECHNOLOGIES, LLC |
10936062 | Intelligent device and method of information displaying with projection type using the same | LG ELECTRONICS INC. |
10936063 | Method and device for calibrating an eye tracker | TOBII AB |
10936064 | Light field display, adjusted pixel rendering method therefor, and adjusted vision perception system and method using same addressing astigmatism or similar conditions | EVOLUTION OPTIKS LIMITED |
10936065 | System and method for embedded cognitive state metric system | EMOTIV INC. |
10936066 | Sleep detection in a location sharing system | SNAP INC. |
10936067 | Generating a response that depicts haptic characteristics | SNAP, INC. |
10936068 | Reference signal variation for generating crisp haptic effects | IMMERSION CORPORATION |
10936069 | Method and apparatus for a textural representation of a guidance | NOKIA TECHNOLOGIES OY |
10936070 | Haptic signal generator | GOODIX TECHNOLOGY (HK) COMPANY LIMITED |
10936071 | Wearable electronic device with haptic rotatable input | APPLE INC. |
10936072 | Haptic information presentation system and method | NATIONAL INSTITUTE OF ADVANCED INDUSTRIAL SCIENCE AND TECHNOLOGY |
10936073 | System and method for generating high-frequency and mid-frequency audible sound via piezoelectric actuators of a haptic keyboard | DELL PRODUCTS L.P. |
10936074 | Haptic information presentation system and method | NATIONAL INSTITUTE OF ADVANCED INDUSTRIAL SCIENCE AND TECHNOLOGY |
10936075 | Apparatus and method for adaptive gesture recognition in portable terminal | SAMSUNG ELECTRONICS CO., LTD. |
10936076 | Apparatus having gesture sensor | -- |
10936077 | User-interactive gesture and motion detection apparatus, method and system, for tracking one or more users in a presentation | RICOH COMPANY, LTD. |
10936078 | Account management services for load balancers | AMAZON TECHNOLOGIES, INC. |
10936079 | Method and apparatus for interaction with virtual and real images | -- |
10936080 | Systems and methods of creating a realistic displacement of a virtual object in virtual reality/augmented reality environments | ULTRAHAPTICS IP TWO LIMITED |
10936081 | Occluded gesture recognition | GOOGLE LLC |
10936082 | Systems and methods of tracking moving hands and recognizing gestural interactions | ULTRAHAPTICS IP TWO LIMITED |
10936083 | Self-powered gesture recognition with ambient light | TRUSTEES OF DARTMOUTH COLLEGE |
10936084 | Techniques for notebook hinge sensors | INTEL CORPORATION |
10936085 | Gesture detection and interactions | GOOGLE LLC |
10936086 | System for inputting information by utilizing extension key and method thereof | TP-I CO., LTD. |
10936087 | Keyboard assembly | -- |
10936088 | Intelligent brightness modulating device of lights of gaming input device | -- |
10936089 | Determining locations of electro-optical pens | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10936090 | Interactive 3D cursor for use in medical imaging | D3D TECHNOLOGIES, INC. |
10936091 | Pressing structure of mouse | -- |
10936092 | Force-sensing structures for an electronic device | APPLE INC. |
10936093 | Position detection sensor and position detection module | WACOM CO., LTD. |
10936094 | Writing input device and writing input system | SHARP KABUSHIKI KAISHA |
10936095 | Electronic device for executing various functions based on signal received from electric pen | SAMSUNG ELECTRONICS CO., LTD. |
10936096 | Display module and electronic equipment | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10936097 | System and method for simulating human manual input for devices using capacitive touchscreens | FUTURE DIAL, INC. |
10936098 | Display apparatus with integrated touch screen | LG DISPLAY CO., LTD. |
10936099 | Touch display panel and touch display device | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
10936100 | Electronic device including flexible display capable of changing size of display area and method for controlling same | SAMSUNG ELECTRONICS CO., LTD. |
10936101 | Touch display device, display controller, driving circuit, and driving method | LG DISPLAY CO., LTD. |
10936103 | Touch panel-equipped display device | STANLEY ELECTRIC CO., LTD. |
10936104 | Touch detective device and display device with the same | JAPAN DISPLAY INC. |
10936105 | Touch sensor and display device including the same | SAMSUNG DISPLAY CO., LTD. |
10936106 | Display device with touch sensor and display sensor in same layer | SAMSUNG DISPLAY CO., LTD. |
10936107 | Unified input/output interface for electronic device | APPLE INC. |
10936108 | Method and apparatus for inputting data with two types of input and haptic feedback | VOLKSWAGEN AG |
10936109 | Terminal device and terminal device control method | HUAWEI TECHNOLOGIES CO., LTD. |
10936110 | Touchscreen cursor offset function | ROCKWELL COLLINS, INC. |
10936111 | Display device | SAMSUNG DISPLAY CO., LTD. |
10936112 | Human-computer interface system | SENSEL, INC. |
10936113 | Input control circuit and method, input control device, display panel | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
10936114 | Gesture-equipped touch screen system, method, and computer program product | P4TENTS1, LLC |
10936115 | Large PCAP screen with multiple touch controller ASICs with interleaved connections | ELO TOUCH SOLUTIONS, INC. |
10936116 | Electronic conference apparatus for generating handwriting information based on sensed touch point, method for controlling same, and digital pen | SAMSUNG ELECTRONICS CO., LTD. |
10936117 | Touch display panel and driving method thereof | HEFEI BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10936118 | Touch detection device and method of detecting touch | SAMSUNG ELECTRONICS CO., LTD. |
10936119 | Self capacitance sensing based on tangent of phase shift of drive signal | STMICROELECTRONICS ASIA PACIFIC PTE LTD |
10936120 | Panel bootstraping architectures for in-cell self-capacitance | APPLE INC. |
10936121 | Method and device for controlling in-plane interference of liquid crystal touch screen, and display system | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10936122 | Touch control component, manufacturing method thereof, touch display device and method for preventing mistaken touch caused by liquid | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10936123 | Tactile confirmation for touch screen systems | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
10936124 | Interactive projection system and interactive projection method | -- |
10936125 | Capacitive touch sensors and methods of operating capacitive touch sensors | HAIER US APPLIANCE SOLUTIONS, INC. |
10936126 | Display device | SAMSUNG DISPLAY CO., LTD. |
10936127 | Touch sensor and display device with touch sensor | JAPAN DISPLAY INC. |
10936128 | Sensor device, input device, and electronic apparatus | SONY CORPORATION |
10936129 | Touch sensor and image display device including the same | DONGWOO FINE-CHEM CO., LTD. |
10936130 | Touch display panel and display apparatus | XIAMEN TIANMA MICRO-ETECTRONICS CO., LTD. |
10936132 | Organic light emitting display device | SAMSUNG DISPLAY CO., LTD. |
10936133 | Touch panel including conductive member having electrodes configured to enhance visibility | FUJIFILM CORPORATION |
10936134 | Touch sensor and display device | SAMSUNG DISPLAY CO., LTD. |
10936135 | Single layer touch sensor panel architecture | APPLE INC. |
10936136 | Electronic devices with sidewall displays | APPLE INC. |
10936137 | Touch sensing device and display device including the same | SAMSUNG DISPLAY CO., LTD. |
10936138 | Touch detection circuit and the method of driving the same | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
10936139 | Touch panel and touch display device allowing relatively uniform distribution of contact vias across touch electrode blocks | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. |
10936140 | Method and device for displaying response | SAMSUNG ELECTRONICS CO., LTD. |
10936141 | Information processing device and information processing method | SONY CORPORATION |
10936142 | Method and electronic apparatus for displaying information | SAMSUNG ELECTRONICS CO., LTD. |
10936143 | Automated resizing of application windows based on interactive states | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10936144 | Method and apparatus for displaying information | ADVANCED NEW TECHNOLOGIES CO., LTD. |
10936145 | Dynamic interactive objects | ULTRAHAPTICS IP TWO LIMITED |
10936146 | Ergonomic mixed reality step-by-step instructions tethered to 3D holograms in real-world locations | MICROSOFT TECHNOLOGY LICENSING, LLC |
10936147 | Tablet computing device with display dock | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10936148 | Touch interaction in augmented and virtual reality applications | SAP SE |
10936149 | Information processing method and apparatus for executing the information processing method | COLOPL, INC. |
10936150 | Method for displaying background screen in mobile terminal | SAMSUNG ELECTRONICS CO., LTD. |
10936151 | System and method for voice activated provisioning of telecommunication services | CENTURYLINK INTELLECTUAL PROPERTY LLC |
10936152 | Method for displaying view and terminal device | HUAWEI TECHNOLOGIES CO., LTD. |
10936153 | Method and apparatus for providing a user interface on a device enabling selection of operations to be performed in relation to content | BLACKBERRY LIMITED |
10936154 | Application menu for video system | APPLE INC. |
10936155 | Systems and methods for home automation scene control | VIVINT, INC. |
10936156 | Interactive access to ascendants while navigating hierarchical dimensions | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10936157 | Selectable item including a customized graphic for an electronic messaging application | SNAP INC. |
10936158 | Information processing device and non-transitory computer readable medium | FUJI XEROX CO., LTD. |
10936159 | Display of a plurality of files from multiple devices | DROPBOX, INC. |
10936160 | System, user interface and method for interactive negative explanation of machine-learning localization models in health care applications | GOOGLE LLC |
10936161 | Method for adapting the presentation and use of a graphical user interface | VOLKSWAGEN AKTIENGESELLSCHAFT |
10936162 | Method and device for augmented reality and virtual reality display | LENOVO (BEIJING) CO., LTD. |
10936163 | Graphical user interface system | METHODICAL MIND, LLC. |
10936164 | Reduced size configuration interface | APPLE INC. |
10936165 | System and method for calculating metrics | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10936166 | User terminal device and displaying method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10936167 | Application customization using a customization file | LIVEPERSON, INC. |
10936168 | Media presentation generating system and method using recorded splitscenes | SCENEPLAY, INC. |
10936169 | Media effects system | CLONELESS MEDIA, LLC |
10936170 | Method for enabling interaction using fingerprint on display and electronic device thereof | SAMSUNG ELECTRONICS CO., LTD. |
10936171 | Display of images with action zones | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10936172 | Method of computerized presentation of a document set view for auditing information and managing sets of multiple documents and pages | BLUEBEAM, INC. |
10936173 | Unified slider control for modifying multiple image properties | APPLE INC. |
10936174 | Displaying content without obscuring key details on a computer screen | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10936175 | Systems and methods for implementing a pin mechanism in a virtual cosmetic application | -- |
10936176 | Systems and methods for interactive maps | UNITED SERVICES AUTOMOBILE ASSOCIATION (USAA) |
10936177 | Visual example-based user interface for adjusting photos along two dimensions | ADOBE INC. |
10936178 | Systems and methods for analyzing and organizing digital photos and videos | MEMORYWEB, LLC |
10936179 | Methods and systems for web content generation | PAGECLOUD INC. |
10936180 | User interface for medical information | Q BIO, INC. |
10936181 | System and method for management of digital media | DUVON CORPORATION |
10936182 | Electronic device, and method for providing screen according to location of electronic device | SAMSUNG ELECTRONICS CO., LTD. |
10936183 | User interface device for industrial vehicle | CROWN EQUIPMENT CORPORATION |
10936184 | Display apparatus and controlling method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10936185 | Smartphone-based radar system facilitating ease and accuracy of user interactions with displayed objects in an augmented-reality interface | GOOGLE LLC |
10936186 | Gestures used in a user interface for navigating analytic data | SAP SE |
10936187 | Electronic album apparatus, and operation method and operation program for the same | FUJIFILM CORPORATION |
10936188 | In-vehicle device, display area splitting method, program, and information control device | CLARION CO., LTD. |
10936189 | System and method for a keypad on a touch screen device | BBPOS LIMITED |
10936190 | Devices, methods, and user interfaces for processing touch events | APPLE INC. |
10936191 | Access control for a computing system | PURE STORAGE, INC. |
10936192 | System and method for event driven storage management | EMC IP HOLDING COMPANY LLC |
10936193 | Method and device for storage management | EMC IP HOLDING COMPANY LLC |
10936194 | Storage device status management for conflict avoidance in a data storage system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10936195 | Data storage system using in-memory structure for reclaiming space from internal file system to pool storage | EMC IP HOLDING COMPANY LLC |
10936196 | Data convolution for geographically diverse storage | EMC IP HOLDING COMPANY LLC |
10936197 | Performing memory copy operations by a processor by employing a compression hardware device | EMC IP HOLDING COMPANY LLC |
10936198 | Resistance switching memory-based coprocessor and computing device including the same | MEMRAY CORPORATION |
10936199 | Flash controllers, methods, and corresponding storage devices capable of rapidly/fast generating or updating contents of valid page count table | -- |
10936200 | System and method for improved RDMA techniques for multi-host network interface controllers | EXCELERO STORAGE LTD. |
10936201 | Low latency mirrored raid with persistent cache | INTEL CORPORATION |
10936202 | Allocating storage extents in a storage system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10936203 | Memory storage device and system employing nonvolatile read/write buffers | TOSHIBA MEMORY CORPORATION |
10936204 | Managing lifespan of a flash memory | EMC IP HOLDING COMPANY LLC |
10936205 | Techniques for retention and read-disturb aware health binning | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10936206 | Handling a device in a latency state in a redundant storage system | TINTRI BY DDN, INC. |
10936207 | Linked lists in flash memory | EMC IP HOLDING COMPANY LLC |
10936208 | Point-in-time backups via a storage controller to an object storage cloud | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10936209 | Memory error indicator for high-reliability applications | MICRON TECHNOLOGY, INC. |
10936210 | Apparatuses and methods to control memory operations on buffers | MICRON TECHNOLOGY, INC. |
10936211 | Optimized storage protection | ARM IP LTD |
10936212 | Memory controller, method for performing access control to memory module | MONTAGE TECHNOLOGY CO., LTD. |
10936213 | Techniques for secure writes by non-privileged users | MICRON TECHNOLOGY, INC. |
10936214 | Power management of memory chips based on working set size | INTEL CORPORATION |
10936215 | Automated data quality servicing framework for efficient utilization of information technology resources | EMC IP HOLDING COMPANY LLC |
10936216 | Method and system for storage exhaustion estimation | EMC IP HOLDING COMPANY LLC |
10936217 | Providing virtual volume flexibility on a storage device cluster | EMC IP HOLDING COMPANY LLC |
10936218 | Facilitating an out-of-order transmission of segments of multi-segment data portions for distributed storage devices | EMC IP HOLDING COMPANY LLC |
10936219 | Controller-based inter-device notational data movement system | DELL PRODUCTS L.P. |
10936220 | Locality aware load balancing of IO paths in multipathing software | EMC IP HOLDING COMPANY LLC |
10936221 | Reconfigurable memory architectures | MICRON TECHNOLOGY, INC. |
10936222 | Hardware abstraction in software or firmware for hardware calibration | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10936223 | Increasing serial read performance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10936224 | Cluster controller selection for shared storage clusters | EMC IP HOLDING COMPANY LLC |
10936225 | Version history of files inside a backup | AMAZON TECHNOLOGIES, INC. |
10936226 | Memory system and method of controlling nonvolatile memory | TOSHIBA MEMORY CORPORATION |
10936227 | Method, device, and computer program product for recognizing reducible contents in data to be written | EMC IP HOLDING COMPANY LLC |
10936228 | Providing data deduplication in a data storage system with parallelized computation of crypto-digests for blocks of host I/O data | EMC IP HOLDING COMPANY LLC |
10936229 | Simulating large drive count and drive size system and method | EMC IP HOLDING COMPANY LLC |
10936230 | Computational processor-in-memory with enhanced strided memory access | NATIONAL TECHNOLOGY & ENGINEERING SOLUTIONS OF SANDIA, LLC |
10936231 | Allocating snapshot group identifiers | EMC IP HOLDING COMPANY LLC |
10936232 | Methods and apparatus for data repartitioning | WALMART APOLLO, LLC |
10936233 | System and method for optimal order migration into a cache based deduplicated storage array | EMC IP HOLDING COMPANY LLC |
10936234 | Data transfer between memory devices on shared bus | -- |
10936235 | Apparatuses and methods for data movement | MICRON TECHNOLOGY, INC. |
10936236 | Rewriting system, rewriting device and computer | YAZAKI CORPORATION |
10936237 | Efficient data storage management system | APARAVI SOFTWARE AG |
10936238 | Hybrid data tiering | PURE STORAGE, INC. |
10936239 | Cluster contraction of a mapped redundant array of independent nodes | EMC IP HOLDING COMPANY LLC |
10936240 | Using merged snapshots to increase operational efficiency for network caching based disaster recovery | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10936241 | Method, apparatus, and computer program product for managing datasets | EMC IP HOLDING COMPANY LLC |
10936242 | Cloud access through tape transformation | EMC IP HOLDING COMPANY LLC |
10936243 | Storage system and data transfer control method | HITACHI, LTD. |
10936244 | Bulk scaling out of a geographically diverse storage system | EMC IP HOLDING COMPANY LLC |
10936245 | Storage device sharing attribute information with host device to use host memory buffer and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
10936246 | Dynamic background scan optimization in a memory sub-system | MICRON TECHNOLOGY, INC. |
10936247 | Memory controller, storage device including the same, and method of operating the memory controller | SAMSUNG ELECTRONICS CO., LTD. |
10936248 | Data writing method with verifying a part of data, memory controlling circuit unit and memory storage device | -- |
10936249 | Stack management in memory systems | MICRON TECHNOLOGY, INC. |
10936250 | Host accelerated operations in managed NAND devices | MICRON TECHNOLOGY, INC. |
10936251 | I/O device and computing host interoperation | SEAGATE TECHNOLOGY, LLC |
10936252 | Storage system capable of invalidating data stored in a storage device thereof | TOSHIBA MEMORY CORPORATION |
10936253 | Searching for and notifying a user to pick-up a printed document | KYOCERA DOCUMENT SOLUTIONS INC. |
10936254 | Printer for snapping an image and notifying a user to pick-up a printed document | KYOCERA DOCUMENT SOLUTIONS INC. |
10936255 | Snapping an image and notifying a user to pick-up a printed document | KYOCERA DOCUMENT SOLUTIONS INC. |
10936256 | Label producing apparatus operated by operation terminal | BROTHER KOGYO KABUSHIKI KAISHA |
10936257 | Generation of images | HP INDIGO B.V. |
10936258 | Retargeting events service for online advertising | AMAZON TECHNOLOGIES, INC. |
10936259 | Information processing apparatus and non-transitory computer readable medium | FUJI XEROX CO., LTD. |
10936260 | Printer and non-transitory computer-readable recording medium storing computer-readable instructions for terminal device | BROTHER KOGYO KABUSHIKI KAISHA |
10936261 | Printing method and system using cloud | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10936262 | Image forming apparatus, a non-transitory computer-readable recording medium storing control program, and control method for alerting detection of intruder | SHARP KABUSHIKI KAISHA |
10936263 | Communication terminal, method of controlling same, and storage medium | CANON KABUSHIKI KAISHA |
10936264 | Automatic scaling for legibility | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10936265 | Printing control method and printing control system using identifiers | FUJITSU LIMITED |
10936266 | Server and non-transitory computer-readable medium storing computer-readable instructions for server | BROTHER KOGYO KABUSHIKI KAISHA |
10936267 | Communication apparatus | CANON KABUSHIKI KAISHA |
10936268 | Information processing apparatus and non-transitory computer readable storage medium using output sounds representing passwords | FUJI XEROX CO., LTD. |
10936269 | Printing system, printer, and printing control method | SEIKO INSTRUMENTS INC. |
10936270 | Presentation facilitation | MICROSOFT TECHNOLOGY LICENSING, LLC |
10936271 | Display device and the method thereof | LENOVO (BEIJING) CO., LTD. |
10936272 | Splicing screen, display method thereof and display control apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
10936273 | Method and apparatus for displaying a display element | VOLKSWAGEN AG |
10936274 | Selective screen sharing | -- |
10936275 | Asynchronous communications in mixed-reality | MICROSOFT TECHNOLOGY LICENSING, LLC |
10936276 | Confidential information concealment | LENOVO (SINGAPORE) PTE. LTD. |
10936277 | Calibration method for customizable personal sound delivery system | AUDEARA PTY LTD. |
10936278 | Method and apparatus for managing media file in managed device | HUAWEI TECHNOLOGIES CO., LTD. |
10936279 | Radio communication device, radio communication method, and recording medium | JVCKENWOOD CORPORATION |
10936280 | Method and apparatus for accessing multimedia interactive website by determining quantity of characters in voice spectrum | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
10936281 | Automatic slide page progression based on verbal and visual cues | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10936288 | Voice-enabled user interface framework | SAP SE |
10936337 | Rendering content of service providers via web page having dynamically-loaded plugins | CITRIX SYSTEMS, INC. |
10936338 | Method and system for processing data using a stackable and scalable data proxy (SSDP) | DELL PRODUCTS L.P. |
10936340 | Apparatus and method for performing selective application preloading in electronic device | SAMSUNG ELECTRONICS CO., LTD. |
10936342 | Method and user interface for data mapping | SALESFORCE.COM, INC. |
10936343 | In-context event orchestration of physical and cyber resources | MICROSOFT TECHNOLOGY LICENSING, LLC |
10936344 | System and method for presenting an object | BIONIC ARTS L.L.C. |
10936345 | Techniques for managing display usage | APPLE INC. |
10936346 | Processing multimodal user input for assistant systems | FACEBOOK, INC. |
10936347 | Contextual virtual assistant communications | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10936352 | High performance application delivery to VDI desktops using attachable application containers | VMWARE, INC. |
10936365 | Data processing systems | ARM LIMITED |
10936381 | System and method for improving thermal performance of an information handling system | DELL PRODUCTS L.P. |
10936394 | Information processing device, external storage device, host device, relay device, control program, and control method of information processing device | TOSHIBA MEMORY CORPORATION |
10936404 | Technologies for error detection in compressed data streams | INTEL CORPORATION |
10936405 | Efficient networking for a distributed storage system | WEKA.IO LTD. |
10936406 | Multi cloud transactional storage for availability and security | EMC IP HOLDING COMPANY LLC |
10936412 | Method and system for accessing data stored in data cache with fault tolerance | EMC IP HOLDING COMPANY LLC |
10936413 | Systems and methods for correcting data errors in memory | -- |
10936416 | Redundant array of independent NAND for a three-dimensional memory array | MICRON TECHNOLOGY, INC. |
10936417 | Multi-stage slice recovery in a dispersed storage network | PURE STORAGE, INC. |
10936418 | Reduced uncorrectable memory errors | INTEL CORPORATION |
10936419 | Method, device and computer readable medium for managing a storage system | EMC IP HOLDING COMPANY LLC |
10936423 | Enhanced application write performance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10936437 | Method and apparatus for determining storage system compliance with snapshot policies | EMC IP HOLDING COMPANY LLC |
10936441 | Write-ahead style logging in a persistent memory device | MICROSOFT TECHNOLOGY LICENSING, LLC |
10936446 | Efficient handling of block write atomicity | VMWARE, INC. |
10936448 | Using dispersed computation to change dispersal characteristics | PURE STORAGE, INC. |
10936449 | Component redundancy systems, devices, and methods | INTEL CORPORATION |
10936451 | Concurrent remote IO processing for synchronous replication | EMC IP HOLDING COMPANY LLC |
10936454 | Disaster recovery for virtualized systems | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10936464 | Method and system for countering capacity shortages on storage systems | EMC IP HOLDING COMPANY LLC |
10936472 | Screen recording preparation method for evaluating software usability | EYEVIDO GMBH |
10936482 | Methods for controlling SSD (solid state disk) and apparatuses using the same | SHANNON SYSTEMS LTD. |
10936485 | Data storage device for dynamic garbage collection triggering and operating method thereof | SK HYNIX INC. |
10936498 | Shingled magnetic recording drive that updates media cache data in-place | KABUSHIKI KAISHA TOSHIBA |
10936503 | Device access point mobility in a scale out storage system | ORCA DATA TECHNOLOGY (XI'AN) CO., LTD |
10936514 | Control system and control method for controlling memory modules | KINGSTON DIGITAL, INC. |
10936516 | Accelerated data handling in cloud data storage system | EMC IP HOLDING COMPANY LLC |
10936521 | Expanded host domains in PCIe systems | LIQID INC. |
10936526 | Intelligent sensor suite(s) for portable personal containers | -- |
10936544 | Enabling consistency in push order for network caching | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10936546 | Global deduplication | COHESITY, INC. |
10936553 | Intelligent file system with transparent storage tiering | AMAZON TECHNOLOGIES, INC. |
10936568 | Moving nodes in a tree structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10936582 | Integrated entity view across distributed systems | SALESFORCE.COM, INC. |
10936609 | Presenting user information suggestions | APPLE INC. |
10936619 | Mixed data granularities for multi-dimensional data | ORACLE INTERNATIONAL CORPORATION |
10936620 | Systems and methods for management of multi-perspective customer segments | FLYTXT BV |
10936622 | Storage interface for synchronizing content | DROPBOX, INC. |
10936631 | Person centric applications | MICROSOFT TECHNOLOGY LICENSING, LLC |
10936648 | Generating slide presentations using a collaborative multi-content application | GOOGLE LLC |
10936662 | Detection of automated agents through interaction element presentation | AMAZON TECHNOLOGIES, INC. |
10936665 | Graphical match policy for identifying duplicative data | SAP SE |
10936668 | Systems and methods for querying databases | DATAWALK SPOLKA AKCYJNA |
10936680 | Intent based search result interaction | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10936685 | Poll-based networking system | -- |
10936707 | Fingerprint circuit, force touch control method and device and computer-readable storage medium | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
10936749 | Privacy enhancement using derived data disclosure | AMBER SOLUTIONS, INC. |
10936755 | Device lock bypass on selectable alert | THE TORONTO-DOMINION BANK |
10936759 | Systems, methods and computer-readable media for providing enhanced encryption in a storage system | AMZETTA TECHNOLOGIES, LLC |
10936786 | Method and system for prompt message display | BEIJING DIDI INFINITY TECHNOLOGY AND DEVELOPMENT CO., LTD. |
10936790 | Responsive grid layouts for graphic design | ADOBE INC. |
10936798 | Text editing method, device, and electronic apparatus | BEIJING SOGOU TECHNOLOGY DEVELOPMENT CO., LTD. |
10936799 | Distributed dynamic display of content annotations | AMAZON TECHNOLOGIES, INC. |
10936800 | Virtual sticky generation | RICOH COMPANY, LTD. |
10936802 | Methods and systems for creating configurable forms, configuring forms and for form flow and form correlation | WISETECH GLOBAL LIMITED |
10936805 | Automated document authoring assistant through cognitive computing | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10936807 | Systems and methods for displaying effects of code changes | CAPITAL ONE SERVICES, LLC |
10936808 | Document linking in an electronic messaging system | MICROSOFT TECHNOLOGY LICENSING, LLC |
10936815 | Removable spell checker device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10936823 | Method and system for displaying automated agent comprehension | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10936830 | Interpreting assistant system | -- |
10936842 | Display panel and display device | SHANGHAI TIANMA AM-OLED CO., LTD. |
10936848 | Biometric image processing apparatus, biometric image processing method, and biometric image processing program | FUJITSU LIMITED |
10936871 | Smart irrigation | -- |
10936872 | Hands-free contextually aware object interaction for wearable display | REALWEAR, INC. |
10936874 | Controller gestures in virtual, augmented, and mixed reality (xR) applications | DELL PRODUCTS L.P. |
10936880 | Surveillance | NOKIA TECHNOLOGIES OY |
10936890 | Vehicle device | DENSO CORPORATION |
10936894 | Systems and methods for processing image data based on region-of-interest (ROI) of a user | SZ DJI TECHNOLOGY CO., LTD. |
10936903 | Technologies for labeling and validating human-machine interface high definition-map data | INTEL CORPORATION |
10936904 | Method and apparatus for recognizing handwritten characters using federated learning | LG ELECTRONICS INC. |
10936938 | Method for visualizing neural network models | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10936975 | System and method for changing resource calendars by editing calendar views | ORACLE INTERNATIONAL CORPORATION |
10937057 | Interactive data-driven graphical user interface for cross-channel web site performance | RISE INTERACTIVE MEDIA & ANALYTICS, LLC |
10937067 | System and method for item inquiry and information presentation via standard communication paths | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937076 | Online personalized gifting system | -- |
10937079 | Searchable texture index | EBAY INC. |
10937085 | Display system for smart products | TARGET BRANDS, INC. |
10937099 | Method and system of a target result optimizing application | PENTECH LIMITED |
10937110 | Tax calculator, tax calculation method, and storage medium storing program | CASIO COMPUTER CO., LTD. |
10937154 | Methods and systems for displaying image information of an image | SHANGHAI UNITED IMAGING HEALTHCARE CO., LTD. |
10937183 | Object dimensioning system and method | COGNEX CORPORATION |
10937187 | Method and system for providing position or movement information for controlling at least one function of an environment | APPLE INC. |
10937199 | Handling color vision deficiencies in virtual, augmented, and mixed reality (xR) applications | DELL PRODUCTS L.P. |
10937200 | Object-based color adjustment | ADOBE INC. |
10937202 | Intensity data visualization | SCALE AI, INC. |
10937204 | Contrast-ratio-based color generation | ADOBE INC. |
10937208 | PET image reconstruction and processing using lesion proxies | KONINKLIJKE PHILIPS N.V. |
10937215 | Techniques for enabling drawing in a computer-generated reality environment | APPLE INC. |
10937217 | Electronic device and control method thereof for generating edited VR content | CANON KABUSHIKI KAISHA |
10937221 | Information processing apparatus, system, and method for displaying bio-information or kinetic information | SONY CORPORATION |
10937222 | Systems and methods for displaying representative images | DUELIGHT LLC |
10937224 | Two-part context based rendering solution for high fidelity augmented reality in virtualized environment | CITRIX SYSTEMS, INC. |
10937229 | Surround ambient light sensing, processing and adjustment | DOLBY LABORATORIES LICENSING CORPORATION |
10937239 | System and method for creating an environment and for sharing an event | -- |
10937240 | Augmented reality bindings of physical objects and virtual objects | INTEL CORPORATION |
10937242 | Image compensation using image enhancement effects | DISNEY ENTERPRISES, INC. |
10937244 | Efficiency enhancements to construction of virtual reality environments | MICROSOFT TECHNOLOGY LICENSING, LLC |
10937246 | Multi-stage block mesh simplification | MAGIC LEAP, INC. |
10937260 | Electrochromic film for a user interface display for access control | SCHLAGE LOCK COMPANY LLC |
10937321 | Vehicle control device | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
10937333 | Rehabilitation system | SEIKO EPSON CORPORATION |
10937346 | Flexible display apparatus and controlling method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10937390 | Content display method and electronic device for performing same | SAMSUNG ELECTRONICS CO., LTD. |
10937391 | Systems, methods, and media for displaying interactive augmented reality presentations | CASE WESTERN RESERVE UNIVERSITY |
10937392 | Method of providing notification and electronic device for implementing same | SAMSUNG ELECTRONICS CO., LTD. |
10937393 | Electronic device including flexible display and content display method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10937414 | Systems and methods for text input using neuromuscular information | FACEBOOK TECHNOLOGIES, LLC |
10937415 | Information processing device and information processing method for presenting character information obtained by converting a voice | SONY CORPORATION |
10937419 | Control device and method with voice and/or gestural recognition for the interior lighting of a vehicle | VALEO VISION |
10937421 | Electronic faucet with smart features | SPECTRUM BRANDS, INC. |
10937422 | Voice control system, control method, and non-transitory computer-readable storage medium storing program | CANON KABUSHIKI KAISHA |
10937425 | Systems and methods for selectively activating and interacting with a speech recognition service during application runtime without interrupting execution of the application | DELL PRODUCTS L.P. |
10937427 | Generating and transmitting invocation request to appropriate third-party agent | GOOGLE LLC |
10937429 | Voice-based interactive network monitor system | TP LAB, INC. |
10937438 | Neural network generative modeling to transform speech utterances and augment training data | FORD GLOBAL TECHNOLOGIES, LLC |
10937453 | Magnetic tape device capable of selecting codeword rewrite based on determined threshold | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937466 | Semiconductor package with clock sharing and electronic system including the same | SAMSUNG ELECTRONICS CO., LTD. |
10937470 | Systems and methods for dynamic data masking | FMR LLC |
10937472 | System for acclimating a horse to the sound of an arena chute gate and method of use of the same | -- |
10937510 | Multidimensional pseudorandom binary sequence analysis for a memory device | WESTERN DIGITAL TECHNOLOGIES, INC. |
10937529 | Family tree construction supporting method and family tree construction supporting device | FUJITSU LIMITED |
10937544 | Medical imaging apparatus for displaying x-ray images of different types | SAMSUNG ELECTRONICS CO., LTD. |
10937547 | Subject assessment using localization, activity recognition and a smart questionnaire | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
10937608 | Operation panel and image forming apparatus | KYOCERA DOCUMENT SOLUTIONS INC. |
10937610 | Keyboard keyswitches having adjustable tactile feedback members | -- |
10937814 | Wiring board and display device including metal line with redundant structure and reduced wiring resistance | SHARP KABUSHIKI KAISHA |
10937842 | Flexible organic light emitting display device including a connection structure disposed in a pad region | SAMSUNG DISPLAY CO., LTD. |
10937843 | Display panel and display apparatus | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
10937850 | OLED display panel, manufacturing method thereof, and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10937929 | Semiconductor unit, semiconductor device, light-emitting apparatus, display apparatus, and method of manufacturing semiconductor device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10937986 | Display device | JAPAN DISPLAY INC. |
10937994 | Organic electroluminescence display device having a circular polarization plate and a high refractive index layer | FUJIFILM CORPORATION |
10938102 | Search track acquire react system (STARS) drone integrated acquisition tracker (DIAT) | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
10938229 | Wireless power-supplying mouse pad with apparatus-identifying function | -- |
10938389 | Gesture control for in-wall device | HUBBELL INCORPORATED |
10938391 | Device having a touch-sensitive free-form surface and method for the production thereof | VOLKSWAGEN AKTIENGESELLSCHAFT |
10938414 | Electronic device and method for compressing sampled data | HONEYWELL FEDERAL MANUFACTURING & TECHNOLOGIES, LLC |
10938418 | Online disk replacement/removal | PURE STORAGE, INC. |
10938581 | Accessing composite data structures in tiered storage across network nodes | CISCO TECHNOLOGY, INC. |
10938592 | Systems and methods for automated platform-based algorithm monitoring | PEARSON EDUCATION, INC. |
10938670 | User interface for viewing items in a synchronization system | DROPBOX, INC. |
10938684 | Disparate monitoring for IoT | SALESFORCE.COM, INC. |
10938723 | Intelligent local management of data stream throttling in secondary-copy operations | COMMVAULT SYSTEMS, INC. |
10938747 | Central switch for coupling virtual desktops to peripheral devices | VMWARE, INC. |
10938753 | Open conversation user interface | UIPCO, LLC |
10938756 | Task management based on instant message | BEIJING SANKUAI ONLINE TECHNOLOGY CO., LTD |
10938757 | Systems and methods for providing external content in a messaging interface | ATLASSIAN PTY LTD. |
10938758 | Generating and displaying customized avatars in media overlays | SNAP INC. |
10938759 | Sharing on-line media experiences | FACEBOOK, INC. |
10938770 | Bulk email tracking and ceasing processing | ORACLE INTERNATIONAL CORPORATION |
10938873 | Portable group communication device having audio playback and/or phone call capability | LOOSE CANNON SYSTEMS, INC. |
10938883 | Method and system for controlling media information display on multiple terminals | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
10938900 | Software defined storage defragmentation | EMC IP HOLDING COMPANY LLC |
10938903 | Systems and methods for facilitating deduplication of operations to be performed | GOOGLE LLC |
10938905 | Handling deletes with distributed erasure coding | EMC CORPORATION |
10938907 | Techniques and architectures for managing disparate heterogeneous cloud-based resources | SALESFORCE.COM, INC. |
10938920 | Data mining to determine asset under-utilization or physical location change | XEROX CORPORATION |
10938932 | Presenting notifications to a user of a computing device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10938947 | SLO I/O delay prediction | EMC IP HOLDING COMPANY LLC |
10938962 | Network architecture for multiple data stream management and endpoint visualization | AUDACIOUS INQUIRY LLC |
10938973 | Method and system for searching for object in network | SAMSUNG ELECTRONICS CO., LTD. |
10938978 | Call control method and apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
10938992 | Advanced audio feedback reduction utilizing adaptive filters and nonlinear processing | POLYCOM, INC. |
10938998 | Information processing apparatus | FUJI XEROX CO., LTD. |
10939000 | Operation of a panel for a printer using a dial control | KYOCERA DOCUMENT SOLUTIONS INC. |
10939001 | Image forming apparatus and non-transitory computer-readable recording medium storing home screen display program | KYOCERA DOCUMENT SOLUTIONS INC. |
10939002 | Printing apparatus, control method therefor, and program | CANON KABUSHIKI KAISHA |
10939007 | Information control apparatus and system, and non-transitory computer readable medium | FUJI XEROX CO., LTD. |
10939009 | Image forming apparatus | TOSHIBA TEC KABUSHIKI KAISHA |
10939020 | Printing system, color matching method, and program | MIMAKI ENGINEERING CO., LTD. |
10939028 | Wearable apparatus for name tagging | ORCAM TECHNOLOGIES LTD. |
10939033 | Systems and methods for directing adaptive camera systems | FACEBOOK TECHNOLOGIES, LLC |
10939038 | Object pre-encoding for 360-degree view for optimal quality and latency | INTEL CORPORATION |
10939065 | Display apparatus and method for controlling display of display apparatus | SAMSUNG ELECTRONICS CO., LTD. |
10939070 | Systems and methods for generating video images in a centered view mode | NATIONAL PRODUCTS, INC. |
10939071 | Terminal for providing video call service | HYPERCONNECT, INC. |
10939073 | System and method for providing a video conferencing setup | -- |
10939084 | Methods and system for generating and displaying 3D videos in a virtual, augmented, or mixed reality environment | MAGIC LEAP, INC. |
10939085 | Three dimensional glasses free light field display using eye location | INTEL CORPORATION |
10939087 | Systems and method for virtual reality video conversion and streaming | ALCACRUZ INC. |
10939091 | Projector device for augmented reality and method for controlling the same | LG ELECTRONICS INC. |
10939139 | Adaptive coding and streaming of multi-directional video | APPLE INC. |
10939200 | Audio processing in multi-OS devices having multiple audio inputs | LENOVO (SINGAPORE) PTE. LTD. |
10939224 | Information processing apparatus, information processing method, and storage medium | CANON KABUSHIKI KAISHA |
10939246 | Location-based context information sharing in a messaging system | SNAP INC. |
10939289 | Group pairing of peripheral devices | DELL PRODUCTS L.P. |
10939530 | Method, device, and system for controlling smart light | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
10939534 | Control device for controlling multiple operating characteristics of an electrical load | LUTRON TECHNOLOGY COMPANY LLC |
10939557 | Organic light emitting display apparatus | LG DISPLAY CO., LTD. |
10940311 | Apparatus and method for button-free control of a wearable transcutaneous electrical nerve stimulator using interactive gestures and other means | NEUROMETRIX, INC. |
10940382 | Electronic tracking system with heads up display | NIKE, INC. |
10940390 | Audio information processing method | -- |
10940506 | Haptic actuator with linear and rotational movement | CENTER OF HUMAN-CENTERED INTERACTION FOR COEXISTENCE |
10940589 | Haptic system and operating method | TECHNISCHE UNIVERSITAT BERLIN |
10940757 | User interfaces, computer program product, signal sequence, transportation vehicle and method for displaying information on a display device | VOLKSWAGEN AKTIENGESELLSCHAFT |
10940760 | Device for controlling vehicle display device, system having the same, and method for controlling vehicle display device | HYUNDAI MOTOR COMPANY |
10940790 | System and method for adjustable lighting based on occupant and object identification in a vehicle | APPLE INC. |
10940798 | Camera monitor system | KOITO MANUFACTURING CO., LTD. |
10940872 | Transducer system providing tactile sensations | SUBPAC, INC. |
10941035 | Method for producing structured surfaces | LEIBNIZ-INSTITUT FüR NEUE MATERIALIEN GEMEINNüTZIGE GMBH |
10941982 | Refrigerator | LG ELECTRONICS INC. |
10942024 | Information processing apparatus, information processing method, and recording medium | SONY CORPORATION |
10942252 | Tracking system and tracking method | -- |
10942349 | Illumination assembly with in-field micro devices | FACEBOOK TECHNOLOGIES, LLC |
10942353 | Information display device | MAXELL, LTD. |
10942358 | Light emitting apparatus and image display system | SEIKO EPSON CORPORATION |
10942368 | Method for determining an optical system of a progressive lens | ESSILOR INTERNATIONAL |
10942369 | Smart contact lens control system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10942386 | Display device | JAPAN DISPLAY INC. |
10942408 | Composite oxide semiconductor, semiconductor device using the composite oxide semiconductor, and display device including the semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10942430 | Systems and methods for multiplying the image resolution of a pixelated display | DIGILENS INC. |
10942431 | Virtual image display apparatus | SEIKO EPSON CORPORATION |
10942491 | Wearable electronic device | APPLE INC. |
10942498 | Method and system for remote-controlled servicing of a field device of process automation | ENDRESS+HAUSER GMBH+CO. KG |
10942511 | Electronic device and control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10942512 | Vehicle operating device, and vehicle | MITSUBISHI HEAVY INDUSTRIES ENGINEERING, LTD. |
10942538 | Haptic operating device and method | INVENTUS ENGINEERING GMBH |
10942540 | Operator controlled electrical output signal device with variable feel and hold feedback and automated calibration and learnable performance optimization | PRINCE INDUSTRIES, INC. |
10942544 | Headset body and video glasses | SZ DJI TECHNOLOGY CO., LTD. |
10942545 | Wearable electronic device | CASIO COMPUTER CO., LTD. |
10942546 | Electronic device and method for processing gesture thereof | SAMSUNG ELECTRONICS CO., LTD. |
10942550 | System and process for enabling secure, instant, and anonymous communication between physically touching devices | CARNEGIE MELLON UNIVERSITY |
10942558 | User interface adaptation based on detected user location | GOOGLE LLC |
10942560 | Method of controlling hard disk and electronic device | EMC IP HOLDING COMPANY LLC |
10942563 | Prediction of the attention of an audience during a presentation | ORANGE |
10942564 | Dynamic graphics rendering based on predicted saccade landing point | SONY INTERACTIVE ENTERTAINMENT INC. |
10942565 | Virtual reality adaptive display control | INTEL CORPORATION |
10942566 | Navigation service assistance system based on driver line of sight and vehicle navigation system using the same | LG ELECTRONICS INC. |
10942567 | Gaze point compensation method and apparatus in display device, and display device | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10942568 | Wearable computing device with electrophysiological sensors | INTERAXON INC. |
10942569 | Systems and methods for multisensory-enhanced audio-visual recordings | SONICSENSORY, INC. |
10942570 | Device, method, and graphical user interface for providing tactile feedback for operations performed in a user interface | APPLE INC. |
10942571 | Laptop computing device with discrete haptic regions | APPLE INC. |
10942572 | Systems, methods, and articles using polarizable media in haptic-jamming | FACEBOOK TECHNOLOGIES, LLC |
10942573 | Information processing device, method, and computer | SONY CORPORATION |
10942574 | Apparatus and method for using blank area in screen | SAMSUNG ELECTRONICS CO., LTD. |
10942575 | 2D pointing indicator analysis | CISCO TECHNOLOGY, INC. |
10942576 | Techniques for real object and hand representation in virtual reality content | INTEL CORPORATION |
10942577 | Augmented reality interaction techniques | ROCKWELL AUTOMATION TECHNOLOGIES, INC. |
10942578 | System and method for recognition of the gesture of bringing a mobile electronic device to a user ear | STMICROELECTRONICS S.R.L. |
10942579 | User identification via motion and heartbeat waveform data | FITBIT, INC. |
10942580 | Input circuitry, terminal, and touch response method and device | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
10942581 | Illuminated keyboard | NEWPORT COAST |
10942582 | Keyboard layout | -- |
10942583 | Selecting events based on user input and current context | -- |
10942584 | Interchangeable input mechanisms for control devices | PERFORMANCE DESIGNED PRODUCTS LLC |
10942585 | Trackability enhancement of a passive stylus | ZSPACE, INC. |
10942586 | Interactive 3D cursor for use in medical imaging | D3D TECHNOLOGIES, INC. |
10942587 | Stylus pen | HIDEEP INC. |
10942588 | Latency adjustment of user input devices | MICROSOFT TECHNOLOGY LICENSING, LLC |
10942589 | Method for detecting events on a touch screen using mechanical input | FLEX LTD. |
10942590 | Touch-sensitive display device and method of fabricating same | SAMSUNG DISPLAY CO., LTD. |
10942591 | Touch display panel and touch display device | SHANGHAI TIANMA AM-OLED CO., LTD. |
10942592 | Display apparatus | JAPAN DISPLAY INC. |
10942593 | In-cell touch display device | LG DISPLAY CO., LTD. |
10942594 | Integrated electroactive and capacitive touch panel and display device including the same | LG DISPLAY CO., LTD. |
10942595 | Semiconductor device, control method thereof, and coupling relation setting process program | RENESAS ELECTRONICS CORPORATION |
10942596 | Touch-sensing system | CARNEGIE MELLON UNIVERSITY |
10942597 | Display apparatus including a touch driving circuit | SAMSUNG DISPLAY CO., LTD. |
10942598 | Active stylus downlink signal transmission timing control relative to sensor controller | WACOM CO., LTD. |
10942599 | Electrode connection and electric device comprising the same | DONGWOO FINE-CHEM CO., LTD. |
10942600 | Sensor pixel, ultrasonic sensor, OLED display panel, and OLED display device | BOE TECHNOLOGY GROUP CO., LTD. |
10942601 | Mutual hover protection for touchscreens | STMICROELECTRONICS ASIA PACIFIC PTE LTD |
10942602 | Electronic device with finger touch detection and related methods | STMICROELECTRONICS ASIA PACIFIC PTE LTD. |
10942603 | Managing activity states of an application processor in relation to touch or hover interactions with a touch sensitive device | QEEXO, CO. |
10942604 | Touch sensing device and display apparatus including the same | SILICON WORKS CO., LTD. |
10942605 | Method of optimizing touch detection | APPLE INC. |
10942606 | Touch sensing device of current driving type | SILICON WORKS CO., LTD. |
10942607 | Manipulation detection device and video display system that are capable detecting an object on a video display surface | MAXELL, LTD. |
10942608 | Spatially encoded sensor electrodes | SYNAPTICS INCORPORATED |
10942609 | Touch sensing unit with a specific structure of a touch signal line | SAMSUNG DISPLAY CO., LTD. |
10942610 | False triggering prevention in a resonant phase sensing system | CIRRUS LOGIC, INC. |
10942611 | Touch panel including touch sensor and driving method thereof | SAMSUNG DISPLAY CO., LTD. |
10942612 | Touch panel and display device including the same | SAMSUNG DISPLAY CO., LTD. |
10942613 | Input device and method of controlling the same | ALPS ALPINE CO., LTD. |
10942614 | Terminal device and method for displaying an associated window thereof | SAMSUNG ELECTRONICS CO., LTD. |
10942615 | Reconfigurable clip-on modules for mobile computing devices | MICROSOFT TECHNOLOGY LICENSING, LLC |
10942616 | Multimedia resource management method and apparatus, and storage medium | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
10942617 | Runtime adaptation of augmented reality gaming content based on context of surrounding physical environment | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10942618 | Panning in a three dimensional environment on a mobile device | GOOGLE LLC |
10942619 | Interactive reality activity augmentation | TOUCHMAGIX MEDIA PVT. LTD. |
10942620 | Information processing apparatus, information processing method, program, and information processing system | SONY CORPORATION |
10942621 | Character string display method and terminal device | HUAWEI TECHNOLOGIES CO., LTD. |
10942622 | Splitting and merging files via a motion input on a graphical user interface | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10942623 | Information processing apparatus, information processing method, and non-transitory computer readable medium for resuming an interrupted process | FUJI XEROX CO., LTD. |
10942624 | Interface to display animated icon | SNAP INC. |
10942625 | Coordinated display of software application interfaces | ATLASSIAN PTY LTD. |
10942626 | Display that scrolls to related setting control icons | FUJI XEROX CO., LTD. |
10942627 | User interface based variable machine modeling | PALANTIR TECHNOLOGIES INC. |
10942628 | Interactive flyer system | FLIPP CORPORATION |
10942629 | Recall probability based data storage and retrieval | LAITEK, INC. |
10942630 | Motor vehicle operator control apparatus having multiple coupled screens | AUDI AG |
10942631 | Content delivery system, content reception apparatus, and content delivery method | SHARP KABUSHIKI KAISHA |
10942632 | Self-clustering rearrangeable stacks of visible information and active in situ link portals in an infinitely zoomable space on a graphical computing device | ZOCOMOTION LTD. |
10942633 | Interactive viewing and editing system | MICROSOFT TECHNOLOGY LICENSING, LLC |
10942634 | User interface tools for cropping and straightening image | APPLE INC. |
10942635 | Displaying arranged photos in sequence based on a locus of a moving object in photos | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10942636 | Display control device, method for operating display control device, and program for operating display control device | FUJIFILM CORPORATION |
10942637 | Method and system for providing control user interfaces for home appliances | MIDEA GROUP CO., LTD. |
10942638 | Method and system for displaying a cursor on a trading screen | TRADING TECHNOLOGIES INTERNATIONAL, INC. |
10942639 | Accelerated scrolling | APPLE INC. |
10942640 | Fingerprint recognition module and mobile phone | HUIZHOU TCL MOBILE COMMUNICATION CO., LTD. |
10942641 | Synchronized calendar and timeline adaptive user interface | SAP SE |
10942642 | Systems and methods for performing erasures within a graphical user interface | AIRWATCH LLC |
10942643 | Active touch pen | -- |
10942644 | Method for sliding response acceleration and related products | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10942645 | Touch-based interactive learning environment | APPLE INC. |
10942646 | Adaptive ink prediction | MICROSOFT TECHNOLOGY LICENSING, LLC |
10942647 | Keyboard input mode switching apparatus, systems, and methods | LENOVO (SINGAPORE) PTE. LTD. |
10942648 | Mobile communication terminal and information display method thereof | ROVI GUIDES, INC. |
10942649 | System and method for backup storage garbage collection | EMC IP HOLDING COMPANY LLC |
10942650 | Reporting capacity utilization in a storage system | PURE STORAGE, INC. |
10942651 | Network data management protocol redirector | EMC IP HOLDING COMPANY LLC |
10942652 | Apparatuses and methods for parallel writing to multiple memory device structures | MICRON TECHNOLOGY, INC. |
10942653 | Method for performing refresh management in a memory device, associated memory device and controller thereof | -- |
10942654 | Hash-based data recovery from remote storage system | EMC IP HOLDING COMPANY LLC |
10942655 | Mitigating data errors in a storage device | SEAGATE TECHNOLOGY LLC |
10942656 | System data storage mechanism providing coherency and segmented data loading | WESTERN DIGITAL TECHNOLOGIES, INC. |
10942657 | Power management integrated circuit (PMIC) master/slave functionality | MICRON TECHNOLOGY, INC. |
10942658 | System and method for dynamic system memory sizing using non-volatile dual in-line memory modules | -- |
10942659 | Persistent logical to virtual table | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10942660 | Memory system for peforming compression based on map data pattern and operating method thereof | SK HYNIX INC. |
10942661 | Non-volatile memory including selective error correction | MICRON TECHNOLOGY, INC. |
10942662 | Relocating and/or re-programming blocks of storage space based on calibration frequency and resource utilization | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10942663 | Inlining data in inodes | EMC IP HOLDING COMPANY LLC |
10942664 | Device configured for dynamic software change | LIFE365, INC. |
10942665 | Efficient move and copy | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10942666 | Using network device replication in distributed storage clusters | CISCO TECHNOLOGY, INC. |
10942667 | Storage device having variable erase unit size and storage system including the same | SAMSUNG ELECTRONICS CO., LTD. |
10942668 | Storage device and verification thereof | SEAGATE TECHNOLOGY LLC |
10942669 | Information processing apparatus and computer-readable recording medium | FUJITSU CLIENT COMPUTING LIMITED |
10942670 | Direct access flash transition layer | VMWARE, INC. |
10942671 | Systems, methods and devices for a multistage sequential data process | HUAWEI TECHNOLOGIES CO., LTD. |
10942672 | Data transfer method and apparatus for differential data granularities | INTEL CORPORATION |
10942673 | Data processing using resistive memory arrays | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
10942674 | Semiconductor device and semiconductor system including the same | SK HYNIX INC. |
10942675 | Memory system and operating method thereof | SK HYNIX INC. |
10942676 | Data storage device for determining a write mode, operation method thereof and storage system having the same | SK HYNIX INC. |
10942677 | Method for performing access management of memory device, associated memory device and controller thereof, associated host device and associated electronic device | -- |
10942678 | Method of accessing data in storage device, method of managing data in storage device and storage device performing the same | SAMSUNG ELECTRONICS CO., LTD. |
10942679 | Memory systems and methods that allocate memory banks using striping size and stream identification information contained within directive commands | SAMSUNG ELECTRONICS CO., LTD. |
10942680 | Data writing method, memory storage device and memory control circuit unit | -- |
10942681 | Memory system and operation method thereof | SK HYNIX INC. |
10942682 | System and method of interfacing co-processors and input/output devices via a main memory system | RAMBUS INC. |
10942683 | Reducing page invalidation broadcasts | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10942684 | Assigning prioritized rebuild resources optimally | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10942685 | NAND raid controller | TOSHIBA MEMORY CORPORATION |
10942686 | Printer recommendation system | PALO ALTO RESEARCH CENTER INCORPORATED |
10942687 | Print quality monitoring and correction | NCR CORPORATION |
10942688 | System and method for implementing policy-based printing operations | KYOCERA DOCUMENT SOLUTIONS INC. |
10942689 | Pull printing via additional security processes | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10942690 | System and method for implementing a color shift security feature in a print job | XEROX CORPORATION |
10942691 | Image forming apparatus having copy function for executing copy job | KYOCERA DOCUMENT SOLUTIONS INC. |
10942692 | Apparatus and method for producing a digital printed image | -- |
10942693 | Information processing apparatus, method of controlling the same, and storage medium | CANON KABUSHIKI KAISHA |
10942694 | Printing system, terminal apparatus and print setting method for setting the print settings by applying specific setting data set | SHARP KABUSHIKI KAISHA |
10942695 | Alternative print system, print management system included therein, and non-transitory computer-readable recording medium for storing print management program to determine alternative image forming device for alternative print | KYOCERA DOCUMENT SOLUTIONS INC |
10942696 | Display device selection based on hardware configuration | MICROSOFT TECHNOLOGY LICENSING, LLC |
10942697 | System for discovering configuration of display wall | SPRINKLR, INC. |
10942698 | Control method of display system, display system, and display device | SEIKO EPSON CORPORATION |
10942699 | Audio file interface | APPLE INC. |
10942700 | Hearing device incorporating user interactive auditory display | STARKEY LABORATORIES, INC. |
10942701 | Input and edit functions utilizing accelerometer based earpiece movement system and method | BRAGI GMBH |
10942702 | Intelligent device arbitration and control | APPLE INC. |
10942703 | Proactive assistance based on dialog communication between devices | APPLE INC. |
10942711 | Information processing method and information processing apparatus | SONY CORPORATION |
10942712 | Visual programming system | SERVICENOW, INC. |
10942715 | Composable context menus | OPEN TEXT CORPORATION |
10942749 | Processor memory mapped boot system | DELL PRODUCTS L.P. |
10942755 | Interface switching method and device, and computer readable storage medium | SHENZHEN LAUNCH SOFTWARE CO., LTD. |
10942781 | Automated capacity provisioning method using historical performance data | BMC SOFTWARE, INC. |
10942782 | Workload estimation of data resynchronization | VMWARE, INC. |
10942796 | Identifying asynchronous power loss | MICRON TECHNOLOGY, INC. |
10942803 | Method for performing data processing for error handling in memory device, associated memory device and controller thereof, and associated electronic device | -- |
10942806 | Manipulating a distributed agreement protocol to identify a desired set of storage units | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10942807 | Storage system spanning multiple failure domains | WEKA.IO LTD. |
10942808 | Adaptive data and parity placement using compression ratios of storage devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10942809 | Changing of error correction codes based on the wear of a memory sub-system | MICRON TECHNOLOGY, INC. |
10942810 | Start-up processing for information processing apparatus and method, and storage medium | CANON KABUSHIKI KAISHA |
10942811 | Data processing method for solid state drive | -- |
10942815 | Storage control system managing file-level and block-level storage services, and methods for controlling such storage control system | HITACHI, LTD. |
10942818 | Common backup and recovery solution for diverse cloud-based services in a productivity suite | QUEST SOFTWARE INC. |
10942821 | Method and apparatus for dynamic binding and unbinding thin logical storage volumes to snapshots of a file system | EMC IP HOLDING COMPANY LLC |
10942840 | System and method for managing a code repository | EMC IP HOLDING COMPANY LLC |
10942844 | Reserved memory in memory management system | APPLE INC. |
10942846 | Aggregated and virtualized solid state drives accessed via multiple logical address spaces | MICRON TECHNOLOGY, INC. |
10942857 | Dynamically adjusting a number of memory copy and memory mapping windows to optimize I/O performance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10942858 | Data storage devices and data processing methods | -- |
10942861 | Configurable logic block networks and managing coherent memory in the same | MICRON TECHNOLOGY, INC. |
10942869 | Efficient coding in a storage system | PURE STORAGE, INC. |
10942870 | Circuitry and method | ARM LIMITED |
10942873 | Memory tile access and selection patterns | MICRON TECHNOLOGY, INC. |
10942879 | Handling operation collisions in a non-volatile memory | MICRON TECHNOLOGY, INC. |
10942885 | Communicating apparatus, communication method, program, and communication system | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10942887 | Electronic device configured to control non-directly connected storage device among serially connected storage devices, storage device included therein, computing system including the same, and operation method of controller of storage device | SAMSUNG ELECTRONICS CO., LTD. |
10942895 | Storage system with decrement protection of reference counts | EMC IP HOLDING COMPANY LLC |
10942896 | Interactive contact organizational information retrieval and archive transport system with notifications and contact-centric archive for objects | -- |
10942902 | Efficient database migration using an intermediary secondary storage system | COHESITY, INC. |
10942928 | System and method for automatically providing alternative points of view for multimedia content | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10942940 | Smell presentation device with environment condition-based smell intensity correction | SONY INTERACTIVE ENTERTAINMENT INC. |
10942971 | Inserting elements into artificial intelligence content | NEWSRX, LLC |
10942973 | Automatically generating and evaluating candidate terms for trademark clearance | CORSEARCH, INC. |
10942981 | Online publication system and method | RESEARCHGATE GMBH |
10942988 | Zero-knowledge encryption in universal data scaffold based data management platform | THINKSPAN, LLC |
10942991 | Access controls using trust relationships and simplified content curation | KIDDOFY, LLC |
10942995 | Method for obtaining biometric information using light source corresponding to biometric information and electronic device thereof | SAMSUNG ELECTRONICS CO., LTD. |
10943016 | System and method for managing data including identifying a data protection pool based on a data classification analysis | EMC IP HOLDING COMPANY LLC |
10943026 | Tagging and auditing sensitive information in a database environment | ZENPAYROLL, INC. |
10943027 | Determination and visualization of effective mask expressions | SAP SE |
10943038 | Dynamic adjustment of cross-sectional views | PROCORE TECHNOLOGIES, INC. |
10943047 | Circuit design method and associated computer program product | -- |
10943058 | Method and device for accessing sources and services on the web | -- |
10943059 | Document editing models and management | MICROSOFT TECHNOLOGY LICENSING, LLC |
10943060 | Automated outline generation of captured meeting audio in a collaborative document context | DROPBOX, INC. |
10943062 | Method and apparatus of implementing business card application | ANIYA'S PRODUCTION COMPANY |
10943066 | Method and apparatus for generating statement | SAMSUNG ELECTRONICS CO., LTD. |
10943072 | Contextual and intent based natural language processing system and method | CONVERSIGHT.AI, INC. |
10943081 | Device for touch and fingerprint recognition | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10943082 | Display device | SAMSUNG DISPLAY CO., LTD. |
10943084 | Multifunctional, multimodal, under-display sensor | QUALCOMM INCORPORATED |
10943089 | Apparatus and method for device administration using augmented reality in electronic device | SAMSUNG ELECTRONICS CO., LTD. |
10943100 | Systems, methods, devices and apparatuses for detecting facial expression | MINDMAZE HOLDING SA |
10943109 | Electronic apparatus, method for controlling thereof and the computer readable recording medium | SAMSUNG ELECTRONICS CO., LTD. |
10943119 | Apparatus and method for performing viewer gaze analysis | SAMSUNG ELECTRONICS CO., LTD. |
10943120 | Enhanced pose determination for display device | MAGIC LEAP, INC. |
10943151 | Systems and methods for training and validating a computer vision model for geospatial imagery | VERIZON PATENT AND LICENSING INC. |
10943172 | Real-time predictive knowledge pattern machine | BIRDVIEW FILMS, LLC |
10943183 | Electronics device performing software training on memory channel and memory channel training method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10943192 | Method and system for displaying work assignment status information in connection with work to be performed on a component of a linear asset infrastructure | CANADIAN NATIONAL RAILWAY COMPANY |
10943197 | Flight number optimization system | AMERICAN AIRLINES, INC. |
10943214 | Creating electronic calendar entries from email messages | GOOGLE LLC |
10943218 | Computing system and methods thereof for processing personalized electronic healthcare payment transactions | SALUCRO HEALTHCARE SOLUTIONS, LLC |
10943227 | Apparatus and method for providing interaction information by using image on device display | SAMSUNG ELECTRONICS CO., LTD. |
10943229 | Augmented reality headset and digital wallet | BANK OF AMERICA CORPORATION |
10943235 | System and method of software-imitated user transactions using machine learning | AO KASPERSKY LAB |
10943249 | System and method for providing enhanced rewards to customers | CAPITAL ONE SERVICES, LLC |
10943260 | Method and device for providing recommendation panel, and method and server for providing recommendation item | SAMSUNG ELECTRONICS CO., LTD. |
10943268 | Paying for content through mining | SONY CORPORATION |
10943272 | Smart broadcasting device | ADVANCED NEW TECHNOLOGIES CO., LTD. |
10943290 | Interface for providing a shopping keyboard on mobile device | VIBER MEDIA S.à R.L. |
10943295 | Credit repair by analysis of trade line properties | PROGREXION IP, INC. |
10943315 | Systems and methods for automated assessment for remediation and/or redevelopment of brownfield real estate | -- |
10943346 | Multi-sample whole slide image processing in digital pathology via multi-resolution registration and machine learning | CORISTA, LLC |
10943372 | GUI display method and apparatus, and terminal device | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
10943374 | Reshaping objects on a canvas in a user interface | MICROSOFT TECHNOLOGY LICENSING, LLC |
10943375 | Multi-state vector graphics | ADOBE INC. |
10943377 | Creating images using image anchors and generative adversarial networks | SHUTTERSTOCK, INC. |
10943382 | Virtual reality | SONY INTERACTIVE ENTERTAINMENT INC. |
10943395 | Dynamic integration of a virtual environment with a physical environment | VIRTEX APPS, LLC |
10943396 | Synchronizing transmitted video data and enhancements | AMAZON TECHNOLOGIES, INC. |
10943398 | Augmented reality device and operation thereof | SAMSUNG ELECTRONICS CO., LTD. |
10943399 | Systems and methods of physics layer prioritization in virtual environments | MICROSOFT TECHNOLOGY LICENSING, LLC |
10943400 | Multimodal user interface for a vehicle | CERENCE OPERATING COMPANY |
10943402 | Method and system for mixed reality interaction with peripheral device | LOGITECH EUROPE S.A. |
10943403 | Object preview in a mixed reality environment | A9.COM, INC. |
10943404 | Content output method and electronic device for supporting same | SAMSUNG ELECTRONICS CO., LTD. |
10943409 | Information processing apparatus, information processing method, and program for correcting display information drawn in a plurality of buffers | SONY CORPORATION |
10943410 | Extended reality assembly modeling | MEDTRONIC, INC. |
10943503 | Envelope encoding of speech signals for transmission to cutaneous actuators | FACEBOOK, INC. |
10943505 | Hybrid image/scene renderer with hands free control | SURGICAL THEATER, INC. |
10943516 | Systems and methods of utilizing output of display component for display temperature compensation | APPLE INC. |
10943544 | Organic light emitting display device and driving method thereof | LG DISPLAY CO., LTD. |
10943554 | Anti-leakage circuit for shift register unit, method of driving shift register unit, gate driver on array circuit and touch display device | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. |
10943565 | Use of a display of a converter, method for operating a converter, and converter | SEW-EURODRIVE GMBH & CO. KG |
10943592 | System, method, and recording medium for controlling dialogue interruptions by a speech output device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10943603 | Systems and methods for a neighborhood voice assistant | WELLS FARGO BANK, N.A. |
10943617 | Shared disk drive component system | SPECTRA LOGIC CORPORATION |
10943619 | Enhancing audio using multiple recording devices | GOOGLE LLC |
10943635 | Memory device shared by two or more processors and system including the same | SAMSUNG ELECTRONICS CO., LTD. |
10943639 | Data storage device and operating method thereof | SK HYNIX INC. |
10943640 | Apparatus, method and system for providing termination for multiple chips of an integrated circuit package | INTEL CORPORATION |
10943653 | Memory receiver with resistive voltage divider | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10943664 | Storage device and operating method thereof | SK HYNIX INC. |
10943669 | Memory system and method for optimizing read threshold | SK HYNIX INC. |
10943681 | Global multi-label generating system | ENLITIC, INC. |
10943688 | Performance monitoring systems and methods | ADIDAS AG |
10943701 | Three-dimensional modeling of patient-specific tumors using a lattice of elastic-material points to simulate metabolism, biochemical reactions, mechanical forces, and drug interactions in a patient | SIMBIOSYS, INC. |
10943890 | Display module including cabinet and display panel detachably coupled to cabinet by locking mechanism using magnetic force | SAMSUNG ELECTRONICS CO., LTD. |
10943906 | Fingerprint sensing chip and terminal device | FOCALTECH ELECTRONICS, LTD. |
10943958 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
10943968 | Organic light-emitting display device | SAMSUNG DISPLAY CO., LTD. |
10943971 | Display device | SAMSUNG DISPLAY CO., LTD. |
10944079 | Flexible display panel and method for fabricating the same | BOE TECHNOLOGY GROUP CO., LTD. |
10944154 | Wireless audio system and method for wirelessly communicating audio information using the same | BESTECHNIC (SHANGHAI) CO., LTD. |
10944313 | Self-adaptive control miniature motor | GOERTEK INC. |
10944389 | Display device having an integrated sensing device with reduced interference | SYNAPTICS INCORPORATED |
10944400 | On-die termination control | RAMBUS INC. |
10944586 | Systems and methods for home automation monitoring | VIVINT, INC. |
10944587 | Event processing associated with a smart device | BANMA ZHIXING NETWORK (HONGKONG) CO., LIMITED |
10944641 | Systems and methods for application traffic simulation using captured flows | CISCO TECHNOLOGY, INC. |
10944656 | Technologies for adaptive processing of multiple buffers | INTEL CORPORATION |
10944679 | Methods and systems for managing quality of service in a networked storage environment | NETAPP INC. |
10944705 | Systems and methods for improved processing of message queries | GOOGLE LLC |
10944710 | Ephemeral gallery user interface with remaining gallery time indication | SNAP INC. |
10944740 | Cluster claim | COHESITY, INC. |
10944766 | Configurable cyber-attack trackers | MICROSOFT TECHNOLOGY LICENSING, LLC |
10944810 | System, service determining method, and computer program product | RICOH COMPANY, LTD. |
10944819 | Replication of an encrypted volume | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
10944826 | Selective instantiation of a storage service for a mapped redundant array of independent nodes | EMC IP HOLDING COMPANY LLC |
10944837 | Floor-plan based learning and registration of distributed devices | CARRIER CORPORATION |
10944841 | Server-driven notifications to mobile applications | RED HAT, INC. |
10944856 | Haptic based communication method | REVOLUTIONEYES ME LIMITED |
10944859 | Accelerated task performance | APPLE INC. |
10944870 | Customer experience options in a graphically interactive voice response system | TALKDESK, INC. |
10944877 | Image processing system, image processing apparatus, and image processing method | CANON KABUSHIKI KAISHA |
10944879 | Image forming apparatus | CANON KABUSHIKI KAISHA |
10944880 | Image forming apparatus and scanned data process method | RICOH COMPANY, LTD. |
10944887 | Apparatus for controlling a display of a display screen | FUJI XEROX CO., LTD. |
10944888 | Methods and system of devices for managing confidential documents using authentication codes | KYOCERA DOCUMENT SOLUTIONS INC. |
10944904 | Image capture with digital light path length modulation | AVEGANT CORP. |
10944920 | Video switching method, device and video patrol system | HANGZHOU HIKVISION DIGITAL TECHNOLOGY CO., LTD. |
10944934 | Method and apparatus for channel switching in interactive smartboard | GUANGZHOU SHIYUAN ELECTRONICS CO., LTD. |
10944935 | Audio-video control system | -- |
10944957 | Active stereo matching for depth applications | MICROSOFT TECHNOLOGY LICENSING, LLC |
10945018 | System and method for display adjustments based on content characteristics | NETGEAR, INC. |
10945022 | Methods and systems for providing relevant season series recording functionality | ROVI GUIDES, INC. |
10945027 | Systems and methods for networked music playback | SONOS, INC. |
10945046 | Systems and methods for generating for display recommendations that are temporally relevant to activities of a user and are contextually relevant to a portion of a media asset that the user is consuming | ROVI GUIDES, INC. |
10945063 | Neckband headset with noise rejection | PLANTRONICS, INC. |
10945080 | Audio analysis and processing system | STAGES LLC |
10945089 | Playback based on user settings | SONOS, INC. |
10945094 | Social media systems and methods and mobile devices therefor | FLYING EYE REALITY, INC. |
10945098 | Location-based context information sharing in a messaging system | SNAP INC. |
10945484 | Haptic output devices | APPLE INC. |
10945499 | Decorative composite body having a transparent, electrically conductive layer and a solar cell | D. SWAROVSKI KG |
10945514 | Information processing apparatus, information processing method, and computer-readable storage medium | SONY CORPORATION |
10945807 | Augmented reality viewing and tagging for medical procedures | NOVARAD CORPORATION |
10945864 | System and method for noninvasive identification of cognitive and behavioral goals | TELEDYNE SCIENTIFIC & IMAGING, LLC |
10945876 | Fluency aid | CIRRUS LOGIC, INC. |
10945888 | Intelligent blind guide method and apparatus | CLOUDMINDS (SHENZHEN) ROBOTICS SYSTEMS CO., LTD. |
10946270 | System and method for offline configuring of a gaming accessory | STEELSERIES APS |
10946271 | Controlling data processing | SONY INTERACTIVE ENTERTAINMENT INC. |
10946277 | Method and apparatus for controlling virtual object, and storage medium | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
10946279 | Context-based notifications on a user display | SONY INTERACTIVE ENTERTAINMENT INC. |
10946280 | In-game reactions to interruptions | SONY INTERACTIVE ENTERTAINMENT LLC |
10946586 | Framework for entertainment device communication of embeddable printable objects to printing devices | CENTURYLINK INTELLECTUAL PROPERTY LLC |
10946612 | Integrated multilayer structure for use in sensing applications and method for manufacturing thereof | TACTOTEK OY |
10946743 | Display control device | MITSUBISHI ELECTRIC CORPORATION |
10948031 | Method for operating a haptic operating device and for operating electronic equipment with the haptic operating device | INVENTUS ENGINEERING GMBH |
10948278 | Calibrating a magnetic sensor | ASCENSION TECHNOLOGY CORPORATION |
10948286 | System for and a method of measuring using a handheld electronic device | MY SIZE ISRAEL 2014 LTD. |
10948301 | Systems and methods for recommending an estimated time of arrival | BEIJING DIDI INFINITY TECHNOLOGY AND DEVELOPMENT CO., LTD. |
10948370 | Haptic pin field sensor and manipulator | THE BOEING COMPANY |
10948415 | Method of determining surgical margins using fluorescence biopsy specimen imager | LI-COR, INC. |
10948514 | Center of gravity shifting force device | HARMAN INTERNATIONAL INDUSTRIES, INCORPORATED |
10948563 | Radar enabled location based keyword activation for voice assistants | INFINEON TECHNOLOGIES AG |
10948601 | Recording system and apparatus including geofencing | INVISIBLE INTELLIGENCE, LLC |
10948721 | Electromagnetic tracking with augmented reality systems | MAGIC LEAP, INC. |
10948725 | Wearable display apparatus and method of displaying three-dimensional images thereon | SAMSUNG ELECTRONICS CO., LTD. |
10948730 | Dynamic panel masking | VALVE CORPORATION |
10948742 | Non-circular contact lenses with payloads | TECTUS CORPORATION |
10948759 | In-vehicle touch display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10948771 | Liquid-crystal display device and light-source device | FUNAI ELECTRIC CO., LTD. |
10948880 | Force-detecting input structure | APPLE INC. |
10948907 | Self-driving mobile robots using human-robot interactions | FORD GLOBAL TECHNOLOGIES, LLC |
10948948 | Mobile apparatus | SAMSUNG ELECTRONICS CO., LTD. |
10948949 | Electronic apparatus having a hole area within screen and control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10948950 | Information processing device, table, display control method, program, portable terminal, and information processing system | SONY CORPORATION |
10948968 | Controlling processor performance scaling based on context | INTEL CORPORATION |
10948972 | Data storage apparatus and operation method thereof | -- |
10948974 | Head-mounted display device, program, and method for controlling head-mounted display device | SEIKO EPSON CORPORATION |
10948975 | Methods and systems for associating input schemes with physical world objects | ROVI GUIDES, INC. |
10948976 | Systems and methods for electric discharge-based sensing via wearables donned by users of artificial reality systems | FACEBOOK TECHNOLOGIES, LLC |
10948977 | Information processing apparatus and information processing method | SONY CORPORATION |
10948978 | Virtual object operating system and virtual object operating method | -- |
10948979 | Method and device for determining action or action part | BEIJING ZHIGU RUI TUO TECH CO., LTD |
10948980 | Electronic device system with controllers | APPLE INC. |
10948981 | Method and system for eye tracking using speckle patterns | MAGIC LEAP, INC. |
10948982 | Methods and systems for integrating virtual content into an immersive virtual reality world based on real-world scenery | VERIZON PATENT AND LICENSING INC. |
10948983 | System and method for utilizing gaze tracking and focal point tracking | SAMSUNG ELECTRONICS CO., LTD. |
10948984 | Calibration of an eye tracking system | CONTROLRAD SYSTEMS INC. |
10948985 | Retina space display stabilization and a foveated display for augmented reality | NVIDIA CORPORATION |
10948986 | System for performing eye detection and/or tracking | FOTONATION LIMITED |
10948987 | Light guiding component and manufacturing method thereof, eyeball tracking module and method, video eyeglass | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10948988 | Contextual awareness based on eye motion tracking by an eye-mounted system | TECTUS CORPORATION |
10948989 | Apparatuses, systems, and methods for actuating a contact surface | FACEBOOK TECHNOLOGIES, LLC |
10948990 | Image classification by brain computer interface | INNEREYE LTD. |
10948991 | Haptic feedback for virtual reality | VALKYRIE INDUSTRIES LIMITED |
10948992 | Ring human-machine interface | -- |
10948993 | Picture-taking within virtual reality | FACEBOOK, INC. |
10948994 | Gesture control method for wearable system and wearable system | HUAWEI TECHNOLOGIES CO., LTD. |
10948995 | Method and system for supporting object control, and non-transitory computer-readable recording medium | VTOUCH CO., LTD. |
10948996 | Radar-based gesture-recognition at a surface of an object | GOOGLE LLC |
10948997 | Artificial reality notification triggers | FACEBOOK TECHNOLOGIES, LLC |
10948998 | Human interface device | INTERLINK ELECTRONICS, INC. |
10948999 | Metal and plastic composite keyboard | MICROSOFT TECHNOLOGY LICENSING, LLC |
10949000 | Sticker recommendation method and apparatus | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
10949001 | System and method of utilizing a stylus with an information handling system | DELL PRODUCTS L.P. |
10949002 | Conductive film and touch panel including the same | LG ELECTRONICS INC. |
10949003 | Display apparatus for individually controlling transparency and rendering state of each of a plurality of areas and display method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10949004 | Lighting laminated glazing with a capacitive touch sensitive device and a light emitting diode and the manufacturing | SAINT-GOBAIN GLASS FRANCE |
10949005 | Absolute phase measurement testing device and technique | GLOBALFOUNDRIES U.S. INC. |
10949006 | Digital jukebox device with improved user interfaces, and associated methods | TOUCHTUNES MUSIC CORPORATION |
10949007 | Touch display panel, display apparatus, and method for driving touch display panel | BOE TECHNOLOGY GROUP CO., LTD. |
10949008 | Display device | SAMSUNG DISPLAY CO., LTD. |
10949009 | Electronic apparatus | SAMSUNG DISPLAY CO., LTD. |
10949010 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
10949011 | Touch panel system | NETAPPLI CO., LTD. |
10949012 | Electronic device comprising force sensor | SAMSUNG ELECTRONICS CO., LTD. |
10949013 | Electronic device and touch input sensing method of electronic device | SAMSUNG ELECTRONICS CO., LTD. |
10949014 | Display apparatus that includes electrodes in a frame area | JAPAN DISPLAY INC. |
10949015 | Display control apparatus, display control method, and storage medium | CANON KABUSHIKI KAISHA |
10949016 | Display device including an electrode overlapping a frame area outside the display area | JAPAN DISPLAY INC. |
10949017 | Projected capacitive to surface capacitive interface | TES AMERICA, LLC |
10949018 | Display module and display device having the same | SAMSUNG DISPLAY CO., LTD. |
10949019 | Electronic device and method for determining touch coordinate thereof | SAMSUNG ELECTRONICS CO., LTD. |
10949020 | Fingerprint-assisted force estimation | APPLE INC. |
10949021 | Electric field touchscreen | CHARGEPOINT, INC. |
10949022 | Method, device, and system for determining a false touch on a touch screen of an electronic device using an AI model | LG ELECTRONICS INC. |
10949023 | Touch panel device, touch panel device control method, program, and storage medium storing the program | FANUC CORPORATION |
10949024 | Touch screen | TEXAS INSTRUMENTS INCORPORATED |
10949025 | Optical touch device, display and electronic device | BOE TECHNOLOGY GROUP CO., LTD. |
10949026 | Optoelectronic device and methods of use | DOW GLOBAL TECHNOLOGIES LLC |
10949027 | Interactive virtual display | NEONODE INC. |
10949028 | Texture recognition method, texture recognition device and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10949029 | Method and apparatus for classifying a touch event on a touchscreen as related to one of multiple function generating interaction layers | QEEXO, CO. |
10949030 | Shear-poled curved piezoelectric material | APPLE INC. |
10949031 | Touch display substrate and touch display device | BOE TECHNOLOGY GROUP CO., LTD. |
10949032 | Circuit, touch chip, and electronic device for capacitance detection | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
10949033 | Touch panel device, touch panel device control method, and non-transitory tangible computer-readable storage medium having the program stored therein | FANUC CORPORATION |
10949034 | Single-layer sensor array scan | SYNAPTICS INCORPORATED |
10949035 | Method carried out by stylus, method carried out by dual stylus and dual controller, and dual stylus | WACOM CO., LTD. |
10949036 | Method of capacitive measurement by non regular electrodes, and apparatus implementing such a method | QUICKSTEP TECHNOLOGIES LLC |
10949037 | Method for manufacturing flexible display device comprising touch sensor | DONGWOO FINE-CHEM CO., LTD. |
10949038 | Organic light-emitting display panel and organic light-emitting display device having built-in touchscreen | LG DISPLAY CO., LTD. |
10949039 | Display device | JAPAN DISPLAY INC. |
10949040 | Pressure sensor constituting plurality of channels, touch input device including same, and pressure detection method in which same is used | HIDEEP INC. |
10949041 | Capacitance detection circuit, capacitance detection method, touch detection apparatus, and terminal device | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
10949042 | Substrate, display apparatus and control method of the substrate | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10949043 | Input device manufacturing method and input device | ALPS ALPINE CO., LTD |
10949044 | Touch structure not affected by changes in capacitance of touch electrode to ground, touch device using same, and method for driving touch structure | INTERFACE TECHNOLOGY (CHENGDU) CO., LTD. |
10949045 | Flexible touch substrate and touch device that have reduced parasitic capacitance between different signal lines | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10949046 | Pressure sensor and display device including the same | SAMSUNG DISPLAY CO., LTD. |
10949047 | Display devices | SAMSUNG DISPLAY CO., LTD. |
10949048 | Inference-based visual map of organizational structure and resource usage | MICROSOFT TECHNOLOGY LICENSING, LLC |
10949049 | Apparatus and method for alternate channel communication initiated through a common message thread | SNAP INC. |
10949050 | Screen control method and electronic device thereof | SAMSUNG ELECTRONICS CO., LTD. |
10949051 | Managing presentation of windows on a mobile device | Z124 |
10949052 | Social interaction in a media streaming service | APPLE INC. |
10949053 | E-mail interface having an informational tooltip | FACEBOOK, INC. |
10949054 | Personal digital assistance and virtual reality | SONY INTERACTIVE ENTERTAINMENT AMERICA LLC |
10949055 | Display system, display apparatus, control method for display apparatus | SEIKO EPSON CORPORATION |
10949056 | System and method to navigate 3D data on mobile and desktop | SALESFORCE.COM, INC. |
10949057 | Position-dependent modification of descriptive content in a virtual reality environment | ADOBE INC. |
10949058 | Generating and manipulating three-dimensional (3D) objects in a 3D environment of an alternative reality software application | FMR LLC |
10949059 | Controlling movement of an entity displayed on a user interface | KING.COM LTD. |
10949060 | Method for switching applications, and electronic device thereof | SAMSUNG ELECTRONICS CO., LTD. |
10949061 | Application publishing in a virtualized environment | CITRIX SYSTEMS, INC. |
10949062 | Device maintenance apparatus, device maintenance method, device maintenance program, and recording medium | YOKOGAWA ELECTRIC CORPORATION |
10949063 | Media play of selected portions of an event | BAMTECH, LLC |
10949064 | Selecting a picture of a device to identify an associated codeset | UNIVERSAL ELECTRONICS INC. |
10949065 | Desktop launcher | MICROSOFT TECHNOLOGY LICENSING, LLC |
10949066 | Recall service for productivity applications | MICROSOFT TECHNOLOGY LICENSING, LLC |
10949067 | Apparatus and method of displaying objects in configurable window groups | HEXAGON TECHNOLOGY CENTER GMBH |
10949068 | Displaying dynamic graphical content in graphical user interface (GUI) controls | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10949069 | Shake event detection system | GOOGLE LLC |
10949070 | Customizable mobile application for event management | SERVICENOW, INC. |
10949071 | Interactive user interfaces for location-based data analysis | PALANTIR TECHNOLOGIES INC. |
10949072 | Apparatus and method for controlling a screen display in portable terminal | SAMSUNG ELECTRONICS CO., LTD. |
10949073 | Dynamic adjustment of a user interface | INTEL CORPORATION |
10949074 | Graphical user interfaces for defining complex data objects | SERVICENOW, INC. |
10949075 | Application command control for small screen display | MICROSOFT TECHNOLOGY LICENSING, LLC |
10949077 | Information processing method and device | BEIJING ZHIGU RUI TUO TECH CO., LTD |
10949078 | Display apparatus, display method, and non-transitory computer-readable recording medium | SHARP KABUSHIKI KAISHA |
10949079 | Electronic device combining functions of touch screen and remote control and operation control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10949080 | Online systems and methods for advancing information organization sharing and collective action | -- |
10949081 | Devices, methods, and graphical user interfaces for messaging | APPLE INC. |
10949082 | Processing capacitive touch gestures implemented on an electronic device | APPLE INC. |
10949083 | Processing device having a graphical user interface for industrial vehicle | CROWN EQUIPMENT CORPORATION |
10949084 | Display screen component and electronic device | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10949085 | Electronic device | LENOVO (BEIJING) CO., LTD. |
10949086 | Systems and methods for virtual keyboards for high dimensional controllers | THE BOARD OF TRUSTEES OF THE LELAND STANFORD JUNIOR UNIVERSITY |
10949087 | Method for rapid reference object storage format for chroma subsampled images | SAMSUNG ELECTRONICS CO., LTD. |
10949088 | Method or an apparatus for having perfect deduplication, adapted for saving space in a deduplication file system | EMC IP HOLDING COMPANY LLC |
10949089 | Reconfiguring data objects using batch processing of concatenated components | VMWARE, INC. |
10949090 | Memory system that stores data designated by a deletion request in nonvolatile memory | TOSHIBA MEMORY CORPORATION |
10949091 | Memory controllers, memory systems, solid state drives and methods for processing a number of commands | MICRON TECHNOLOGY, INC. |
10949092 | Memory system with block rearrangement to secure a free block based on read valid first and second data | TOSHIBA MEMORY CORPORATION |
10949093 | Scalable data access system and methods of eliminating controller bottlenecks | -- |
10949094 | Storage device and operating method of storage device | SAMSUNG ELECTRONICS CO., LTD. |
10949095 | Method, network adapters and computer program product using network adapter memory to service data requests | EMC IP HOLDING COMPANY LLC |
10949096 | Method using logical based addressing for latency reduction | WESTERN DIGITAL TECHNOLOGIES, INC. |
10949097 | Peripheral component interconnect express (PCIE) network with input/output (I/O) operation chaining to reduce communication time within execution of I/O channel operations | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10949098 | Method and apparatus for providing increased storage capacity | R-STOR INC. |
10949099 | Memory system for adjusting read reclaim counts and method for operating the same | SK HYNIX INC. |
10949100 | Configurable memory storage system | -- |
10949101 | Storage device operation orchestration | MICRON TECHNOLOGY, INC. |
10949102 | Leveraging snapshot for time series pattern analysis prediction | EMC IP HOLDING COMPANY LLC |
10949103 | Native storage quality of service for virtual machines | TINTRI BY DDN, INC. |
10949104 | Host device configured for automatic creation of multi-path logical devices with user-defined names | EMC IP HOLDING COMPANY LLC |
10949105 | Data storage device and operating method of the data storage device | SK HYNIX INC. |
10949106 | Initialization methods and associated controller, memory device and host | -- |
10949107 | Fragment filling for storage system with in-line compression | EMC IP HOLDING COMPANY LLC |
10949108 | Enhanced application performance in multi-tier storage environments | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10949109 | Expansion cartridge for deduplication of data chunks in client devices interspersed in networked environments | ARC DOCUMENT SOLUTIONS, LLC |
10949110 | Configurable mapping system in a non-volatile memory | SEAGATE TECHNOLOGY LLC |
10949111 | Updating operating system images of inactive compute instances | RED HAT ISRAEL, LTD. |
10949112 | Data push method and device, storage medium, and electronic device | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
10949113 | Retention aware block mapping in flash-based solid state drives | SK HYNIX INC. |
10949114 | Data storage management devices using utilization rates and methods for data storage management using utilization rates | EMC IP HOLDING COMPANY LLC |
10949115 | Flash memory polling | WESTERN DIGITAL TECHNOLOGIES, INC. |
10949116 | Storage resource capacity prediction utilizing a plurality of time series forecasting models | EMC IP HOLDING COMPANY LLC |
10949117 | Direct data transfer in memory and between devices of a memory module | MICRON TECHNOLOGY, INC. |
10949118 | Data storage method, apparatus, and device for multi-layer blockchain-type ledger | ADVANCED NEW TECHNOLOGIES CO., LTD. |
10949119 | Data shaping to reduce error rates in solid state memory devices | WESTERN DIGITAL TECHNOLOGIES, INC. |
10949120 | Host defined bandwidth allocation for SSD tasks | INTEL CORPORATION |
10949121 | Memory system | TOSHIBA MEMORY CORPORATION |
10949122 | Write management for increasing non-volatile memory reliability | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10949123 | Using interleaved writes to separate die planes | WESTERN DIGITAL TECHNOLOGIES, INC. |
10949124 | Virtualized block storage servers in cloud provider substrate extension | AMAZON TECHNOLOGIES, INC. |
10949125 | Virtualized block storage servers in cloud provider substrate extension | AMAZON TECHNOLOGIES, INC. |
10949126 | Solid-state storage device speed performance and endurance through host-side data classification and embedded write caching | SCALEFLUX, INC. |
10949127 | Dynamic memory traffic optimization in multi-client systems | ADVANCED MICRO DEVICES, INC. |
10949128 | Data protection method | -- |
10949129 | Adjusting data ingest based on compaction rate in a dispersed storage network | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10949130 | Virtual solid state storage system with solid state storage error emulation | SEAGATE TECHNOLOGY LLC |
10949131 | Control plane for block storage service distributed across a cloud provider substrate and a substrate extension | AMAZON TECHNOLOGIES, INC. |
10949132 | Semiconductor storage device | KIOXIA CORPORATION |
10949133 | Information processing apparatus configured to determine a transmission source device of a packet and information processing method | RICOH COMPANY, LTD. |
10949134 | Client apparatus, control method, and storage medium | CANON KABUSHIKI KAISHA |
10949135 | Print system, print setting prediction method, and non-transitory computer-readable storage medium | CANON KABUSHIKI KAISHA |
10949136 | Information processing device and recording medium | FUJI XEROX CO., LTD. |
10949137 | Generating spot-color image layers in multi-layer printing | SEIKO EPSON CORPORATION |
10949138 | Image processing apparatus resolving memory shortage | KYOCERA DOCUMENT SOLUTIONS INC. |
10949139 | Printing apparatus having a predetermined memory used as spool buffer for print jobs | CANON KABUSHIKI KAISHA |
10949140 | Installer package and information processing apparatus | BROTHER KOGYO KABUSHIKI KAISHA |
10949141 | Printer configured to perform printing on a print-receiving medium and computer-readable medium | BROTHER KOGYO KABUSHIKI KAISHA |
10949142 | Information processing apparatus, method, and computer program product for managing network device and handling inconsistant setting information | CANON KABUSHIKI KAISHA |
10949143 | Communication apparatus, communication system, and information processing method | CANON KABUSHIKI KAISHA |
10949144 | Communication apparatus that communicates using two frequency bands, one of which including a specific frequency band that requires dynamic frequency selection, and printer | CANON KABUSHIKI KAISHA |
10949145 | Image formation apparatus having reading error and regenerating image data, control method of image formation apparatus, and storage medium | CANON KABUSHIKI KAISHA |
10949146 | Document operation compliance | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10949147 | Information processing apparatus, control method, and storage medium | CANON KABUSHIKI KAISHA |
10949148 | Print processing system and control method | CANON KABUSHIKI KAISHA |
10949149 | Non-transitory computer-readable recording medium, information processing apparatus and printing method | BROTHER KOGYO KABUSHIKI KAISHA |
10949150 | Print job resumption using alternate network interfaces | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10949151 | Job processing apparatus, method for controlling job processing apparatus, and storage medium for displaying a record of an executed job | CANON KABUSHIKI KAISHA |
10949152 | Printing apparatus capable of cancelling print job based on user operation, control method for printing apparatus, and storage medium | CANON KABUSHIKI KAISHA |
10949153 | Methods and systems for facilitating the generation of a customized response based on a context | LAS VEGAS |
10949154 | Systems and methods for using screen sampling to detect display changes | VMWARE, INC. |
10949155 | Environ system | -- |
10949156 | Digital media display system | MX2 HOLDINGS, LLC |
10949157 | Modular display system with ethernet connection and control | CIRRUS SYSTEMS, INC. |
10949158 | Screenshot method and apparatus | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
10949159 | Information processing apparatus | NTT DOCOMO, INC. |
10949160 | Display apparatus and control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10949161 | Method for performing multi-tasking using external display device and electronic device thereof | SAMSUNG ELECTRONICS CO., LTD. |
10949162 | Method for multi-channel recording based on android system and audio system | AMLOGIC (SHANGHAI) CO., LTD. |
10949163 | Playback device | SONOS, INC. |
10949164 | Volume of sound adjustment method, electronic device thereof and non-transitory computer readable storage medium device thereof | -- |
10949165 | Print control system and control method | CANON KABUSHIKI KAISHA |
10949170 | Data processing systems for integration of consumer feedback with data subject access requests and related methods | ONETRUST, LLC |
10949175 | Method of carrying out modifications to a software application | SICK AG |
10949188 | Mobile terminal and control method thereof | MICROSOFT TECHNOLOGY LICENSING, LLC |
10949192 | Virtualized file server data sharing | NUTANIX, INC. |
10949205 | Implementation of execution compression of instructions in slice target register file mapper | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10949215 | Rebooting timing adjustment for improved performance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10949222 | System and method for displaying customized user guides in a virtual client application | CITRIX SYSTEMS, INC. |
10949223 | Interface generation method, apparatus, and system | HUAWEI TECHNOLOGIES CO., LTD. |
10949224 | Systems and methods for altering a GUI in response to in-session inferences | WALMART APOLLO LLC |
10949226 | Display method of multi-application based on Android system, and terminal device | BOE TECHNOLOGY GROUP CO., LTD. |
10949227 | Contextual based application navigation | SAMSUNG ELECTRONICS CO., LTD. |
10949228 | System and method for controlling the content of a device in response to an audible request | UNITED SERVICES AUTOMOBILE ASSOCIATION (USAA) |
10949229 | Tracking interactions with a software platform and identifying underutilization of software platform features in a specific deployment | COUPA SOFTWARE INCORPORATED |
10949238 | Decoupling compute and storage resources in cloud-based HCI (hyper-converged infrastructure) | VMWARE, INC. |
10949265 | Repeatable barrier synchronization object | EMC IP HOLDING COMPANY LLC |
10949272 | Inter-application context seeding | MICROSOFT TECHNOLOGY LICENSING, LLC |
10949275 | Message request method and device thereof | -- |
10949278 | Early detection of execution errors | QUALCOMM INCORPORATED |
10949282 | Triggering diagnostic data generation and aggregation across multiple systems to reduce computing resources | MICROSOFT TECHNOLOGY LICENSING, LLC |
10949288 | Streaming server statistics and predictive mitigation | LEVEL 3 COMMUNICATIONS, LLC |
10949289 | System and method for maintaining data integrity of data on a storage device | VIRTUOZZO INTERNATIONAL GMBH |
10949299 | Error code calculation on sensing circuitry | MICRON TECHNOLOGY, INC. |
10949301 | Pre-positioning pre-stored content in a content distribution system | PURE STORAGE, INC. |
10949302 | Erasure-coding-based efficient data storage and retrieval | PHAZRIO INC. |
10949303 | Durable block storage in data center access nodes with inline erasure coding | FUNGIBLE, INC. |
10949305 | Memory system and operating method thereof | SK HYNIX INC. |
10949308 | Application aware backup of virtual machines | COMMVAULT SYSTEMS, INC. |
10949309 | Snapshot creation with synchronous replication | NETAPP INC. |
10949324 | System for auditing shredding data | KABUSHIKI KAISHA TOSHIBA |
10949341 | Implementing snapshot and other functionality in KVSSD through garbage collection and FTL | SAMSUNG ELECTRONICS CO., LTD. |
10949344 | Garbage collection | MICRON TECHNOLOGY, INC. |
10949346 | Data flush of a persistent memory cache or buffer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10949354 | Distributed safe data commit in a data storage system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10949361 | Multiprocessor software-defined solid-state storage drive | NIMBUS DATA, INC. |
10949362 | Technologies for facilitating remote memory requests in accelerator devices | INTEL CORPORATION |
10949366 | Using a machine learning module to select a priority queue from which to process an input/output (I/O) request | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10949368 | Input/output command rebalancing in a virtualized computer system | RED HAT, INC. |
10949371 | Interactive content distribution system with mobile charging device interface | THE EMPOWERMENT US COMPANY |
10949390 | Asynchronous queries on secondary data cores in a distributed computing system | VMWARE, INC. |
10949403 | Policy driven data placement and information lifecycle management | ORACLE INTERNATIONAL CORPORATION |
10949419 | Generation of search commands via text-based selections | SPLUNK INC. |
10949440 | Metadata-driven data management platform | NEXT PATHWAY INC. |
10949445 | Content management client synchronization service | DROPBOX, INC. |
10949446 | Resource provisioning systems and methods | SNOWFLAKE INC. |
10949457 | Modifying playback of media content based on estimated travel time of a user | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10949469 | Obtainment and display of real-time information for a set of block-faces | VERIZON PATENT AND LICENSING INC. |
10949484 | Systems and methods for graphically representing research relationships | WELLSPRING WORLDWIDE INC. |
10949489 | Server, method, and storage medium for searching and displaying results | GURUNAVI, INC. |
10949490 | Method and apparatus for displaying webpage content | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
10949499 | Methods and systems for generating values of overall evaluation criterion | YANDEX EUROPE AG |
10949502 | Universal data scaffold based data management platform | THINKSPAN, LLC |
10949504 | Systems and methods of transforming electronic content | DATCHAT, INC. |
10949510 | Managing grouped student devices with timed locks | AIRWATCH, LLC |
10949522 | Authentication of user identity using a virtual reality device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10949523 | Method and electronic device for providing image-based CAPTCHA challenge | INDIAN INSTITUTE OF TECHNOLOGY BOMBAY |
10949573 | Unlocking control methods and related products | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10949577 | Intelligent assembly flipping in REVIT | APPLIED SOFTWARE TECHNOLOGY, INC. |
10949614 | Dynamically changing words based on a distance between a first area and a second area | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10949637 | Electronic device comprising sensor and method for operating same | SAMSUNG ELECTRONICS CO., LTD. |
10949639 | Display device and driving method thereof | SAMSUNG DISPLAY CO., LTD. |
10949640 | Method of controlling an electronic device | FINGERPRINT CARDS AB |
10949644 | Fingerprint sensing method based on touch pressure in black screen mode of touch input device and touch input device for the same | HIDEEP INC. |
10949654 | Terminal and server for providing video call service | HYPERCONNECT, INC. |
10949667 | Camera platform and object inventory control | EBAY INC. |
10949671 | Augmented reality system capable of manipulating an augmented reality object and an augmented reality method using the same | VIRNECT INC. |
10949678 | Device and method for displaying regions including a portion on a screen that is concealed by an object | GRAMMER AG |
10949689 | Apparatus and method for providing attitude reference for vehicle passengers | B/E AEROSPACE, INC. |
10949706 | Finding complementary digital images using a conditional generative adversarial network | MICROSOFT TECHNOLOGY LICENSING, LLC |
10949716 | Methods and systems of real time movement classification using a motion capture suit | -- |
10949718 | Multi-modal visual question answering system | ACCENTURE GLOBAL SOLUTIONS LIMITED |
10949719 | Network system, interface board, method of controlling printing on an network system, and program | SEIKO EPSON CORPORATION |
10949721 | Printer system with different topology for determining transmission of header information and method for the same | SEIKO INSTRUMENTS INC. |
10949737 | Method for neural network and apparatus performing same method | SAMSUNG ELECTRONICS CO., LTD. |
10949754 | Decision support tool with interactive sliders | SAP PORTALS ISRAEL LTD. |
10949757 | System, device, and method of detecting user identity based on motor-control loop model | BIOCATCH LTD. |
10949759 | Identification of a series of compatible components using artificial intelligence | OMICX |
10949767 | Moisture sensor and/or defogger with Bayesian improvements, and related methods | GUARDIAN GLASS, LLC |
10949790 | Systems and methods for improving communication efficiency and reducing data redundancy in a computerized platform | HEALTHTAP, INC. |
10949856 | Systems and methods for adaptive learning to replicate peak performance of human decision making | UNITED SERVICES AUTOMOBILE ASSOCIATION (USAA) |
10949871 | Wearable device that is automatically configurable between wearer facing and redemption interfaces | GROUPON, INC. |
10949883 | Method and apparatus for delivering publicly displayed information to mobile devices based on location history | XAD, INC |
10949886 | System and method for providing content to a user based on a predicted route identified from audio or images | XEVO INC. |
10949887 | Transmitting display content to vehicles to render contemporaneously during a content time period with related content at a display screen | UVERTZ, LLC |
10949905 | Enhanced shopping actions on a mobile device | EBAY INC. |
10949906 | Visual diagram searching | EBAY INC. |
10949914 | Immersive and artificial intelligence based retail | ACCENTURE GLOBAL SOLUTIONS LIMITED |
10949917 | Contextual graphical user interfaces | WELLS FARGO BANK, N.A. |
10949932 | Smart anchoring of visual treatments corresponding to user comments in business intelligence visualizations | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10949947 | Foveated image rendering for head-mounted display devices | INTEL CORPORATION |
10950021 | AI-driven design platform | ACCENTURE GLOBAL SOLUTIONS LIMITED |
10950023 | Presentation system and display device for use in the presentation system | MAXELL, LTD. |
10950025 | Low-latency body area network for capturing body motions | TURINGSENSE INC. |
10950032 | Object capture coverage evaluation | FYUSION, INC. |
10950035 | Technologies for rendering items within a user interface using various rendering effects | CIMPRESS SCHWEIZ GMBH |
10950045 | Virtual reality image display system and virtual reality image display method for displaying a virtual reality image in accordance with a sensed motion | NEC SOLUTION INNOVATORS, LTD. |
10950046 | Generating two-dimensional views with gridline information | PROCORE TECHNOLOGIES, INC. |
10950047 | Techniques for anonymizing neuromuscular signal data | FACEBOOK TECHNOLOGIES, LLC |
10950048 | Computer-readable non-transitory storage medium having stored therein information processing program, information processing system, information processing method, and information processing apparatus | NINTENDO CO., LTD. |
10950053 | Image processing apparatus and image processing method | SONY CORPORATION |
10950054 | Seamless bridging AR-device and AR-system | HEXAGON TECHNOLOGY CENTER GMBH |
10950055 | Video game controlled by player motion tracking | FACEBOOK TECHNOLOGIES, LLC |
10950059 | Signal activated liquid release for virtual, mixed and augmented reality | -- |
10950103 | Virtual enhancement of security monitoring | ALARM.COM INCORPORATED |
10950111 | Firearm regulation system and related methods | TALKNOWLEDGE, LLC |
10950140 | Video practice systems and methods | VISYN INC. |
10950170 | Display panel, driving method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10950193 | Display apparatus with touch sensor | LG DISPLAY CO., LTD. |
10950203 | Method and display system for displaying sensor data from a sensor device on a display device, and motor vehicle having a display system | AUDI AG |
10950204 | Diagnosis support apparatus and diagnosis support method | CANON KABUSHIKI KAISHA |
10950205 | Electronic device, augmented reality device for providing augmented reality service, and method of operating same | SAMSUNG ELECTRONICS CO., LTD. |
10950208 | Rear vision projected display for a vehicle | GENTEX CORPORATION |
10950229 | Configurable speech interface for vehicle infotainment systems | HARMAN INTERNATIONAL INDUSTRIES, INCORPORATED |
10950234 | Method and apparatus for determining speech interaction satisfaction | BAIDU ONLINE NETWORK TECHNOLOGY (BEIJING) CO., LTD. |
10950271 | Method for triggering events in a video | SNAP INC. |
10950273 | Distributed scalable media environment for advertising placement in movies | OPEN TEXT SA ULC |
10950274 | Image recording apparatus, method for controlling same, and non-transitory computer-readable storage medium | CANON KABUSHIKI KAISHA |
10950288 | Refresh command control for host assist of row hammer mitigation | INTEL CORPORATION |
10950317 | Read disturb scan consolidation | MICRON TECHNOLOGY, INC. |
10950325 | Memory built-in self test error correcting code (MBIST ECC) for low voltage memories | MARVELL ASIA PTE., LTD. |
10950335 | Health tracking device | VIAVI SOLUTIONS INC. |
10950342 | Portable medical support system with ancillary viewing mode and method of operation thereof | KONINKLIJKE PHILIPS N.V. |
10950343 | Highlighting best-matching choices of acquisition and reconstruction parameters | SIEMENS HEALTHCARE GMBH |
10950352 | System, computer-readable storage medium and method of deep learning of texture in short time series | PRINCE MOHAMMAD BIN FAHD UNIVERSITY |
10950618 | Memory arrays | MICRON TECHNOLOGY, INC. |
10950668 | Display substrate and manufacturing method thereof, and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
10950669 | Display device | SAMSUNG DISPLAY CO., LTD. |
10950671 | Flexible touch panel, method for manufacturing the same and flexible touch device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10950779 | Piezoelectric element and device using same | TEIJIN LIMITED |
10951043 | Multi-device charging user interface | APPLE INC. |
10951188 | Optimized volume adjustment | APPLE INC. |
10951228 | Semiconductor apparatus | ROHM CO., LTD. |
10951253 | Bendable user terminal device and method for displaying thereof | SAMSUNG ELECTRONICS CO., LTD. |
10951269 | Smart packaging and display system | R.J. REYNOLDS TOBACCO COMPANY |
10951310 | Communication method, communication device, and transmitter | PANASONIC INTELLECTUAL PROPERTY CORPORATION OF AMERICA |
10951430 | Electronic collaboration and communication method and system | MITEL NETWORKS CORPORATION |
10951471 | Mechanism for hardware configuration and software deployment | -- |
10951486 | Terminal device, UI expansion method, and UI expansion program | NIPPON TELEGRAPH AND TELEPHONE CORPORATION |
10951507 | Network device management technology | ALARM.COM INCORPORATED |
10951557 | Information interaction method and terminal | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
10951559 | Method, system and apparatus for establishing and monitoring sessions with clients over a communication network | LIVEHELPNOW, LLC. |
10951562 | Customized contextual media content item generation | SNAP. INC. |
10951563 | Enhancing a social media post with content that is relevant to the audience of the post | ROVI GUIDES, INC. |
10951569 | Generating interactive emails and tracking user interactions | SALESFORCE.COM, INC. |
10951571 | Color differentiating a text message shown in a listing on a communication device | BLACKBERRY LIMITED |
10951643 | Systems and methods for detecting and locating unsecured sensors in a network | REFINITIV US ORGANIZATION LLC |
10951647 | Behavioral scanning of mobile applications | TWITTER, INC. |
10951667 | System and method for session management | WELLS FARGO BANK, N.A. |
10951699 | Storage system with asynchronous messaging between processing modules for data replication | EMC IP HOLDING COMPANY LLC |
10951715 | Systems and methods for generating an anonymous interactive display in an extended timeout period | HEARTFLOW, INC. |
10951723 | Theme-based push notifications | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10951736 | Augmented reality (AR)-capable headset and visually detected controller devices | DISNEY ENTERPRISES, INC. |
10951741 | Computer device and method for reading or writing data by computer device | HUAWEI TECHNOLOGIES CO., LTD. |
10951754 | Method for responding to incoming call by means of fingerprint recognition, storage medium, and mobile terminal | JRD COMMUNICATION (SHENZHEN) LTD. |
10951781 | Information processing apparatus that displays a confirmation screen if display languages of a screen and an operation object do not match, method of controlling the same, and storage medium | CANON KABUSHIKI KAISHA |
10951792 | Devices and methods for selective display frame fetch | INTEL CORPORATION |
10951820 | System and method for generating a plurality of unique videos of a same event | INTEL CORPORATION |
10951860 | Methods, systems, and apparatus for providing video communications | EBAY INC. |
10951872 | Augmented reality guidance for spinal procedures using stereoscopic optical see-through head mounted displays with real time visualization of tracked instruments | ONPOINT MEDICAL, INC. |
10951882 | Head mounted display device and method for providing visual aid using same | MAXELL, LTD. |
10951883 | Distributed multi-screen array for high density display | LOCKHEED MARTIN CORPORATION |
10951904 | Gaze-driven recording of video | APPLE INC. |
10951926 | Systems and methods for caching data in media-on-demand systems | ROVI GUIDES, INC. |
10951940 | Portable terminal, information processing apparatus, content display system and content display method | MAXELL, LTD. |
10951942 | Method and system for providing audio signals to an in-vehicle infotainment system | THE DIRECTV GROUP, INC. |
10951950 | Method and apparatus for presenting a video loop during a storyline | NOKIA TECHNOLOGIES OY |
10951961 | System, method and apparatus for wireless control of an actuator | SENSEWARE, INC. |
10951967 | Voice-controlled multimedia device and universal remote | AMAZON TECHNOLOGIES, INC. |
10951987 | In-vehicle passenger phone stand | TP LAB, INC. |
10951988 | Gaming headset with voice scrambling for private in-game conversations | VOYETRA TURTLE BEACH, INC. |
10951993 | Integrated personal amplifier system with howling control | BITWAVE PTE LTD |
10952006 | Adjusting relative left-right sound to provide sense of an avatar's position in a virtual space, and applications thereof | KATMAI TECH HOLDINGS LLC |
10952012 | Displaying a location of binaural sound outside a field of view | -- |
10952058 | Systems and methods for providing augmented reality emergency response solutions | TITAN HEALTH & SECURITY TECHNOLOGIES, INC. |
10952128 | Re-establishing player connectivity | SONOS, INC. |
10952281 | Auxiliary terminal-control apparatus and method | HUAWEI TECHNOLOGIES CO., LTD. |
10952296 | Lighting system and method | LIFI LABS, INC. |
10952420 | Fishing suggestions | NAVICO HOLDING AS |
10952488 | Sensor assisted head mounted displays for welding | ILLINOIS TOOL WORKS |
10952519 | Virtual hub for three-step process for mimicking plastic surgery results | ELYSE ENTERPRISES LLC |
10952649 | Hearing assist device fitting method and software | INTRICON CORPORATION |
10952662 | Analysis of cognitive status through object interaction | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10952672 | Pressure management methods for determining non-inclusive forces and apparatuses incorporating the same | TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC. |
10952680 | Electroencephalogram bioamplifier | X DEVELOPMENT LLC |
10953266 | System for performing physical exercises at a constant speed of a movement | -- |
10953280 | Observation-based break prediction for sporting events | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10953318 | Enriching multiplayer electronic game sessions | GAMEROOM, INC. |
10953319 | Spin transfer MRAM element having a voltage bias control | -- |
10953327 | Methods, apparatus and systems for optimizing communication between sender(s) and receiver(s) in computer-mediated reality applications | DOLBY LABORATORIES LICENSING CORPORATION |
10953329 | Contextual and differentiated augmented-reality worlds | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10953330 | Reality vs virtual reality racing | BUXTON GLOBAL ENTERPRISES, INC. |
10953336 | Role simulation method and terminal apparatus in VR scene | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
10953485 | Welding power source with improved functional robustness | ILLINOIS TOOL WORKS INC. |
10953550 | Touch screen testing platform for engaging a dynamically positioned target feature | T-MOBILE USA, INC. |
10953638 | Plastic laminate structure | HOSIDEN CORPORATION |
10953711 | Hitch assist system | FORD GLOBAL TECHNOLOGIES, LLC |
10953748 | Multi-layer display for vehicle dash | PURE DEPTH LIMITED |
10954157 | Articles having retained strength | CORNING INCORPORATED |
10955245 | System and method for low latency, high performance pose fusion | SAMSUNG ELECTRONICS CO., LTD. |
10955248 | Geo-location structure mapping | LOKION INTERACTIVE, LLC |
10955253 | System and method for aggregating and displaying tactical, intelligence, and military garrison information | -- |
10955257 | Interactive 3D point cloud matching | BEIJING DIDI INFINITY TECHNOLOGY AND DEVELOPMENT CO., LTD. |
10955258 | Route selection for navigation devices and applications | INTEL CORPORATION |
10955283 | Weight-based kitchen assistant | PEPPER LIFE INC. |
10955308 | Pressure measuring method and pressure measuring apparatus | -- |
10955368 | Method and data analysis system for semi-automated particle analysis using a charged particle beam | CARL ZEISS MICROSCOPY LTD. |
10955439 | Electrochemical cleaning of test probes | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10955463 | Measuring device with functional units controllable via a block diagram | ROHDE & SCHWARZ GMBH & CO. KG |
10955502 | MRI apparatus control system, a user interface for managing the said control system and an MRI system comprising the said control system and the said user interface | ESAOTE S.P.A. |
10955663 | Log information collection method and information processing apparatus | FUJITSU LIMITED |
10955665 | Concurrent optimal viewing of virtual objects | MICROSOFT TECHNOLOGY LICENSING, LLC |
10955669 | Systems, devices, and methods for embedding a diffractive element in an eyeglass lens | GOOGLE LLC |
10955670 | Systems, devices, and methods for embedding a diffractive element in an eyeglass lens | GOOGLE LLC |
10955674 | Energy-harvesting beacon device | -- |
10955684 | Grating driving circuit, driving method and naked-eye 3D display | BOE TECHNOLOGY GROUP CO., LTD. |
10955690 | Spectacle wearing parameter measurement system, measurement program, measurement method thereof, and manufacturing method of spectacle lens | HOYA LENS THAILAND LTD. |
10955710 | Display panels and electronic devices | -- |
10955711 | Liquid crystal display device | SAMSUNG DISPLAY CO., LTD. |
10955715 | Optical stack for privacy display | REALD SPARK, LLC |
10955724 | Adjustable lens systems | APPLE INC. |
10955847 | Autonomous vehicle interface system | REE TECHNOLOGY GMBH |
10955877 | Physical keyboards for multi-display computing devices | INTEL CORPORATION |
10955878 | Portable electronic apparatus | -- |
10955896 | Power consumption management for communication bus | INTEL CORPORATION |
10955897 | Power control method and electronic apparatus using the same | -- |
10955898 | Electronic device with a wake up module distinct from a core domain | STMICROELECTRONICS (ROUSSET) SAS |
10955907 | VR movement platform | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10955909 | Simulation system, processing method, and information storage medium | BANDAI NAMCO ENTERTAINMENT INC. |
10955910 | Method for operating a virtual reality system, and virtual reality system | AUDI AG |
10955911 | Gazed virtual object identification module, a system for implementing gaze translucency, and a related method | ALCATEL LUCENT |
10955912 | Protection of and access to data on computing devices | TOBII AB |
10955913 | Adjusting content display orientation on a screen based on user orientation | AT&T INTELLECTUAL PROPERTY I, L.P. |
10955914 | Gaze-based object placement within a virtual reality environment | MICROSOFT TECHNOLOGY LICENSING, LLC |
10955915 | Gaze tracking via tracing of light paths | TOBII AB |
10955916 | Object tracking device | -- |
10955917 | Methods and apparatus for identifying potentially seizure-inducing virtual reality content | INTEL CORPORATION |
10955918 | Head-coupled optode assembly | FACEBOOK, INC. |
10955919 | Wearable device and method of operating the same | SAMSUNG ELECTRONICS CO., LTD. |
10955920 | Stacked, very high-density PCB configuration in a mobile device which allows for significantly reducing the size of the main board so as to make space for other components | -- |
10955921 | Electronic device with optical user input modes and localized haptic response, and corresponding systems and methods | MOTOROLA MOBILITY LLC |
10955922 | Simulating tactile information for haptic technology | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10955923 | Vibrating tactile tile | HAP2U |
10955924 | Individually interactive multi-view display system and methods therefor | MISAPPLIED SCIENCES, INC. |
10955925 | Systems and methods for adjusting point-of-sale interfaces | CLOVER NETWORK, INC. |
10955926 | Method and apparatus for a three dimensional interface | ATHEER, INC. |
10955927 | Electronic device, program, and control method | KYOCERA CORPORATION |
10955928 | Application swap based on smart device movement | NAGRAVISION S.A.. |
10955929 | Artificial reality system having a digit-mapped self-haptic input method | FACEBOOK TECHNOLOGIES, LLC |
10955930 | Method and apparatus for applying free space input for surface contrained control | ATHEER, INC. |
10955932 | Hand tracking using an ultrasound sensor on a head-mounted display | FACEBOOK TECHNOLOGIES, LLC |
10955933 | Hybrid circuit for a touch pad keyboard | CIRQUE CORPORATION |
10955934 | Remote capacitive interface | APPLE INC. |
10955935 | Tap device with multi-tap feature for expanded character set | TAP SYSTEMS INC. |
10955936 | Detector for optically detecting at least one object | TRINAMIX GMBH |
10955937 | Capacitive gap sensor ring for an input device | APPLE INC. |
10955938 | Mobile device interfaces | AMAZON TECHNOLOGIES, INC. |
10955939 | Method for estimating the orientation of a portable device | ROBERT BOSCH GMBH |
10955940 | Method for detecting pressure of active pen, device and active pen | SHENZHEN GOODIX TECHNOLOGY GO.. LTD. |
10955941 | Multimodal input device and system for wireless record keeping in a multi-user environment | ATLANTIC HEALTH SYSTEM, INC. |
10955942 | Active stylus with multiple sensors for receiving signals from a touch sensor | WACOM CO., LTD. |
10955943 | Touch screen panel with surface friction modification | MICROSOFT TECHNOLOGY LICENSING, LLC |
10955944 | Touch-control electronic apparatus and touch pad device thereof | -- |
10955945 | Peripheral device with accessory identification capability | DEXIN ELECTRONIC LTD. |
10955946 | Pressure button for a touch sensitive surface, physical interface and protection element using same | ORANGE |
10955947 | RC tuning of touch electrode connections on a touch sensor panel | APPLE INC. |
10955948 | Touch display panel and touch display device | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
10955949 | Display panel having two types of touch electrodes and display device thereof | SHANGHAI AVIC OPTO ELECTRONICS CO., LTD. |
10955950 | Display device, display module, electronic device, and method for manufacturing the display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10955951 | Touch display device, common driving circuit, and driving method | LG DISPLAY CO., LTD. |
10955952 | Display panel having built-in touchscreen, display device having built-in touch screen, integrated driving circuit, and driving method | LG DISPLAY CO., LTD. |
10955953 | Liquid crystal display device | JAPAN DISPLAY INC. |
10955954 | Flexible substrate and flexible display panel, flexible display device thereof and method of using flexible display device | BOE TECHNOLOGY GROUP CO., LTD. |
10955955 | Controller for use in a device comprising force sensors | CIRRUS LOGIC, INC. |
10955956 | Devices, methods, and graphical user interfaces for interaction with an intensity-sensitive input region | APPLE INC. |
10955957 | Electronic device for variably displaying display position of object on expansion area of display and method of displaying | SAMSUNG ELECTRONICS CO., LTD. |
10955958 | Information processing apparatus and information processing method | SONY CORPORATION |
10955959 | Deformable surface interaction method, apparatus, and user equipment | BEIJING ZHIGU RUI TUO TECH CO., LTD |
10955960 | Control device, control method, and program | SONY CORPORATION |
10955961 | Display user interface, and related systems, methods and devices | MICROCHIP TECHNOLOGY INCORPORATED |
10955962 | Electronic device and control method thereof that switches a touch panel between an independent mode and a dual input mode | -- |
10955963 | Touch driving device and display device | SILICON WORKS CO., LTD. |
10955964 | Active pen and touchscreen display device | LG DISPLAY CO., LTD. |
10955965 | Display device including input detection unit | SAMSUNG DISPLAY CO., LTD. |
10955966 | Touch electrode driving circuit, touch electrode driver and touch display device | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. |
10955967 | Input device having reduced electromagnetic interference | SYNAPTICS INCORPORATED |
10955968 | Input system and process for controlling an electromedical device | DRAGERWERK AG & CO. KGAA |
10955969 | Access control system and light guide assembly thereof | -- |
10955970 | Pointing direction determination system and method thereof | -- |
10955971 | Information input device and information input method | NEC CORPORATION |
10955972 | Detection device and method for controlling detection device | SEIKO EPSON CORPORATION |
10955973 | Differential sensing for touch sensors | ATMEL CORPORATION |
10955974 | Wearable electronic devices having an inward facing input device and methods of use thereof | GOOGLE LLC |
10955975 | Lighting touchpad | -- |
10955976 | Flexible display | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10955977 | Extender object for multi-modal sensing | MICROSOFT TECHNOLOGY LICENSING, LLC |
10955978 | Touch sensor panel with top and/or bottom shielding | APPLE INC. |
10955979 | Array substrate and display panel | HUAWEI TECHNOLOGIES CO., LTD. |
10955980 | Terminal and method for touchscreen input correction | HUAWEI TECHNOLOGIES CO., LTD. |
10955981 | Touch control panel having a 3D body and touch sensing vertices portions, touch control display apparatus, and fabricating method thereof | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10955982 | Display device and fabricating method thereof | SAMSUNG DISPLAY CO., LTD. |
10955983 | Interaction sensing | SAMSUNG ELECTRONICS CO., LTD. |
10955984 | Step sequencer for a virtual instrument | APPLE INC. |
10955985 | Optimizing an arrangement of content on a display of a user device based on user focus | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10955986 | Popularity-based promotion of application features | MICRO FOCUS LLC |
10955987 | Three-dimensional user interface | FACEBOOK, INC. |
10955988 | Execution of function based on user looking at one area of display while touching another area of display | LENOVO (SINGAPORE) PTE. LTD. |
10955989 | Learning user interface apparatus, computer program product, and method | GROUPON, INC. |
10955990 | Real-time delivery of interactions in online social networking system | FACEBOOK, INC. |
10955991 | Interactive icons with embedded functionality used in text messages | LOJI, LLC |
10955992 | System and methods for implementing visual interface for use in sorting and organizing records | NETSUITE INC. |
10955993 | Image processing apparatus, method for processing information, and non-transitory computer readable medium storing program for adding comments to image information | FUJI XEROX CO., LTD. |
10955994 | User interfaces for downloading applications on an electronic device | APPLE INC. |
10955995 | System and method for user interface management for medical care management devices | CHRISTIANA CARE HEALTH SYSTEM, INC. |
10955996 | Cognitive contact assistance with dynamically generated contact lists for messages | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10955997 | Recommending different song recording versions based on a particular song recording version | GOOGLE LLC |
10955998 | Searching digital content | DROPBOX, INC. |
10955999 | Controlled content presentation of objects on a canvas in a browser according to a grid | LEARNZILLION, INC. |
10956000 | Method for presentation by terminal device, and terminal device | HUAWEI TECHNOLOGIES CO., LTD. |
10956001 | Progress bar updated based on crowd sourced statistics | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10956002 | System for universal remote media control in a multi-user, multi-platform, multi-device environment | GOOGLE LLC |
10956003 | Methods and systems for displaying vehicle data parameters with pinch-and-expand inputs | SNAP-ON INCORPORATED |
10956004 | Recognizing user interface element selection | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10956005 | Device, method and graphical user interface for deleting an object in a user interface | PAYPAL, INC. |
10956006 | Intelligent automated assistant in a media environment | APPLE INC. |
10956007 | Electronic device and method for providing search result thereof | SAMSUNG ELECTRONICS CO., LTD. |
10956008 | Automatic home screen determination based on display device | MICROSOFT TECHNOLOGY LICENSING, LLC |
10956009 | Method and system for interactive cosmetic enhancements interface | L'OREAL |
10956010 | Laser finishing design tool with photorealistic preview of damage assets | LEVI STRAUSS & CO. |
10956011 | Method and device for outputting parameter information for scanning for magnetic resonance images | SAMSUNG ELECTRONICS CO., LTD. |
10956012 | Display apparatus with a user interface to control electronic devices in internet of things (IoT) environment and method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10956013 | User interface for automated flows within a cloud based developmental platform | SERVICENOW, INC. |
10956014 | Systems and methods for dynamically grouping data analysis content | BAKER HUGHES, A GE COMPANY, LLC |
10956015 | User notification based on visual trigger event | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10956016 | No look touchscreen panel / CDU | ROCKWELL COLLINS, INC. |
10956017 | Circle type display device for a mobile terminal having a scroll bar at the edge of its display and method of controlling the same | LG ELECTRONICS INC. |
10956018 | User interface indicating operation progress in a scrollbar | SNOWFLAKE INC. |
10956019 | Accommodating sensors and touch in a unified experience | MICROSOFT TECHNOLOGY LICENSING, LLC |
10956020 | Techniques to display an input device on a mobile device | INTEL CORPORATION |
10956021 | Method and device for hiding messages in a messaging group | ADVANCED NEW TECHNOLOGIES CO., LTD. |
10956022 | Devices, methods, and graphical user interfaces for navigating between user interfaces and interacting with control objects | APPLE INC. |
10956023 | Disambiguation of touch-based gestures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10956024 | Multi-application viewing | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10956025 | Gesture control method, gesture control device and gesture control system | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
10956026 | Smart element filtering method via gestures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10956027 | Program and portable terminal for selecting a command using a finger and executing the command in response to an operation performed with a second finger in an acceptable area | CYGAMES, INC. |
10956028 | Portable device and method for providing user interface mode thereof | SAMSUNG ELECTRONICS CO., LTD. |
10956029 | Gesture-based context switching between applications | FACEBOOK, INC. |
10956030 | Multi-touch based drawing input method and apparatus | SAMSUNG ELECTRONICS CO., LTD. |
10956031 | Graphical user interface for data entry into an electronic health records application | ALLSCRIPTS SOFTWARE, LLC |
10956032 | Keyboard utility for inputting data into a mobile application | MICROSOFT TECHNOLOGY LICENSING, LLC |
10956033 | System and method for generating a virtual keyboard with a highlighted area of interest | HAND HELD PRODUCTS, INC. |
10956034 | Automatic virtual input device | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10956035 | Triggering display of application | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10956036 | Application processing based on gesture input | MICROSOFT TECHNOLOGY LICENSING, LLC |
10956037 | Provisioning storage allocation using prioritized storage system capabilities | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10956038 | Non-volatile memory drive partitions within microcontrollers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10956039 | Memory system with selective access to first and second memories | TOSHIBA MEMORY CORPORATION |
10956041 | Online snapshot consolidation using I/O mirroring | VMWARE, INC. |
10956042 | Tiering data compression within a storage system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10956043 | Computing reduction and prefix sum operations in memory | MICRON TECHNOLOGY, INC. |
10956044 | Memory system with region-specific memory access scheduling | ADVANCED MICRO DEVICES, INC. |
10956045 | Apparatus and method for issuing access requests to a memory controller | ARM LIMITED |
10956046 | Dynamic I/O load balancing for zHyperLink | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10956047 | Accelerated computer system and method for writing data into discrete pages | ACCELSTOR TECHNOLOGIES LTD |
10956048 | Computing device and method for inferring a predicted number of physical blocks erased from a flash memory | DISTECH CONTROLS INC. |
10956049 | Wear-aware block mode conversion in non-volatile memory | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10956050 | Methods and systems for efficient non-isolated transactions | SANDISK ENTERPRISE IP LLC |
10956051 | Data-packed storage containers for streamlined access and migration | ORACLE INTERNATIONAL CORPORATION |
10956052 | Online address to hash (A2H) metadata scanner | EMC IP HOLDING COMPANY LLC |
10956053 | Selective relocation of data of a subset of a data block based on distribution of reliability statistics | MICRON TECHNOLOGY, INC. |
10956054 | Efficient performance of copy operations in a storage system | PURE STORAGE, INC. |
10956055 | Auditing stored data slices in a dispersed storage network | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10956056 | Applying dynamic routing protocols to route DSN access requests | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10956057 | Adaptive power management of dynamic random access memory | QUALCOMM INCORPORATED |
10956058 | Tiered storage system with tier configuration by peer storage devices | WESTERN DIGITAL TECHNOLOGIES, INC. |
10956059 | Classification of storage systems and users thereof using machine learning techniques | EMC IP HOLDING COMPANY LLC |
10956060 | Memory system dynamically allocating memory spaces and method of operating the same | SK HYNIX INC. |
10956061 | Computing system and operating method thereof | SK HYNIX INC. |
10956062 | Aggregating separate data within a single data log wherein single data log is divided in a plurality of blocks assigned to plurality of different streams | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10956063 | Virtual storage system | HITACHI, LTD. |
10956064 | Adjusting code rates to mitigate cross-temperature effects in a non-volatile memory (NVM) | SEAGATE TECHNOLOGY LLC |
10956065 | Solid state storage device with quick boot from NAND media | MICRON TECHNOLOGY, INC. |
10956066 | Non-volatile memory adapted to configure low power dynamic random access memory | MICRON TECHNOLOGY, INC. |
10956067 | Memory controller and flash memory system having the same | TDK CORPORATION |
10956068 | Time-stamped data in a data storage device | SEAGATE TECHNOLOGY LLC |
10956069 | Positional indexing for a tiered data storage system | EMC IP HOLDING COMPANY LLC |
10956070 | Zeroing a memory block without processor caching | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10956071 | Container key value store for data storage devices | WESTERN DIGITAL TECHNOLOGIES, INC. |
10956072 | Accelerating concurrent access to a file in a memory-based file system | NETAPP INC. |
10956073 | Determination of storage area pool into which to incorporate spare storage device | NEC CORPORATION |
10956074 | Data storage method, memory storage device and memory control circuit unit | -- |
10956075 | Blockchain architecture for optimizing system performance and data storage | BANK OF AMERICA CORPORATION |
10956076 | Integrated circuit capable of switching between non-volatile memory-based and volatile memory-based processor execution | TEXAS INSTRUMENTS INCORPORATED |
10956077 | Data access method, routing apparatus, and storage system | HUAWEI TECHNOLOGIES CO., LTD. |
10956078 | Storage system with loopback replication process providing object-dependent slice assignment | EMC IP HOLDING COMPANY LLC |
10956079 | Data resynchronization | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
10956080 | Erasure of data from a memory of a data storage apparatus by identifying available free space in the memory and iteratively writing a sequence of files decreasing size to the memory using a file-based protocol | BLANCCO TECHNOLOGY GROUP IP OY |
10956081 | Method, system, and apparatus for multi-tiered progressive memory program operation suspend and resume | INTEL CORPORATION |
10956082 | Techniques for directed data migration | RADIAN MEMORY SYSTEMS, INC. |
10956083 | Method and system for input-output throttling to improve quality of service in a solid state drive | WESTERN DIGITAL TECHNOLOGIES, INC. |
10956084 | Drive utilization in multi-tiered systems with read-intensive flash | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10956085 | Memory system and processor system | TOSHIBA MEMORY CORPORATION |
10956086 | Memory controller | MICRON TECHNOLOGY, INC. |
10956087 | Memory controller having temperature dependent data program scheme and related method | RAYMX MICROELECTRONICS CORP. |
10956088 | Storage level load balancing | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10956089 | Storage level load balancing | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10956090 | Memory system and electronic apparatus | KYOCERA DOCUMENT SOLUTIONS INC. |
10956091 | Expanding a dispersed storage network (DSN) | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10956092 | Semiconductor storage device | KIOXIA CORPORATION |
10956093 | Image forming apparatus and non-transitory computer readable medium | FUJI XEROX CO., LTD. |
10956094 | Systems and methods for providing assistance through one or more voice-based instructions via multi-function device | XEROX CORPORATION |
10956095 | Server system that improves availability of a plurality of printers, print controller, information processing apparatus, and print service system | CANON KABUSHIKI KAISHA |
10956096 | Print manager device, printer, print manager program | SEIKO EPSON CORPORATION |
10956097 | Control method and storage medium | CANON KABUSHIKI KAISHA |
10956098 | Image forming system and image forming apparatus | KYOCERA DOCUMENT SOLUTIONS INC. |
10956099 | System and a method for optimizing a print production process | ARIFIQ DEVELOPMENT AB |
10956101 | Information processing apparatus that performs short-range wireless communication, control method therefor, and storage medium | CANON KABUSHIKI KAISHA |
10956102 | Information processing apparatus registering new device port name and software to be correlated to each other | BROTHER KOGYO KABUSHIKI KAISHA |
10956103 | Control apparatus, control method, and storage medium | CANON KABUSHIKI KAISHA |
10956104 | Controller for a multi-roll printer, printing system and method for controlling a multi-roll printer | CANON PRODUCTION PRINTING HOLDING B.V. |
10956105 | Printing apparatus, control method therefor, and non-transitory computer-readable storage medium | CANON KABUSHIKI KAISHA |
10956106 | Methods and systems enabling a user to customize content for printing | XEROX CORPORATION |
10956107 | Methods and systems for keyword-based printing | XEROX CORPORATION |
10956108 | Information processing system, information processing method, and information processing apparatus | KYOCERA DOCUMENT SOLUTIONS INC. |
10956109 | Image forming system including plural image forming apparatuses connected via network | KYOCERA DOCUMENT SOLUTIONS INC. |
10956110 | Method and system for self addressed information display | -- |
10956111 | Information processing apparatus, information processing method, and non-transitory computer-readable storage medium | CANON KABUSHIKI KAISHA |
10956112 | System for controlling a display device | DISPLAYLINK (UK) LIMITED |
10956113 | Facilitation of concurrent consumption of media content by multiple users using superimposed animation | INTEL CORPORATION |
10956114 | Environmental characterization based on a change condition | B&W GROUP LTD. |
10956115 | Intelligent exercise music synchronization | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10956116 | Media playback system with virtual line-in groups | SONOS, INC. |
10956117 | Conference system volume control | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10956118 | Audio content auditioning by playback device | SONOS, INC. |
10956119 | Playback device | SONOS, INC. |
10956120 | Systems and methods for displaying subjects of an audio portion of content and searching for content related to a subject of the audio portion | ROVI GUIDES, INC. |
10956121 | Dynamic range control for a wide variety of playback environments | DOLBY LABORATORIES LICENSING CORPORATION |
10956122 | Electronic device that utilizes eye position detection for audio adjustment | MOTOROLA MOBILITY LLC |
10956123 | Device and query management system | ROVI GUIDES, INC. |
10956128 | Application with embedded workflow designer | MICROSOFT TECHNOLOGY LICENSING, LLC |
10956170 | BIOS setting modification system | DELL PRODUCTS L.P. |
10956171 | Virtual reality device and method for configuring the same | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10956172 | Memory management of data processing systems | APPLE INC. |
10956177 | Electronic device with automatic mode switching | APPLE INC. |
10956179 | Third party integration of plugins and widgets | INTUIT, INC. |
10956181 | Systems and/or methods for computer-automated execution of digitized natural language video stream instructions | SOFTWARE AG |
10956192 | Entity database historical data | NUTANIX, INC. |
10956197 | Virtual machine with an emulator manager for migration of synchronized streams of state data | CITRIX SYSTEMS, INC. |
10956201 | Systems and methods for repurposing virtual machines | COMMVAULT SYSTEMS, INC. |
10956202 | Methods and systems for tracking a virtual memory of a virtual machine | MARVELL ASIA PTE, LTD. |
10956205 | Data processing | ARM LIMITED |
10956208 | Guided virtual machine migration | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10956213 | Automated workflow creation and management | STATE FARM MUTUAL AUTOMOBILE INSURANCE COMPANY |
10956237 | Inter-application sharing of business intelligence data | ORACLE INTERNATIONAL CORPORATION |
10956239 | Utilizing source context and classification in a copy operation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10956240 | Sharing data by a virtual machine | BLACKBERRY LIMITED |
10956245 | Storage system with host-directed error scanning of solid-state storage devices | EMC IP HOLDING COMPANY LLC |
10956262 | Deferred error code correction with improved effective data bandwidth performance | MICRON TECHNOLOGY, INC. |
10956266 | Processing data access transactions in a dispersed storage network using source revision indicators | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10956271 | Point-in-time copy on a remote system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10956274 | Block-level single instancing | COMMVAULT SYSTEMS, INC. |
10956276 | System state recovery in a distributed, cloud-based storage system | EMC IP HOLDING COMPANY LLC |
10956280 | Data backup method, electronic device, and storage medium | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10956286 | Deduplication replication in a distributed deduplication data storage system | COMMVAULT SYSTEMS, INC. |
10956290 | Memory management | MICRON TECHNOLOGY, INC. |
10956292 | Utilizing integrity information for data retrieval in a vast storage system | PURE STORAGE, INC. |
10956294 | Methods and systems for testing storage devices via a representative I/O generator | SAMSUNG ELECTRONICS CO., LTD. |
10956317 | Garbage collection in non-volatile memory that fully programs dependent layers in a target block | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10956323 | NVDIMM emulation using a host memory buffer | INTEL CORPORATION |
10956325 | Instruction and logic for flushing memory ranges in a distributed shared memory system | INTEL CORPORATION |
10956326 | Storage system with data management and protection mechanism and method of operation thereof | ENMOTUS, INC. |
10956338 | Low latency dirty RAM for cache invalidation speed improvement | ATI TECHNOLOGIES ULC |
10956346 | Storage system having an in-line hardware accelerator | LIGHTBITS LABS LTD. |
10956347 | Data transfer device, arithmetic processing device, and data transfer method | FUJITSU LIMITED |
10956366 | Dynamic physical capacity allocation in an unbalanced CAS system | EMC IP HOLDING COMPANY LLC |
10956367 | Method and apparatus for providing search function in touch-sensitive device | SAMSUNG ELECTRONICS CO., LTD. |
10956370 | Techniques for improving storage space efficiency with variable compression size unit | EMC IP HOLDING COMPANY LLC |
10956378 | Hierarchical file transfer using KDE-optimized filesize probability densities | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10956393 | Data storage system and process for providing distributed storage in a scalable cluster system and computer program for such data storage system | HITACHI, LTD. |
10956430 | User-driven adaptation of rankings of navigation elements | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10956458 | Consolidating text conversations from collaboration channels | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10956474 | Determination of best set of suggested responses | MICROSOFT TECHNOLOGY LICENSING, LLC |
10956486 | Intelligent automated assistant for media search and playback | APPLE INC. |
10956497 | Use of scalable vector graphics format to encapsulate building floorplan and metadata | UNITED STATES AUTOMOBILE ASSOCIATION (USAA) |
10956505 | Data search method, data search apparatus, and non-transitory computer-readable storage medium storing program for data search | FUJITSU LIMITED |
10956506 | Query-based data modification | AMAZON TECHNOLOGIES, INC. |
10956507 | Reaction compensated result selection | ROVI GUIDES, INC. |
10956508 | Systems and methods for creating and managing a data integration workspace containing automatically updated data models | PALANTIR TECHNOLOGIES INC. |
10956516 | Collectively performing domain searches and trademark searches | VERIZON MEDIA INC. |
10956529 | Content presentation | PINTEREST, INC. |
10956530 | Systems and methods for search modification | WALMART APOLLO, LLC |
10956532 | Method and apparatus for displaying page to user through reinforcement learning | ADVANCED NEW TECHNOLOGIES CO., LTD. |
10956550 | Embedded authentication systems in an electronic device | APPLE INC. |
10956552 | Shoulder-surfing resistant authentication methods and systems | CLEVELAND STATE UNIVERSITY |
10956570 | Efficient program deobfuscation through system API instrumentation | PALO ALTO NETWORKS, INC. |
10956592 | Contents securing method and electronic device supporting the same | SAMSUNG ELECTRONICS CO., LTD. |
10956593 | Sharing of data among containers running on virtualized operating systems | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10956601 | Fully managed account level blob data encryption in a distributed storage environment | MICROSOFT TECHNOLOGY LICENSING, LLC |
10956609 | Safeguarding confidential information during a screen share session | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10956651 | Data table display adjustment | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10956652 | Support for non-native file types in web application environment | MICROSOFT TECHNOLOGY LICENSING, LLC |
10956654 | Parameterized states for customized views of resources | PALANTIR TECHNOLOGIES INC. |
10956657 | Apparatus, system and method for domain-specific text scaling control | ALLSCRIPTS SOFTWARE, LLC |
10956660 | Automatically converting text to business graphics | MICROSOFT TECHNOLOGY LICENSING, LLC |
10956663 | Controlling digital input | MICROSOFT TECHNOLOGY LICENSING, LLC |
10956665 | Systems and user interfaces for dynamic and interactive table generation and editing based on automatic traversal of complex data structures in a distributed system architecture | ADDEPAR, INC. |
10956666 | Unconventional virtual assistant interactions | APPLE INC. |
10956668 | Management of building plan documents utilizing comments and a correction list | E-PLAN, INC. |
10956686 | Information processing system, information processing apparatus, and information processing method | RICOH COMPANY, LTD. |
10956699 | Apparatus and method to determine a distance of a visual object captured by a remote camera | -- |
10956705 | Fingerprint recognition device and display device and mobile terminal using fingerprint recognition device | LG DISPLAY CO., LTD. |
10956706 | Collecting fingreprints | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
10956725 | Automated sign language translation and communication using multiple input and output modalities | AVODAH, INC. |
10956731 | Heading identification and classification for a digital document | ADOBE INC. |
10956740 | Animated augmented and virtual reality and other functions in response to triggers | -- |
10956743 | Shared augmented reality system | SNAP INC. |
10956747 | Creating sparsely labeled video annotations | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10956761 | Control apparatus, control method agent apparatus, and computer readable storage medium | HONDA MOTOR CO., LTD. |
10956763 | Information terminal device | KYOCERA DOCUMENT SOLUTIONS INC. |
10956765 | Information processing device and information processing method | SONY CORPORATION |
10956775 | Identification of items depicted in images | EBAY INC. |
10956786 | Machine learning based extraction of partition objects from electronic documents | -- |
10956790 | Graphical user interface tool for dataset analysis | INDICO |
10956814 | Configurable analog neural memory system for deep learning neural network | SILICON STORAGE TECHNOLOGY, INC. |
10956832 | Training a data center hardware instance network | PLATINA SYSTEMS CORPORATION |
10956838 | Retail store information technology incident tracking mobile application | TARGET BRANDS, INC. |
10956840 | Information processing apparatus for determining user attention levels using biometric analysis | KABUSHIKI KAISHA TOSHIBA |
10956848 | Business process management information display | WELLS FARGO BANK, N.A. |
10956851 | Adaptive alertness testing system and method | PREDICTIVE SAFETY SRP, INC. |
10956868 | Virtual reality collaborative workspace that is dynamically generated from a digital asset management workflow | 5TH KIND LLC |
10956874 | Multimedia calendar | MICROSOFT TECHNOLOGY LICENSING, LLC |
10956875 | Attendance tracking, presentation files, meeting services and agenda extraction for interactive whiteboard appliances | RICOH COMPANY, LTD. |
10956890 | System and method for point of sale transactions | TARGET BRANDS, INC. |
10956919 | Interactive visual storage capacity forecasting | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10956965 | Providing webpages used to configure interactive marketing presentations over a network | ENGAJER, INC. |
10956966 | Method, non-transitory computer-readable medium, and system for online transaction using offline experience | NHN ENTERTAINMENT CORPORATION |
10956968 | Relative product size visualization from an online store website | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10956978 | Systems and methods of detecting manipulations on a binary options exchange | CFPH, LLC |
10956989 | Accounting platform functionalities | FORD SQUARED TECHNOLOGIES LLC. |
10957018 | Application processor for determining data transmission order based on position of display and devices including the same | SAMSUNG ELECTRONICS CO., LTD. |
10957059 | Multi-pattern depth camera assembly | FACEBOOK TECHNOLOGIES, LLC |
10957065 | Method and device for determining position of virtual object in virtual space | SHENZHEN DLODLO TECHNOLOGIES CO., LTD. |
10957069 | Head pose estimation from local eye region | TOBII AB |
10957077 | Systems and methods for obtaining opinion data from individuals via a web widget and displaying a graphic visualization of aggregated opinion data with waveforms that may be embedded into the web widget | WARPLE INC. |
10957083 | Intelligent interactive and augmented reality based user interface platform | -- |
10957089 | Animation generation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957093 | Scene-based foveated rendering of graphics content | QUALCOMM INCORPORATED |
10957099 | System and method for display of visual representations of vehicle associated information based on three dimensional model | HONDA MOTOR CO., LTD. |
10957104 | Information processing device, information processing system, and information processing method | SONY INTERACTIVE ENTERTAINMENT INC. |
10957107 | Snapping, virtual inking, and accessibility in augmented reality | VMWARE, INC. |
10957108 | Augmented reality image retrieval systems and methods | SHUTTERSTOCK, INC. |
10957109 | Dynamic partition of augmented reality region | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957112 | Cross reality system | MAGIC LEAP, INC. |
10957115 | Wearable item visualizer | TRENDAGE, INC. |
10957117 | Intuitive editing of three-dimensional models | ADOBE INC. |
10957119 | Visual editor for designing augmented-reality effects | FACEBOOK, INC. |
10957126 | Providing a communications channel between instances of automated assistants | GOOGLE LLC |
10957128 | Vehicle inspection system and method for evaluating vehicle inspection and service information | HUNTER ENGINEERING COMPANY |
10957164 | Setting device for claw machine | -- |
10957192 | Systems and methods for displaying visual content in an automobile stopped at a traffic light | FORD GLOBAL TECHNOLOGIES, LLC |
10957195 | Apparatuses, systems, and methods for graphical progress interfaces for dynamic transportation networks | LYFT, INC. |
10957210 | Language-adapted user interfaces | EDUCATION INDEX MANAGEMENT ASIA PACIFIC PTE. LTD. |
10957229 | Content extension on curved glass | MOTOROLA MOBILITY LLC |
10957245 | Display apparatus having opposing display modules of micro LEDs | SAMSUNG ELECTRONICS CO., LTD. |
10957246 | Display screen and electronic device | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
10957247 | Display with sub-pixel drive | ROCKWELL COLLINS, INC. |
10957257 | Pixel circuit, driving method thereof and display panel | BOE TECHNOLOGY GROUP CO., LTD. |
10957262 | Information terminal including a background illumination light | GUANGDONG XIAYE HOUSEHOLD ELECTRICAL APPLIANCES CO., LTD. |
10957278 | Display apparatus | MAXELL, LTD. |
10957280 | Methods, systems, and media for modifying user interface colors in connection with the presentation of a video | GOOGLE LLC |
10957283 | Perceptual luminance nonlinearity-based image data exchange across different display capabilities | DOLBY LABORATORIES LICENSING CORPORATION |
10957285 | Method and system for playing multimedia data | BANMA ZHIXING NETWORK (HONGKONG) CO., LIMITED |
10957286 | Remediating interference of an instance of a visual notification with an output of an application on a display device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957311 | Parsers for deriving user intents | MICROSOFT TECHNOLOGY LICENSING, LLC |
10957315 | Mobile terminal and method for controlling mobile terminal using machine learning | LG ELECTRONICS INC. |
10957316 | Electronic apparatus, method for controlling thereof and computer readable recording medium | SAMSUNG ELECTRONICS CO., LTD. |
10957319 | Speech processing method, device and computer readable storage medium | BAIDU ONLINE NETWORK TECHNOLOGY (BEIJING) CO., LTD. |
10957321 | Electronic device and control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10957324 | Creating modular conversations using implicit routing | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957325 | Method and apparatus for speech interaction with children | HELLO CLOVER, LLC |
10957326 | Device identifier dependent operation processing of packet based data communication | GOOGLE LLC |
10957327 | Service providing device and computer-readable non-transitory storage medium storing service providing program | TOYOTA JIDOSHA KABUSHIKI KAISHA |
10957380 | Memory device scrambling address | SAMSUNG ELECTRONICS CO., LTD. |
10957381 | Metadata grouping for un-map techniques | MICRON TECHNOLOGY, INC. |
10957398 | Electronic device with memory erased by page | THALES DIS FRANCE SA |
10957427 | Automated clinical documentation system and method | NUANCE COMMUNICATIONS, INC. |
10957428 | Automated clinical documentation system and method | NUANCE COMMUNICATIONS, INC. |
10957441 | Apparatus for displaying image data on a display unit based on a touch input unit | KONINKLIJKE PHILIPS N.V. |
10957500 | Keyboard backlighting with reduced driver circuitry | APPLE INC. |
10957501 | Bistable retractable buttons | APPLE INC. |
10957678 | Display module and system applications | APPLE INC. |
10957745 | Touch display device | SAMSUNG DISPLAY CO., LTD. |
10957746 | Touch substrate and display panel | BOE TECHNOLOGY GROUP CO., LTD. |
10957747 | Electronic panel and method for manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10957748 | Semiconductor device having detection electrodes | JAPAN DISPLAY INC. |
10957749 | Display device including photo pixel with improved sensing sensitivity | SAMSUNG DISPLAY CO., LTD. |
10957867 | Display device | SAMSUNG DISPLAY CO., LTD. |
10957874 | Display panel and electronic device including the same | SAMSUNG DISPLAY CO., LTD. |
10958026 | Contactless thermometer for an in-wall power adapter | SMART POWER PARTNERS LLC |
10958301 | Audio synchronization of a dumb speaker and a smart speaker using a spread code | ROKU, INC. |
10958366 | Method of recording a forthcoming telebroadcast program | SAGEMCOM BROADBAND SAS |
10958416 | Encrypted and compressed data transmission with padding | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10958430 | Log record generation and storage based on associated principals | PURE STORAGE, INC. |
10958459 | Method and apparatus for processing group chat message | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
10958467 | Ducking and erasing audio from nearby devices | GOOGLE LLC |
10958477 | Information processing apparatus, method for controlling the same, and computer-readable medium | CANON KABUSHIKI KAISHA |
10958533 | Tracking data flow in distributed computing systems | MORGAN STANLEY SERVICES GROUP, INC. |
10958605 | Apparatus and method for alternate channel communication initiated through a common message thread | SNAP INC. |
10958612 | Hero cards that display contextual information and actions for backend systems | VMWARE, INC. |
10958633 | Method and system for securely transmitting volumes into cloud | CITRIX SYSTEMS, INC. |
10958694 | Sharing content between collocated mobile devices in an ad-hoc private social group | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
10958697 | Approach to live multi-camera streaming of events with hand-held cameras | ARRIS ENTERPRISES LLC |
10958698 | Responding to ambiguous play requests | SPOTIFY AB |
10958707 | Directional touch remote | APPLE INC. |
10958721 | WebRTC API redirection with intelligent network connectivity steering | CITRIX SYSTEMS, INC. |
10958722 | WebRTC API redirection with network connectivity steering fallback | CITRIX SYSTEMS, INC. |
10958729 | Non-volatile memory express over fabric (NVMeOF) using volume management device | INTEL CORPORATION |
10958741 | Method and system for collecting and presenting historical communication data | VERIZON MEDIA INC. |
10958743 | Method and system for segmentation as a service | FANPLAYR INC. |
10958756 | System, method and computer program product for capturing touch events for a virtual mobile device platform | HYPORI, LLC |
10958760 | Data processing system using pre-emptive downloading | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10958761 | Method and apparatus to provide integrity monitoring of a safety critical application on a non-safety-critical platform | AVIATION COMMUNICATION & SURVEILLANCE SYSTEMS, LLC |
10958762 | Method for communicating a status of presence of a group of users in a virtual communication space provided by a communication server on a communication network | ORANGE |
10958765 | Augmented reality for end-to-end data center it infrastructure layout | SAUDI ARABIAN OIL COMPANY |
10958776 | Method and apparatus for interworking between electronic devices | SAMSUNG ELECTRONICS CO., LTD. |
10958778 | Contact system for a computing platform | -- |
10958786 | Graphical user interface for managing multiple agent communication sessions in a contact center | NOBLE SYSTEMS CORPORATION |
10958797 | Image forming apparatus for forming image on recording paper | KYOCERA DOCUMENT SOLUTIONS INC. |
10958798 | Image forming apparatus, display control method, and recording medium | RICOH COMPANY, LTD. |
10958815 | Folded flex circuit board for camera ESD protection | APPLE INC. |
10958826 | Electronic apparatus and control method for electronic apparatus | CANON KABUSHIKI KAISHA |
10958842 | Method of displaying images in a multi-dimensional mode based on personalized topics | SAMSUNG ELECTRONICS CO., LTD. |
10958871 | System and methods for facilitating virtual presence | NANOLUMENS ACQUISITION, INC. |
10958873 | Portable presentation system and methods for use therewith | STEELCASE INC. |
10958876 | System and method for movie segment bookmarking and sharing | OPEN TEXT SA ULC |
10958878 | Security system and method with help and login for customization | TYCO SAFETY PRODUCTS CANADA LTD. |
10958891 | Visual annotation using tagging sessions | FYUSION, INC. |
10958963 | Automatic screen navigation for media device configuration and control | CAAVO INC |
10958964 | Distributed video and graphics rendering system | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
10958969 | Pause screen video ads | AT&T INTELLECTUAL PROPERTY I, L.P. |
10958975 | Method and apparatus for identifying a single user requesting conflicting content and resolving said conflict | ROVI GUIDES, INC. |
10959001 | Electronic device including stylus pen and method for controlling microphone of the same | SAMSUNG ELECTRONICS CO., LTD. |
10959010 | Earphone device | MERRY ELECTRONICS(SHENZHEN) CO., LTD. |
10959016 | Speaker position detection system, speaker position detection device, and speaker position detection method | YAMAHA CORPORATION |
10959022 | Adjusting the output of headphones based on external inputs | DISNEY ENTERPRISES, INC. |
10959032 | System and method for spatial processing of soundfield signals | DOLBY LABORATORIES LICENSING CORPORATION |
10959036 | Augmented audio development tool | BOSE CORPORATION |
10959037 | Gaze-directed audio enhancement | FACEBOOK TECHNOLOGIES, LLC |
10959315 | System and method for operation of multiple lighting units in a building | SIGNIFY HOLDING B.V. |
10959335 | Method for manufacturing a transparent conductive film | IVTOUCH CO., LTD. |
10959640 | Apparatus and method for supporting brain function enhancement | ADVANCED TELECOMMUNICATIONS RESEARCH INSTITUTE INTERNATIONAL |
10959673 | Pressure management methods for determining non-inclusive forces and apparatuses incorporating the same | TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC. |
10959683 | Acute care treatment systems dashboard | ZOLL MEDICAL CORPORATION |
10959744 | Surgical dissectors and manufacturing techniques | ETHICON LLC |
10959795 | Remote-control manipulator system and method of operating the same | KAWASAKI JUKOGYO KABUSHIKI KAISHA |
10959863 | Multi-dimensional surface electromyogram signal prosthetic hand control method based on principal component analysis | SOUTHEAST UNIVERSITY |
10960264 | Virtual reality and mixed reality enhanced exercise machine | BLUE GOJI LLC |
10960284 | Divot-detecting golf swing mat | -- |
10960295 | Content display method and electronic device for performing same | SAMSUNG ELECTRONICS CO., LTD. |
10960296 | Information processing apparatus and operation signal providing method | SONY INTERACTIVE ENTERTAINMENT INC. |
10960298 | Boolean/float controller and gesture recognition system | MICROSOFT TECHNOLOGY LICENSING, LLC |
10960300 | Sharing user-initiated recorded gameplay with buffered gameplay | SONY INTERACTIVE ENTERTAINMENT LLC |
10960302 | Head mounted display device for VR self-service game machine | VR LEO USA, INC |
10960303 | Kinesthetic-feedback wearable apparatus for virtual reality and augmented reality and method for controlling the same | KOREA ADVANCED INSTITUTE OF SCIENCE AND TECHNOLOGY (KAIST) |
10960307 | Voice command controller for communicating with a gaming system | SONY INTERACTIVE ENTERTAINMENT INC. |
10960311 | Method and apparatus for adapting applications over multiple devices | STEELSERIES APS |
10960540 | Robot orchestration architecture | ACCENTURE GLOBAL SOLUTIONS LIMITED |
10960641 | Integrated multilayer structure for use in sensing applications and method for manufacturing thereof | TACTOTEK OY |
10960760 | Vehicle control device mounted in vehicle and control method thereof | LG ELECTRONICS INC. |
10960761 | Display system and display method | MITSUBISHI ELECTRIC CORPORATION |
10960763 | Display and operating device for a vehicle component | BEHR-HELLA THERMOCONTROL GMBH |
10960838 | Multi-sensor data fusion for automotive systems | COBALT INDUSTRIES INC. |
10960859 | Automatic brake control apparatus and computer-readable non-transitory storage medium | DENSO CORPORATION |
10960860 | Brake controller interface | CURT MANUFACTURING, LLC |
10960898 | Method and arrangement for interacting with a suggestion system having automated operations | VOLKSWAGEN AKTIENGESELLSCHAFT |
10960985 | Integrating an application running on a portable electronic device into a flight deck display of an aircraft | THE BOEING COMPANY |
10961364 | Cover window for protecting display panel, display device using same, and cover window manufacturing method | SAMSUNG ELECTRONICS CO., LTD. |
10961482 | Electrorheological fluid and electric device | ASAHI KASEI KABUSHIKI KAISHA |
10961794 | Control system for a well drilling platform with remote access | ADS SERVICES LLC |
10962382 | Method for setting target point and method for setting travel route of vehicle | HANWHA TECHWIN CO., LTD. |
10962609 | Calibration system and method for magnetic tracking in virtual reality systems | THE EDGE VR, INC. |
10962702 | Input device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10962738 | Information processing apparatus and information processing method to calibrate line-of-sight of a user | SONY CORPORATION |
10962764 | Laser projector and camera | INTEL CORPORATION |
10962772 | Method of removing reflection area, and eye tracking method and apparatus | SAMSUNG ELECTRONICS CO., LTD. |
10962773 | Method and apparatus for determining whether an eye of a user of a head mounted display is directed at a fixed point | DISPLAYLINK (UK) LIMITED |
10962778 | Apparatus and method for augmented reality | VEERIDE LTD. |
10962780 | Remote rendering for virtual images | MICROSOFT TECHNOLOGY LICENSING, LLC |
10962784 | Substrate-guide optical device | LUMUS LTD. |
10962785 | Electronic device, mobile electronic device, and display device, which are controlled on basis of user gaze and input | SAMSUNG ELECTRONICS CO., LTD. |
10962788 | Head-mounted device with active optical foveation | APPLE INC. |
10962789 | Digital eyewear system and method for the treatment and prevention of migraines and photophobia | PERCEPT TECHNOLOGIES INC |
10962794 | Laser pointer kit for woodworkers | -- |
10962809 | Eyewear device with finger activated touch sensor | SNAP INC. |
10962845 | Driving system of display device, driving method and display device | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10962853 | Display substrate and display device | SHARP KABUSHIKI KAISHA |
10962930 | Tactile switch for an electronic device | APPLE INC. |
10962996 | Configuring a system of components using graphical programming environment | SAVANT SYSTEMS, INC. |
10963006 | Bracket assembly for a multi-component vision system in an electronic device | APPLE INC. |
10963007 | Presentation of a virtual keyboard on a multiple display device | Z124 |
10963011 | Touch input method and mobile terminal | SAMSUNG ELECTRONICS CO., LTD. |
10963012 | Display device | SAMSUNG DISPLAY CO., LTD. |
10963016 | Electronic apparatus for providing content and control method thereof | LG ELECTRONICS INC. |
10963021 | Electronic device and assembling method of electronic device | -- |
10963040 | Generating storyboard for a knowledge base in virtual mobile management | AETHERPAL INC. |
10963041 | Gesture recognition using multi-sensory data | TECHNION RESEARCH & DEVELOPMENT FOUNDATION LIMITED |
10963042 | Spontaneous blinking calibration method and blinking control method | -- |
10963043 | Utilizing machine learning to determine survey questions based on context of a person being surveyed, reactions to survey questions, and environmental conditions | ACCENTURE GLOBAL SOLUTIONS LIMITED |
10963044 | Apparatus, system and method for dynamic modification of a graphical user interface | INTEL CORPORATION |
10963045 | Smart contact lens system with cognitive analysis and aid | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10963046 | Drift corrected eye tracking | FACEBOOK TECHNOLOGIES, LLC |
10963047 | Augmented mirror | CONOPCO, INC. |
10963048 | Method and a system for visual human-machine interaction | SMART EYE AB |
10963049 | System, method, and recording medium for detecting and leveraging brain waves present in a user's state of flow to control digital and physical notifications | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10963050 | Device and method for controlling a motor vehicle | DAV |
10963051 | Hybrid haptic interface with improved haptic feedback | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10963052 | Touch sensitive element and display device comprising the same | LG DISPLAY CO., LTD. |
10963053 | Display-based switch assembly and methods of use | JOYSON SAFETY SYSTEMS ACQUISITION LLC |
10963054 | Information processing system, vibration control method and program | SONY INTERACTIVE ENTERTAINMENT INC. |
10963055 | Vibration device and control system for presenting corrected vibration data | SONY INTERACTIVE ENTERTAINMENT INC. |
10963056 | Touch panel and display apparatus | KYOCERA CORPORATION |
10963057 | Haptic feedback button | CHUNGANG UNIVERSITY INDUSTRY-ACADEMIC COOPERATION FOUNDATION |
10963058 | Drive controller, electronic device, and drive control method | FUJITSU LIMITED |
10963059 | System and method for detecting and characterizing inputs on a touch sensor surface | SENSEL INC. |
10963060 | Responsive mouse click mechanism | VOYETRA TURTLE BEACH, INC. |
10963061 | Systems, devices, and methods for providing limited duration haptic effects | IMMERSION CORPORATION |
10963062 | Gesture input with multiple views, displays and physics | INTEL CORPORATION |
10963063 | Information processing apparatus, information processing method, and program | SONY CORPORATION |
10963064 | Control device and control method | HONDA MOTOR CO., LTD. |
10963065 | Action processing apparatus | SCHNEIDER ELECTRIC JAPAN HOLDINGS LTD. |
10963066 | Keyboard input system and keyboard input method using finger gesture recognition | SEOUL NATIONAL UNIVERSITY R&DB FOUNDATION |
10963067 | Multilayout keyboard | MICROSOFT TECHNOLOGY LICENSING, LLC |
10963068 | Talking multi-surface keyboard | -- |
10963069 | Mouse device and control method thereof | -- |
10963070 | Fingertip mouse and base | FTM COMPUTER PRODUCTS |
10963071 | Mouse | -- |
10963072 | Key structure and keyboard module | LITE-ON ELECTRONICS (GUANGZHOU) LIMITED |
10963073 | Display control device including pointer control circuitry, pointer display method, and non-temporary recording medium thereof | SHARP KABUSHIKI KAISHA |
10963074 | Input display device and input display method | SHARP KABUSHIKI KAISHA |
10963075 | Input detecting device | SHARP KABUSHIKI KAISHA |
10963076 | Display device | SAMSUNG DISPLAY CO., LTD. |
10963078 | Display apparatus including transparent display panel and frame therefore | SAMSUNG ELECTRONICS CO., LTD. |
10963079 | Display device | JAPAN DISPLAY INC. |
10963080 | Display device having pressure sensors on side edges | SAMSUNG DISPLAY CO., LTD. |
10963081 | Drive method and drive circuit for driving organic light-emitting diode panel and display device | MIANYANG BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10963082 | Touch panels including touch electrodes connected by metal bridges and touch display devices | KUNSHAN GO-VISIONOX OPTO-ELECTRONICS CO., LTD. |
10963083 | Display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10963084 | Touch sensor | SAMSUNG DISPLAY CO., LTD. |
10963086 | Display device including a touch sensor and a method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10963087 | Pressure sensitive keys | MICROSOFT TECHNOLOGY LICENSING, LLC |
10963089 | Tactile sensation providing apparatus and tactile sensation providing method | KYOCERA CORPORATION |
10963090 | Force and position determination based on capacitive sensing | TOUCHNETIX LIMITED |
10963091 | Touch panel control apparatus, touch panel control method, and input display apparatus | SHARP KABUSHIKI KAISHA |
10963092 | Channel driver circuit | SIGMASENSE, LLC. |
10963093 | Analog front end channel driver circuit | SIGMASENSE, LLC. |
10963094 | Touch-and-display device and sensing system | -- |
10963095 | Glove touch detection | APPLE INC. |
10963096 | Touch sensor circuit | SIGMASENSE, LLC. |
10963097 | Method, electronic device, and apparatus for touch-region calibration | LENOVO (BEIJING) CO., LTD. |
10963098 | Methods and apparatus for object profile estimation | APPLE INC. |
10963099 | Noise reduction method, touch display apparatus, and computer-readable storage medium | SHENZHEN GOODIX TECHNOLOGY CO., LTD |
10963100 | Interactive object control method and apparatus, terminal, and computer-readable storage medium | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
10963101 | Input detection device and input detection method | ALPINE ELECTRONICS, INC. |
10963102 | Systems and methods for touch-sensing and LED-control for use in capacitive touch screens | -- |
10963103 | Display system with integrated depth detection | FACEBOOK TECHNOLOGIES, LLC |
10963104 | Optical coupling in touch-sensing systems | FLATFROG LABORATORIES AB |
10963105 | In-cell touch display panel, manufacturing method thereof, display device | BOE TECHNOLOGY GROUP CO., LTD. |
10963106 | Pre-fabricated sensor system including removable electronics device | GOOGLE LLC |
10963107 | Touch display device, active pen, touch system, touch circuit, and pen recognition method | LG DISPLAY CO., LTD. |
10963108 | Method implemented in system for deriving position of pen on sensor panel, pen, and sensor controller | WACOM CO., LTD. |
10963109 | Conductive structure and manufacturing method therefor | LG CHEM, LTD. |
10963110 | Touch screen panel and fabrication method thereof | SAMSUNG DISPLAY CO., LTD. |
10963111 | Touch substrate and method for preparing the same, and touch device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10963112 | Touch panel and method of forming thereof | TPK ADVANCED SOLUTIONS INC. |
10963113 | Touch panel and fabrication method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10963114 | Touch display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10963115 | Position indicator and writing information processing apparatus | WACOM CO., LTD. |
10963116 | Display device | SHARP KABUSHIKI KAISHA |
10963117 | Configurable force-sensitive input structure for electronic devices | APPLE INC. |
10963118 | Electrode structure and touch panel thereof | CAMBRIOS FILM SOLUTIONS CORPORATION |
10963119 | Identifying and rendering content relevant to a user's current mental state and context | GOOGLE LLC |
10963120 | Integrated, context passing user display | REFINITIV US ORGANIZATION LLC |
10963121 | Information display method, apparatus and mobile terminal | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10963122 | System and method of communication analysis | NEXTIVA, INC. |
10963123 | Computer system and method for changing display of components shown on a display device | GENERAL ELECTRIC COMPANY |
10963124 | Sharing content produced by a plurality of client computers in communication with a server | BURNABY |
10963125 | Visualization tool for interacting with a quantum computing program | MICROSOFT TECHNOLOGY LICENSING, LLC |
10963126 | Method and system for element navigation | D2L CORPORATION |
10963127 | Single tap control for adding and managing favorites | SALESFORCE.COM, INC. |
10963128 | Systems and methods for capturing content | FACEBOOK, INC. |
10963129 | Displaying a scrollable list of affordances associated with physical activities | APPLE INC. |
10963130 | Devices, methods, and graphical user interfaces for moving a current focus using a touch-sensitive remote control | APPLE INC. |
10963131 | Electronic device and method for displaying history of executed application thereof | SAMSUNG ELECTRONICS CO., LTD. |
10963132 | Digital jukebox device with improved karaoke-related user interfaces, and associated methods | TOUCHTUNES MUSIC CORPORATION |
10963133 | Enhanced awareness of obstacle proximity | HONEYWELL INTERNATIONAL INC. |
10963134 | System and method for distributing user interface device configurations | STEELSERIES APS |
10963135 | Language-based manipulation of data visualizations | ORACLE INTERNATIONAL CORPORATION |
10963136 | Highlighting of objects on a display | KONINKLIJKE PHILIPS N.V. |
10963137 | Information display apparatus and non-transitory recording medium storing program for controlling information display apparatus | BROTHER KOGYO KABUSHIKI KAISHA |
10963138 | Webpage testing tool | ALLSTATE INSURANCE COMPANY |
10963139 | Operating method for multiple windows and electronic device supporting the same | SAMSUNG ELECTRONICS CO., LTD. |
10963140 | Augmented reality experience creation via tapping virtual surfaces in augmented reality | -- |
10963141 | Smart multi-touch layout control for mobile devices | ADOBE INC. |
10963142 | Application programming interfaces for scrolling | APPLE INC. |
10963143 | Data editing method and apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
10963144 | Graphically organizing content in a user interface to a software application | MICROSOFT TECHNOLOGY LICENSING, LLC |
10963145 | Prioritizing display of user icons associated with content | SNAP INC. |
10963146 | User interface for a sleep system | BOSE CORPORATION |
10963147 | Media-aware interface | MICROSOFT TECHNOLOGY LICENSING, LLC |
10963148 | Control system for coordinating paving operations | CATERPILLAR INC. |
10963149 | Parameter adjustment method, apparatus and storage medium | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
10963150 | System for designing and configuring a home improvement installation | BATH AUTHORITY LLC |
10963151 | Image display apparatus | LG ELECTRONICS INC. |
10963152 | Map interface interaction | ADVANCED NEW TECHNOLOGIES CO., LTD. |
10963153 | Method, apparatus, and terminal for screen flipping | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
10963154 | Electronic device and method of controlling the same | SAMSUNG DISPLAY CO., LTD. |
10963155 | User interface for a charging column | DR. ING. H.C. F. PORSCHE AKTIENGESELLSCHAFT |
10963156 | Mobile terminal and control method thereof | LG ELECTRONICS INC. |
10963157 | Outdoor ordering system with interactive menu elements | LSI INDUSTRIES, INC. |
10963158 | Devices, methods, and graphical user interfaces for manipulating user interface objects with visual and/or haptic feedback | APPLE INC. |
10963159 | Virtual interface offset | LENOVO (SINGAPORE) PTE. LTD. |
10963160 | Apparatus and method for checking valid data in block capable of storing large volume data in memory system | SK HYNIX INC. |
10963161 | Storage apparatus and its control method | HITACHI, LTD. |
10963162 | Enabling parallel IO's with partial checksum on imbricated journal slots | VMWARE, INC. |
10963163 | Categorizing computing process output data streams for flash storage devices | RED HAT, INC. |
10963164 | Non-deterministic memory protocol | MICRON TECHNOLOGY, INC. |
10963165 | Applying virtual machine performance objectives on a storage system | EMC IP HOLDING COMPANY LLC |
10963166 | Operating parameters for flash memory devices | WESTERN DIGITAL TECHNOLOGIES, INC. |
10963167 | Method, first device, second device and system for managing access to data | THALES DIS FRANCE SA |
10963168 | Memory system and operations of the same | MICRON TECHNOLOGY, INC. |
10963169 | Integrated circuit device storing protected data for wireless transmitting, over short range wireless communication, the protected data to a wireless computing device | FLEXIWORLD TECHNOLOGIES, INC. |
10963170 | Retaining memory during partial reconfiguration | XILINX, INC. |
10963171 | Compressibility instrumented dynamic volume provisioning | RED HAT, INC. |
10963172 | Systems and methods for providing a back pressure free interconnect | APPLE INC. |
10963173 | System for smart contract dependent resource transfer | BANK OF AMERICA CORPORATION |
10963174 | Method and system for data storage and management | PRACTECHAL SOLUTIONS, INC. |
10963175 | Apparatus and method for searching valid data in memory system | SK HYNIX INC. |
10963176 | Technologies for offloading acceleration task scheduling operations to accelerator sleds | INTEL CORPORATION |
10963177 | Deduplication using fingerprint tries | EMC IP HOLDING COMPANY LLC |
10963178 | Repetitive data processing method for solid state drive | -- |
10963179 | Accidental-volume-removal prevention | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10963180 | Adding incremental storage resources in a dispersed storage network | PURE STORAGE, INC. |
10963181 | IP-PBX system with the capability of automatic data backup and method using the same | -- |
10963182 | System and method for on-demand recovery points | NUTANIX, INC. |
10963183 | Technologies for fine-grained completion tracking of memory buffer accesses | INTEL CORPORATION |
10963184 | Non-volatile memory module architecture to support memory error correction | MICRON TECHNOLOGY, INC. |
10963185 | Memory sub-system for performing wear-leveling adjustments based on memory component endurance estimations | MICRON TECHNOLOGY, INC. |
10963186 | Latent multiplicity detection | SEAGATE TECHNOLOGY LLC |
10963187 | Discrete exchange and update of multiple consistent subset views of an evolving data store | CIRRUS LOGIC, INC. |
10963188 | Sensor processing system utilizing domain transform to process reduced-size substreams | SEAGATE TECHNOLOGY LLC |
10963189 | Coalescing write operations in a cloud-based storage system | PURE STORAGE, INC. |
10963190 | Memory system | TOSHIBA MEMORY CORPORATION |
10963191 | 3D NAND flash memory device and integration method thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10963192 | Image processing apparatus, list display generator, and list display method | SHARP KABUSHIKI KAISHA |
10963193 | Information processing apparatus executing setting process for printing | BROTHER KOGYO KABUSHIKI KAISHA |
10963194 | Information processing apparatus and non-transitory computer readable medium for restricting simultaneous access to a print job | FUJI XEROX CO., LTD. |
10963195 | Firmware upgrade system and methods for printing devices | KYOCERA DOCUMENT SOLUTIONS INC. |
10963196 | Printing apparatus, method for controlling the same, and storage medium | CANON KABUSHIKI KAISHA |
10963197 | Image processing apparatus that installs application modules, control method therefor, and storage medium | CANON KABUSHIKI KAISHA |
10963198 | Communication apparatus that provides a communication parameter and method of controlling the same | CANON KABUSHIKI KAISHA |
10963199 | Image forming apparatus for receiving voice instructions | SHARP KABUSHIKI KAISHA |
10963200 | Information processing apparatus, control method for information processing apparatus, and storage medium | CANON KABUSHIKI KAISHA |
10963201 | System, apparatus, and method for minimizing the impact of delays in printing path schedules | XEROX CORPORATION |
10963202 | Authentication system using a code with a mobile application | KYOCERA DOCUMENT SOLUTIONS INC. |
10963203 | Computer-implemented method and system for generating a mobile application from a desktop application | SCHNEIDER ELECTRIC INDUSTRIES SAS |
10963204 | Foldable display | SAMSUNG DISPLAY CO., LTD. |
10963205 | Augmented reality state room | ROYAL CARIBBEAN CRUISES LTD. |
10963206 | Multi-panel, multi-communication video wall and system and method for seamlessly isolating one or more panels for individual user interaction | PANOSCAPE HOLDINGS, LLC |
10963207 | Portable video screen | GOVISION, LLC |
10963208 | Electronic device and method for operating electronic device | SAMSUNG ELECTRONICS CO., LTD. |
10963209 | Method and apparatus for arranging a plurality of icons on a screen | SAMSUNG ELECTRONICS CO., LTD. |
10963210 | Distributed communication using real-time point-to-point streamed audio in a gaming system | IGT |
10963211 | Electronic device and method for controlling audio path thereof | SAMSUNG ELECTRONICS CO., LTD. |
10963212 | Semiconductor device and sound output device | LAPIS SEMICONDUCTOR CO., LTD. |
10963213 | Playback synchronization method and device and USB sound card | GOERTEK TECHNOLOGY CO., LTD. |
10963214 | Audio output method, electronic device, and storage medium | HUAWEI TECHNOLOGIES CO., LTD. |
10963215 | Media playback device and system | SONOS, INC. |
10963216 | Joining users to communications via voice commands | AMAZON TECHNOLOGIES, INC. |
10963217 | Command detection notification on auxiliary display | LENOVO (SINGAPORE) PTE. LTD. |
10963218 | Systems and methods for operating a mobile application using a conversation interface | PAG FINANCIAL INTERNATIONAL LLC |
10963225 | Program creation assisting system, method for same, and program | OFFICE ZERO LIMITED LIABILITY COMPANY |
10963271 | System, method and computer program product delivering mobilized data object to mobile device over multiplexed network | SALESFORCE.COM, INC. |
10963273 | Generating personalized content summaries for users | FACEBOOK, INC. |
10963274 | Dynamic help system using HTML based application framework | TOSHIBA TEC KABUSHIKI KAISHA |
10963277 | Network error detection using virtual reality display devices | BANK OF AMERICA CORPORATION |
10963289 | Storage virtual machine relocation | NETAPP INC. |
10963290 | Hypervisor exchange with virtual-machine consolidation | VMWARE, INC. |
10963293 | Interactions with contextual and task-based computing environments | MICROSOFT TECHNOLOGY LICENSING, LLC |
10963303 | Independent storage and processing of data with centralized event control | BANK OF AMERICA CORPORATION |
10963307 | Client-side memory management in component-driven console applications | SALESFORCE.COM, INC. |
10963320 | Presenting a hygiene warning | LENOVO (SINGAPORE) PTE. LTD. |
10963324 | Predictive microservice systems and methods | CITRIX SYSTEMS, INC. |
10963326 | Self-healing storage devices | PURE STORAGE, INC. |
10963327 | Detecting error count deviations for non-volatile memory blocks for advanced non-volatile memory block management | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10963340 | Storing critical data at a memory system | MICRON TECHNOLOGY, INC. |
10963341 | Isolating the introduction of software defects in a dispersed storage network | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10963343 | Facilitation of temporary storage of a slice in a storage unit (SU) | PURE STORAGE, INC. |
10963347 | Data snapshots for configurable screen on a wearable device | SPLUNK INC. |
10963351 | Data storage backup system | SEAGATE TECHNOLOGY LLC |
10963364 | Analysis system, analysis method and program | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10963368 | Method of testing prototype linked with existing application | STUDIO XID KOREA, INC. |
10963369 | Software as a service platform utilizing novel means and methods for analysis, improvement, generation, and delivery of interactive UI/UX using adaptive testing, adaptive tester selection, and persistent tester pools with verified demographic data and ongoing behavioral data collection | OAKLAND |
10963374 | Memory allocation analysis | MICROSOFT TECHNOLOGY LICENSING, LLC |
10963378 | Dynamic capacity allocation of stripes in cluster based storage systems | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10963385 | Method and apparatus for performing pipeline-based accessing management in a storage server with aid of caching metadata with cache module which is hardware pipeline module during processing object write command | SILICON MOTION TECHNOLOGY (HONG KONG) LIMITED |
10963394 | System and method for optimizing performance of a solid-state drive using a deep neural network | SAMSUNG ELECTRONICS CO., LTD. |
10963395 | Memory system | SK HYNIX INC. |
10963396 | Memory system for binding data to a memory namespace | MICRON TECHNOLOGY, INC. |
10963397 | Hash table collision resolution for storage unit memory | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10963403 | Processing discontiguous memory as contiguous memory to improve performance of a neural network environment | MICROSOFT TECHNOLOGY LICENSING, LLC |
10963425 | Data placement on magnetic data storage tape | MICROSOFT TECHNOLOGY LICENSING, LLC |
10963430 | Shared workspaces with selective content item synchronization | DROPBOX, INC. |
10963432 | Scalable and user friendly file virtualization for hierarchical storage | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10963436 | Deduplicating data at sub-block granularity | EMC IP HOLDING COMPANY LLC |
10963446 | Techniques for collapsing views of content items in a graphical user interface | DROPBOX, INC. |
10963475 | Contextual data visualization | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10963485 | Storage system and data replication method in storage system | HITACHI, LTD. |
10963492 | Generation of domain-specific models in networked system | GOOGLE LLC |
10963498 | Systems and methods for automatic program recommendations based on user interactions | ROVI GUIDES, INC. |
10963503 | Generation of document classifiers | SPARKCOGNITION, INC. |
10963516 | Electronic device having user searchable settings items, search method for obtaining setting items, and computer program product therefor | RICOH COMPANY, LTD. |
10963525 | Artificial intelligence system for providing relevant content queries across unconnected websites via a conversational environment | AVNET, INC. |
10963529 | Location-based search mechanism in a graphical user interface | SNAP INC. |
10963532 | Systems and methods for rendering weblinks inside a remote application using an embedded browser | CITRIX SYSTEMS, INC. |
10963533 | Embedded web page analytic elements | PAYPAL, INC. |
10963539 | Interactive web application editor | MK SYSTEMS USA INC. |
10963541 | Systems, methods, and apparatuses for implementing a related command with a predictive query interface | SALESFORCE.COM, INC. |
10963544 | Authentication methods and systems using interactive three-dimensional environments | CLEVELAND STATE UNIVERSITY |
10963598 | Computer system and method for managing coordination issues in construction projects | PROCORE TECHNOLOGIES, INC. |
10963601 | Head-mounted display and/or virtual reality video output and mapping handheld input degrees-of-freedom to properties of molecular structure | NANOME, INC. |
10963621 | Management of remote access user application layouts | CITRIX SYSTEMS, INC. |
10963626 | Proofing task pane | MICROSOFT TECHNOLOGY LICENSING, LLC |
10963627 | Automatically generating digital enterprise content variants | ADOBE INC. |
10963630 | Sharing screen content in a mobile environment | GOOGLE LLC |
10963635 | Extensibility of compound data objects | MICROSOFT TECHNOLOGY LICENSING, LLC |
10963648 | Instant messaging application configuration based on virtual world activities | VERIZON MEDIA INC. |
10963651 | Reformatting of context sensitive data | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10963657 | Methods and arrangements for identifying objects | DIGIMARC CORPORATION |
10963662 | Touch sensor with masked layout pattern | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10963665 | Method of setting light sources in display panel for optical fingerprint recognition and method of performing optical fingerprint recognition using the same | SAMSUNG ELECTRONICS CO., LTD. |
10963671 | Multifunction fingerprint sensor having optical sensing capability | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
10963744 | Cognitive automated and interactive personalized fashion designing using cognitive fashion scores and cognitive analysis of fashion trends and data | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10963762 | Image processing apparatus accepting specific printing function, image processing method, image forming apparatus, and storage medium | CANON KABUSHIKI KAISHA |
10963774 | Systems and methods for artificial intelligence interface generation, evolution, and/or adjustment | MICROSOFT TECHNOLOGY LICENSING, LLC |
10963821 | Informatics platform for integrated clinical care | ROCHE MOLECULAR SYSTEMS, INC. |
10963845 | System and method for student attendance management | SCHOOL INNOVATIONS & ACHIEVEMENT, INC. |
10963846 | Automated service determination | SQUARE, INC. |
10963884 | Systems and methods for processing reimbursement requests submitted by retail stores to distribution centers | WALMART APOLLO, LLC |
10963899 | User interface adjustments based on internet-of-things engagement | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10963914 | System, method, and recording medium for advertisement remarketing | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10963918 | Apparatus and method for presentation of in-store visualizations and/or supply of products to customers | AT&T INTELLECTUAL PROPERTY I, L.P. |
10963927 | System and method for providing message-based advertisement using lock screen | BUZZVIL CO., LTD. |
10963938 | Systems and methods for providing an interactive virtual environment | CAPITAL ONE SERVICES, LLC |
10963940 | Computer vision, user segment, and missing item determination | EBAY INC. |
10963943 | Digital reputation management | UNITED SERVICES AUTOMOBILE ASSOCIATION (USAA) |
10963948 | 3D printing: marketplace with federated access to printers | EBAY INC. |
10963959 | Presentation of credit score factors | CONSUMERINFO. COM, INC. |
10963964 | Measuring risk tolerance using virtual or augmented reality view of simulated outcome | WELLS FARGO BANK, N.A. |
10963965 | Triage tool for investment advising | WELLS FARGO BANK, N.A. |
10963983 | Graphics performance for complex user interfaces | ADOBE INC. |
10963998 | Electronic devices with dynamic control of standard dynamic range and high dynamic range content | APPLE INC. |
10964023 | Image segmentation system | SNAP INC. |
10964052 | Method and computer vision system for handling of an operable tool | WIRETRONIC AB |
10964069 | Method and graphic processor for managing colors of a user interface | NAGRAVISION S.A. |
10964082 | Avatar based on weather | SNAP INC. |
10964085 | Method and apparatus for inciting a viewer to rotate toward a reference direction when consuming an immersive content item | INTERDIGITAL CE PATENT HOLDINGS |
10964091 | Augmented reality and virtual reality feedback enhancement system, apparatus and method | INTEL CORPORATION |
10964100 | Data-driven modeling of advanced paint appearance | ADOBE INC. |
10964108 | Augmentation of captured 3D scenes with contextual information | DOTPRODUCT LLC |
10964110 | Managed actions using augmented reality | VMWARE, INC. |
10964115 | Sound reproduction apparatus for reproducing virtual speaker based on image information | SQAND CO. LTD. |
10964117 | System for simulating a virtual fitness partner | AUSTIN |
10964137 | Risk information collection device mounted on a vehicle | MITSUBISHI ELECTRIC CORPORATION |
10964155 | Techniques and apparatuses for providing blended graphical content for gaming applications using a single graphics context and multiple application programming interfaces | ARISTOCRAT TECHNOLOGIES AUSTRALIA PTY LIMITED |
10964178 | Systems, methods and apparatuses for implementing increased human perception of haptic feedback systems | INTEL CORPORATION |
10964186 | Web server based 24/7 care management system for better quality of life to alzheimer, dementia,autistic and assisted living people using artificial intelligent based smart devices | -- |
10964237 | Display device, method for producing display device, and method for inspecting display device | SHARP KABUSHIKI KAISHA |
10964255 | System and method of compensation of a display | DELL PRODUCTS L.P. |
10964316 | Performing action on active media content | LENOVO (SINGAPORE) PTE. LTD. |
10964317 | Voice wakeup method, apparatus and system, cloud server and readable medium | BAIDU ONLINE NETWORK TECHNOLOGY (BEIJING) CO., LTD. |
10964319 | Electronic device and method of controlling the same | LG ELECTRONICS INC. |
10964320 | Controlling optically-switchable devices | VIEW, INC. |
10964324 | Systems and methods for enabling topic-based verbal interaction with a virtual assistant | ROVI GUIDES, INC. |
10964336 | Systems for and methods of intelligent acoustic monitoring | -- |
10964358 | Apparatuses and methods for scatter and gather | MICRON TECHNOLOGY, INC. |
10964372 | Memory cell biasing techniques | MICRON TECHNOLOGY, INC. |
10964405 | Memory initialization reporting and control | ATI TECHNOLOGIES ULC |
10964416 | Block chain management | -- |
10964422 | Apparatus and method for user exercise monitoring | BIOMET GLOBAL SUPPLY CHAIN CENTER, B.V. |
10964424 | Ultrasound image recognition systems and methods utilizing an artificial intelligence network | ECHONOUS, INC. |
10964725 | Display device | SAMSUNG DISPLAY CO., LTD. |
10964911 | Thin film encapsulation structure of AMOLED and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10964917 | Display module and method for preparing the same | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10965024 | Frequency routing based on orientation | SONOS, INC. |
10965143 | Wireless power transmission device and operation method of wireless power transmission device | SAMSUNG ELECTRONICS CO., LTD. |
10965285 | Multiple controllers for a capacitive sensing device | APPLE INC. |
10965287 | Replaceable key structure | DEXIN ELECTRONIC LTD. |
10965324 | Memory controller, memory system, and memory control method | TOSHIBA MEMORY CORPORATION |
10965456 | Systems and methods for facilitating data encryption and decryption and erasing of associated information | THE BOEING COMPANY |
10965464 | Tactile binary coded communication | WELLS FARGO BANK, N.A. |
10965531 | Service offering wish list ordering interface and conflict scheduling calendar system | SERVICENOW, INC. |
10965544 | Augmented reality for slice management in a telco network | VMWARE, INC. |
10965545 | Playback device connection | SONOS, INC. |
10965559 | Automatic creation of related event groups for an IT service monitoring system | SPLUNK INC. |
10965567 | Image forming apparatus, controlling method of image forming apparatus, and storage medium | CANON KABUSHIKI KAISHA |
10965573 | Systems and methods for online user path analysis | WELLS FARGO BANK, N.A. |
10965629 | Method for generating imitated mobile messages on a chat writer server | SCREENSHARE TECHNOLOGY LTD. |
10965633 | Session history horizon control | MICROSOFT TECHNOLOGY LICENSING, LLC |
10965705 | Systems, methods, user interfaces, and computer-readable media for investigating potential malicious communications | PALANTIR TECHNOLOGIES INC. |
10965739 | Time-based congestion discounting for I/O fairness control | VMWARE, INC. |
10965743 | Synchronized annotations in fixed digital documents | MICROSOFT TECHNOLOGY LICENSING, LLC |
10965746 | Determining presence in an application accessing shared and synchronized content | DROPBOX, INC. |
10965753 | Interconnect delivery process | NETAPP INC. |
10965764 | Information processing system and information processing method | SONY CORPORATION |
10965783 | Multimedia information sharing method, related apparatus, and system | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
10965784 | Virtual reality devices and accessories | -- |
10965798 | Touchscreen and terminal device with touchscreen | HUAWEI TECHNOLOGIES CO., LTD. |
10965804 | Method and apparatus of acquiring information regarding applications for display on a user interface | HMD GLOBAL OY |
10965823 | Information processing system, device, and method | RICOH COMPANY, LTD. |
10965824 | Methods and systems for print jobs transfer via an external storage device | XEROX CORPORATION |
10965826 | Method for controlling information processing apparatus and storage medium | CANON KABUSHIKI KAISHA |
10965829 | Image forming apparatus having restricted USB socket | SHARP KABUSHIKI KAISHA |
10965837 | Authentication device and authentication method | FUJI XEROX CO., LTD. |
10965838 | Image forming apparatus, method for controlling image forming apparatus, and non-transitory storage medium for restricting a function | CANON KABUSHIKI KAISHA |
10965862 | Multi-camera navigation interface | GOOGLE LLC |
10965863 | Changing settings based on a fingerprint | LENOVO (SINGAPORE) PTE. LTD. |
10965864 | Panoramic photograph with dynamic variable zoom | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10965875 | Query response by a gimbal mounted camera | SZ DJI OSMO TECHNOLOGY CO., LTD. |
10965883 | Intelligent shared display infrastructure and associated methods | MERSIVE TECHNOLOGIES, INC. |
10965884 | Head-mounted display, display controlling apparatus, display controlling method, and program | SONY INTERACTIVE ENTERTAINMENT INC. |
10965888 | Subtitle presentation based on volume control | SNAP INC. |
10965902 | Information processing apparatus and control method therefor | CANON KABUSHIKI KAISHA |
10965980 | Main image processing apparatus, sub image processing apparatus and control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10965985 | Display apparatus with intelligent user interface | HISENSE VISUAL TECHNOLOGY CO., LTD. |
10965993 | Video playback in group communications | GOOGLE LLC |
10966006 | Apparatus and method for a sound generating device combined with a display unit | NOKIA TECHNOLOGIES OY |
10966019 | Audio signal processing device and sound box | SHENZHEN GRANDSUN ELECTRONIC CO., LTD. |
10966023 | Lighting system with remote microphone | SIGNIFY HOLDING B.V. |
10966025 | Playback device pairing | SONOS, INC. |
10966044 | System and method for playing media | AT&T INTELLECTUAL PROPERTY I, L.P. |
10966045 | Sound localization for user in motion | SONY INTERACTIVE ENTERTAINMENT INC. |
10966063 | Message management methods and systems | RINGCENTRAL INC. |
10966071 | System and method for coordinating an agricultural operation | CNH INDUSTRIAL AMERICA LLC |
10966149 | Display device and control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10966267 | Communication apparatus, computer readable storage medium, and control method of communication using multiple modes and channel widths | CANON KABUSHIKI KAISHA |
10966456 | Cartridge for an electronic smoking device | CEGNUM LLC |
10966540 | Remote control for controlling a medical appliance | MAQUET GMBH |
10966680 | Method for controlling the operation of a medical technology device, operator device, operating system and medical technology device | SIEMENS HEALTHCARE GMBH |
10966901 | Massage system | FUJI MEDICAL INSTRUMENTS MFG. CO., LTD. |
10967223 | Monitoring and tracking athletic activity | NIKE, INC. |
10967240 | Sports match refereeing system | FB-MM LTD. |
10967241 | Golf match creation and tracking | FABFOREDEV INC. |
10967250 | Information processing method and apparatus, electronic device, and storage medium | NETEASE (HANGZHOU) NETWORK CO., LTD. |
10967253 | Operation device and method for controlling the same | SONY INTERACTIVE ENTERTAINMENT INC. |
10967255 | Virtual reality system for facilitating participation in events | -- |
10967268 | Information processing apparatus | SONY INTERACTIVE ENTERTAINMENT INC. |
10967273 | Center device | SONY INTERACTIVE ENTERTAINMENT INC. |
10967737 | Input device for vehicle and input method | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10967798 | Control device and method for image display | -- |
10967813 | Vehicle control device | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
10967837 | Security device using sequences of fingerprints | UNITED SERVICES AUTOMOBILE ASSOCIATION (USAA) |
10967879 | Autonomous driving control parameter changing device and autonomous driving control parameter changing method | MITSUBISHI ELECTRIC CORPORATION |
10968073 | Touchless elevator keyboard system | UNITED ARAB EMIRATES UNIVERSITY |
10968089 | Platform control box | OSHKOSH CORPORATION |
10969132 | Distributed heating, ventilation and air conditioning system | LENNOX INDUSTRIES INC. |
10969227 | Display of aircraft time on target on mobile devices | THE BOEING COMPANY |
10969244 | Semiconductor device | RENESAS ELECTRONICS CORPORATION |
10969249 | Capacitive sensing button on chip | CYPRESS SEMICONDUCTOR COPRORATION |
10969250 | Enhancing sensitivity and robustness of mechanical rotation and position detection with capacitive sensors | TEXAS INSTRUMENTS INCORPORATED |
10969479 | Estimation device and estimation method | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10969579 | Augmented reality glasses, method for determining a pose of augmented reality glasses, and transportation vehicle suitable for using the augmented reality glasses or the method | VOLKSWAGEN AKTIENGESELLSCHAFT |
10969583 | Augmented reality information system for use with a medical device | ZOLL MEDICAL CORPORATION |
10969589 | Head up display system, associated display system and computer program product | THALES |
10969592 | Compact eye-tracked head-mounted display | ARIZONA BOARD OF REGENTS ON BEHALF OF THE UNIVERSITY OF ARIZONA |
10969600 | Electronic devices with optical markers | APPLE INC. |
10969613 | Fingerprint identification display device and driving method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
10969614 | Detection device | JAPAN DISPLAY INC. |
10969618 | Opposite substrate | -- |
10969622 | Multi-element backlight to highlight or obscure informational element | NCR CORPORATION |
10969748 | Systems and methods for using a vehicle as a motion base for a simulated experience | DISNEY ENTERPRISES, INC. |
10969765 | Custom assembly of 3D printed building modules | MIGHTY BUILDINGS, INC. |
10969781 | User interface to facilitate control of unmanned aerial vehicles (UAVs) | STATE FARM MUTUAL AUTOMOBILE INSURANCE COMPANY |
10969784 | System and method for providing easy-to-use release and auto-positioning for drone applications | HANGZHOU ZERO ZERO TECHNOLOGY CO., LTD. |
10969816 | Bias generation and distribution for a large array of sensors | QUALCOMM INCORPORATED |
10969827 | Electronic device and method for controlling user interface therein | FU TAI HUA INDUSTRY (SHENZHEN) CO., LTD. |
10969828 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
10969832 | Display device | SAMSUNG DISPLAY CO., LTD. |
10969833 | Method and apparatus for providing a three-dimensional data navigation and manipulation interface | NOKIA TECHNOLOGIES OY |
10969834 | Sensor assemblies for electronic devices | APPLE INC. |
10969843 | Device-to-device wireless power transfer to provide content display continuity | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10969853 | USB adapting circuit | -- |
10969859 | Human-computer interface comprising a token | LUXEMBOURG INSTITUTE OF SCIENCE AND TECHNOLOGY (LIST) |
10969860 | System and method for generating a virtual reality scene based on individual asynchronous motion capture recordings | MINDSHOW INC. |
10969861 | Image processing device, image processing method, and image system | SONY CORPORATION |
10969862 | Eyewear-mountable eye tracking device | MICROSOFT TECHNOLOGY LICENSING, LLC |
10969863 | Configurable sensor array for a multi-target environment | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10969864 | Method, apparatus and device for detecting fatigue state | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
10969865 | Method for transmission of eye tracking information, head mounted display and computer device | -- |
10969866 | Input management for wearable devices | APPLE INC. |
10969867 | Information processing system, controller device, controller device control method and program | SONY INTERACTIVE ENTERTAINMENT INC. |
10969868 | Tactile sensation providing apparatus | KYOCERA CORPORATION |
10969869 | Thermal mitigation of a haptic element in a peripheral device | LOGITECH EUROPE S.A. |
10969870 | Input device | SHARP KABUSHIKI KAISHA |
10969871 | Haptic output systems | CIRRUS LOGIC, INC. |
10969872 | Gesture interface | RAKUTEN, INC. |
10969873 | Detecting vibrations generated by a swipe gesture | DELL PRODUCTS L.P. |
10969874 | Method and system for the detection and augmentation of tactile interactions in augmented reality | PCMS HOLDINGS, INC. |
10969875 | Toroidal sensor | TACTUAL LABS CO. |
10969876 | Dynamic view user interface system and method | SAN LUIS OBISPO |
10969877 | Display apparatus | ARTILUX, INC. |
10969878 | Joystick with light emitter and optical sensor within internal chamber | -- |
10969879 | Electronic interactive method and device for magnetic objects | MAGIKBEE LDA |
10969880 | Mouse device | -- |
10969881 | Wireless docking station | -- |
10969883 | Optical navigation device and system with changeable smoothing | -- |
10969884 | Electric device fixed on user's body with low power consumption | -- |
10969885 | Array substrate, manufacturing method therefor, and touch display panel | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. & |
10969886 | Capacitive sensing system and mobile device | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
10969887 | Touch display device | -- |
10969888 | Touch panel manufacturing method, touch panel, and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10969889 | Electronic apparatus and method for manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10969890 | Display device and driving method for display device using the same | SAMSUNG DISPLAY CO., LTD. |
10969891 | Display panel and display device | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
10969892 | Display panel | LG DISPLAY CO., LTD. |
10969893 | Organic light emitting display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10969894 | Method and system for measuring a phase baseline estimate of an input device | SYNAPTICS INCORPORATED |
10969895 | Input device | ALPS ALPINE CO., LTD. |
10969896 | Display method and electronic device thereof | LENOVO (BEIJING) CO., LTD. |
10969897 | Method and device for operating capacitive touch panel | MIRAENANOTECH CO., LTD. |
10969898 | Method for determining a force of a touch object on a touch device and for determining its related touch event | -- |
10969899 | Dynamically adaptive sensing for remote hover touch | SAMSUNG ELECTRONICS CO., LTD. |
10969900 | Display device and coordinate notification method | NEC CORPORATION |
10969901 | Pairing method, position detecting system, position indicator and position detecting apparatus | WACOM CO., LTD. |
10969902 | Projected-capacitive (PCAP) touchscreen | ELO TOUCH SOLUTIONS, INC. |
10969903 | Method, device and mobile terminal for preventing false-touch on touch screen | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10969904 | Noise detecting method and apparatus, electronic device, and computer readable storage medium | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
10969905 | Interactive displays | REMARKABLE AS |
10969906 | Control method for touch device | -- |
10969907 | Compensation techniques for grip and finger coupling to routing traces in a touch sensor panel | APPLE INC. |
10969908 | Using multiple signals to detect touch input | SENTONS INC. |
10969909 | Touch screen panel and touch sensing apparatus having the same | SAMSUNG DISPLAY CO., LTD. |
10969910 | Variable size user input device for vehicle | FORD GLOBAL TECHNOLOGIES, LLC |
10969911 | Touch sensor and display device | SAMSUNG DISPLAY CO., LTD. |
10969912 | Capacitive sensing and sampling circuit and sensing and sampling method thereof | -- |
10969913 | Detection of protective cover film on a capacitive touch screen | QUALCOMM INCORPORATED |
10969914 | Method for sensor controller to detect stylus using repeated transmission of control signals, stylus, and system | WACOM CO., LTD. |
10969915 | Capacitive sensor | ALPS ALPINE CO., LTD. |
10969916 | Single-layer capacitive image sensor | SYNAPTICS INCORPORATED |
10969917 | Auto scanning for multiple frequency stimulation multi-touch sensor panels | APPLE INC. |
10969918 | Touch panel metal grid structure with increased mutual capacitance | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10969919 | Touch panel and display device with touch panel | JAPAN DISPLAY INC. |
10969920 | Display device and detection device | JAPAN DISPLAY INC. |
10969921 | Display device including touch sensor and method for driving touch sensor | LG DISPLAY CO., LTD. |
10969922 | Messaging system for providing multi-zone messages | SAP SE |
10969923 | System and method for providing a customisable graphical user interface for information technology assistance | INVAROSOFT PTY LTD |
10969924 | Information processing apparatus, method, and non-transitory computer readable medium that controls a representation of a user object in a virtual space | SONY CORPORATION |
10969925 | System, method, and computer program for generating a three-dimensional navigable interactive model of a home | AMDOCS DEVELOPMENT LIMITED |
10969926 | Content restriction in video content sharing community | WORMHOLE LABS, INC. |
10969927 | Displaying information using expandable widgets | THE CLIC, INC. |
10969928 | Contextual launch interfaces | AMAZON TECHNOLOGIES, INC. |
10969929 | Dependency graph-controlled object and compute pipeline migration | ORACLE FINANCIAL SERVICES SOFTWARE LIMITED |
10969930 | User interface for use in computing device with sensitive display | -- |
10969931 | Data mapping service | TIBCO SOFTWARE INC. |
10969932 | Preferred contact group centric interface | T-MOBLLE USA, INC. |
10969933 | Graphical representation of ordered model items based on solver information | THE MATHWORKS, INC. |
10969934 | Active path menu navigation system | -- |
10969935 | System for generation of novel artifacts with user-guided discovery and navigation of the creative space | MICROSOFT TECHNOLOGY LICENSING, LLC |
10969936 | Collaborative peer review system and method of use | OPENNETREVIEW, INC. |
10969937 | Context-aware system menu behavior for mixed reality | MICROSOFT TECHNOLOGY LICENSING, LLC |
10969938 | Display apparatus, user terminal, control method, and computer-readable medium | SAMSUNG ELECTRONICS CO., LTD. |
10969940 | Multi-household support | SONOS, INC. |
10969941 | Underwater user interface | APPLE INC. |
10969942 | Method and device for displaying interface | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
10969943 | Autofill for a user device | GOOGLE LLC |
10969944 | Application reporting in an application-selectable user interface | MICROSOFT TECHNOLOGY LICENSING, LLC |
10969945 | Device, method, and graphical user interface for selecting user interface objects | APPLE INC. |
10969946 | Methods, devices, and systems for controlling movement of a moving object | SZ DJI TECHNOLOGY CO., LTD. |
10969947 | Method for operating an operating system and operating system | VOLKSWAGEN AKTIENGESELLSCHAFT |
10969948 | Method for adaptively adjusting amount of information in user interface design and electronic device | -- |
10969949 | Information display device, information display method and information display program | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10969950 | Dynamic resizable media item player | GOOGLE LLC |
10969951 | System and method for building and managing user experience for computer software interfaces | GENPACT LUXEMBOURG S.à R.L II |
10969952 | Color and texture match ratings for optimal match selection | PPG INDUSTRIES OHIO, INC. |
10969953 | Frameworks, devices and methods configured for enabling touch/gesture controlled display for facility information and content with resolution dependent display and persistent content positioning | HONEYWELL INTERNATIONAL INC. |
10969954 | Electronic device for processing user input and method for processing user input | SAMSUNG ELECTRONICS CO., LTD. |
10969955 | Electronic device | LENOVO (BEIJING) CO., LTD. |
10969956 | Smart desk with gesture detection and control features | CEMTREX INC. |
10969957 | Using finger touch types to interact with electronic devices | QEEXO, CO. |
10969958 | Key-input display apparatus, key-input display system, and key-input display program | INVIO, INC. |
10969959 | Effective resynchronization in virtual storage area network using dynamic partitioning and data deduplication techniques | VMWARE, INC. |
10969960 | Storage device and host for the same | SAMSUNG ELECTRONICS CO., LTD. |
10969961 | Using volume header records to identify matching tape volumes | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10969962 | Compacting data in a dispersed storage network | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10969963 | Namespaces allocation in non-volatile memory devices | MICRON TECHNOLOGY, INC. |
10969964 | Method, device, and computer program product for parallel data transmission using machine learning to determine number of concurrencies and data slice size | EMC IP HOLDING COMPANY LLC |
10969965 | Dynamic performance density tuning for data storage device | WESTERN DIGITAL TECHNOLOGIES, INC. |
10969966 | Method and device for data read and write | EMC IP HOLDING COMPANY LLC |
10969967 | Allocation and balancing of storage resources based on anticipated workload levels | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10969968 | Enhanced restripe mechanism | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10969969 | Use of recovery behavior for prognosticating and in-situ repair of data storage devices | WESTERN DIGITAL TECHNOLOGIES, INC. |
10969970 | Storage optimization of database in volatile and non-volatile storing unit | KABUSHIKI KAISHA TOSHIBA |
10969971 | Data storage method and apparatus | BEIJING BAIDU NETCOM SCIENCE AND TECHNOLOGY CO., LTD. |
10969972 | Validating restricted operations on a client using trusted environments | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10969973 | Software filtered memory devices in computing systems | MICROSOFT TECHNOLOGY LICENSING, LLC |
10969974 | Power-based dynamic adjustment of memory module bandwidth | INTEL CORPORATION |
10969975 | Dynamically composable computing system, a data center, and method for dynamically composing a computing system | INTEL CORPORATION |
10969976 | Fast virtual machine storage allocation with encrypted storage | RED HAT, INC. |
10969977 | Systems and methods for reconfiguring dual function cell arrays | ARBOR COMPANY, LLLP |
10969978 | Configurable buffer manager arbitration request throttling mechanism | MICRON TECHNOLOGY, INC. |
10969979 | Input/output (I/O) loopback function for I/O signaling testing | INTEL CORPORATION |
10969980 | Enforcing unique page table permissions with shared page tables | INTEL CORPORATION |
10969981 | Information processing device and information processing method | FUJITSU LIMITED |
10969982 | Data deduplication with collision resistant hash digest processes | -- |
10969983 | Method for implementing NVME over fabrics, terminal, server, and system | HUAWEI TECHNOLOGIES CO., LTD. |
10969984 | Maintaining a DNS store for control plane restart or failover | JUNIPER NETWORKS, INC. |
10969985 | Storage system and control method thereof | HITACHI, LTD. |
10969986 | Data storage system with storage container pairing for remote replication | EMC IP HOLDING COMPANY LLC |
10969987 | Memory device, memory system including the memory device, and method of operating the memory system | SK HYNIX INC. |
10969988 | Performing proactive copy-on-write for containers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10969989 | Techniques for capturing virtual machine snapshots using data storage system snapshots | EMC IP HOLDING COMPANY LLC |
10969990 | Parallel database page flushing | SAP SE |
10969991 | Multi-chip package, controlling method of multi-chip package and security chip | -- |
10969992 | Address translation for scalable linked devices | INTEL CORPORATION |
10969993 | Methods and apparatus for reconfiguring nodes and reissuing data access requests | ARM LIMITED |
10969994 | Throttle response signals from a memory system | MICRON TECHNOLOGY, INC. |
10969995 | Systems and methods for differentiating function performance by input parameters | INTEL CORPORATION |
10969996 | Extendable hardware queue structure and method of operation thereof | MARVELL ISRAEL (M.I.S.L) LTD. |
10969997 | Memory controller that filters a count of row activate commands collectively sent to a set of memory banks | INTEL CORPORATION |
10969998 | Semiconductor apparatus including a plurality of dies operating as a plurality of channels | SK HYNIX INC. |
10969999 | Surface property tracking mechanism | INTEL CORPORATION |
10970000 | Memory system | TOSHIBA MEMORY CORPORATION |
10970001 | Memory controller and memory system having the same wherein read request that has a logical to physical mapping in a cache, is prioritized over pairing operation for multi-plane reading | SK HYNIX INC. |
10970002 | Storage device for security access and method of operating the same | SK HYNIX INC. |
10970003 | Scalable low-latency storage interface | MICRON TECHNOLOGY, INC. |
10970004 | Method and apparatus for USB periodic scheduling optimization | SYNOPSYS, INC. |
10970005 | Printer, computer-readable medium, and communication system to communicate with multiple devices independently | SATO HOLDINGS KABUSHIKI KAISHA |
10970006 | Multi-function devices with personalized home screen and saved jobs for authenticated user | XEROX CORPORATION |
10970007 | Image forming system, image forming apparatus, and information terminal | TOSHIBA TEC KABUSHIKI KAISHA |
10970008 | Printing apparatus, control method for printing apparatus, and storage medium | CANON KABUSHIKI KAISHA |
10970009 | Electronic apparatus and non-transitory storage medium storing program readable by operation terminal | BROTHER KOGYO KABUSHIKI KAISHA |
10970010 | System that issues different identification information corresponding to the same printing apparatus for each issuance request, information processing apparatus, control method thereof, and non-transitory computer-readable storage medium | CANON KABUSHIKI KAISHA |
10970011 | Consumable chip, consumable and consumable communication method | HANGZHOU CHIPJET TECHNOLOGY CO., LTD. |
10970012 | Image forming apparatus, a non-transitory computer-readable recording medium storing print control program, print control method | SHARP KABUSHIKI KAISHA |
10970013 | Non-transitory computer-readable recording medium, information processing apparatus and printing method | BROTHER KOGYO KABUSHIKI KAISHA |
10970014 | Authentication of user at a device using a mobile application | KYOCERA DOCUMENT SOLUTIONS INC. |
10970015 | Image processing apparatus, method, and computer-readable medium for reducing time required until completing output process after successful authentication | BROTHER KOGYO KABUSHIKI KAISHA |
10970016 | Image processing system, method for image processing, and image forming apparatus that are provided with security function of image | KYOCERA DOCUMENT SOLUTIONS INC. |
10970017 | Control method for controlling an image processing apparatus including printing areas displayed on a display unit and print information facing a predetermined direction | CANON KABUSHIKI KAISHA |
10970018 | Multi-level system for label creation and printing | ECOLAB USA INC. |
10970019 | Information processing apparatus, non-transitory computer readable medium, image forming apparatus, and information processing system to display converted description content before completion of conversion | FUJI XEROX CO., LTD. |
10970020 | Non-transitory computer-readable recording medium storing computer-readable instructions for causing information processing device to execute image process, and information processing device | BROTHER KOGYO KABUSHIKI KAISHA |
10970021 | Information processing apparatus and control method to enable a user to specify the number of images to be combined in a frame | CANON KABUSHIKI KAISHA |
10970022 | Information processing apparatus with print job grouping function and non-transitory computer readable medium | FUJI XEROX CO., LTD. |
10970023 | Printing system for directly transmitting a print job to printer when a server is unavailable and printer driver program | KONICA MINOLTA, INC. |
10970024 | Data processing method and electronic terminal | HUAWEI TECHNOLOGIES CO., LTD. |
10970025 | Smart electric mirrors | -- |
10970026 | Application launching in a multi-display device | MICROSOFT TECHNOLOGY LICENSING, LLC |
10970027 | Combination organic light emitting diode (“OLED”) device | BANK OF AMERICA CORPORATION |
10970028 | Data processing method and electronic apparatus therefor | SAMSUNG ELECTRONICS CO., LTD. |
10970029 | Dynamic user interface and module facilitating content sharing in a distributed computing environment | SYMPHONY COMMUNICATION SERVICES HOLDINGS LLC |
10970030 | Changing companion communication device behavior based on status of wearable device | APPLE INC. |
10970031 | Systems and methods configured to provide gaze-based audio in interactive experiences | DISNEY ENTERPRISES, INC. |
10970032 | Sound processing apparatus and method | YAMAHA CORPORATION |
10970033 | Systems and methods for generating a visual color display of audio-file data | INMUSIC BRANDS, INC. |
10970034 | Audio distributor selection | SONOS, INC. |
10970035 | Audio response playback | SONOS, INC. |
10970036 | Methods and system for controlling tactile content | FACEBOOK TECHNOLOGIES, LLC |
10970037 | System and method for differentially locating and modifying audio sources | GRABANGO CO. |
10970038 | Efficient direct store delivery system and methods of using the same | HAND HELD PRODUCTS, INC. |
10970040 | Systems and methods for augmented reality content harvesting and information extraction | BOSE CORPORATION |
10970049 | Code execution and data processing pipeline | PALANTIR TECHNOLOGIES INC. |
10970050 | User interface engine for miniapp development | ADP, LLC |
10970051 | Systems and methods for programing drones | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10970074 | Broadside random access memory for low cycle memory access and additional functions | TEXAS INSTRUMENTS INCORPORATED |
10970086 | Efficient use of RAM in resource-constrained embedded systems | SK HYNIX INC. |
10970087 | Windows content transfer methods and systems | SCIRRA LTD. |
10970088 | User interface help control device, and information storage medium | JEOL LTD. |
10970091 | Creating an on-demand skills blueprint of a mobile application | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10970092 | Terminal with a display including a main display region and a purality of sub display regions and method for controlling the same | LG ELECTRONICS INC. |
10970093 | Information processing apparatus for displaying a software screen on a foreground of a display based on a setting | CANON KABUSHIKI KAISHA |
10970094 | System and method for facilitating avoiding human errors | HCL TECHNOLOGIES LIMITED |
10970096 | Suggesting actions based on machine learning | GOOGLE LLC |
10970101 | System and method for dynamically configuring virtual displays and virtual inputs for different remote sessions that each present content for a virtual machine | VMWARE, INC. |
10970106 | Storage device sharing among virtual machines | VERITAS TECHNOLOGIES LLC |
10970139 | Controlling the triggering of function calls from content items | GOOGLE LLC |
10970148 | Method, device and computer program product for managing input/output stack | EMC IP HOLDING COMPANY LLC |
10970149 | Automatic node hardware configuration in a distributed storage system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10970150 | Incident detection and management | ATLASSIAN PTY LTD. |
10970158 | Root cause analysis | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10970164 | Storage device and operating method of storage device | SAMSUNG ELECTRONICS CO., LTD. |
10970168 | Adjusting dispersed storage error encoding parameters based on path performance | PURE STORAGE, INC. |
10970170 | Shared parity protection | MICRON TECHNOLOGY, INC. |
10970172 | Method to recover metadata in a content aware storage system | EMC IP HOLDING COMPANY LLC |
10970173 | Logging process in a data storage system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10970175 | Flexible per-request data durability in databases and other data stores | SAP SE |
10970181 | Creating distributed storage during partitions | EMC IP HOLDING COMPANY LLC |
10970202 | Managing input/output (‘I/O’) requests in a storage system that includes multiple types of storage devices | PURE STORAGE, INC. |
10970203 | Methods and apparatus for compressed and compacted virtual memory | APPLE INC. |
10970204 | Reducing read-write interference by adaptive scheduling in NAND flash SSDs | SAMSUNG ELECTRONICS CO., LTD. |
10970208 | Memory system and operating method thereof | SK HYNIX INC. |
10970212 | Method and system for facilitating a distributed storage system with a total cost of ownership reduction for multiple available zones | ALIBABA GROUP HOLDING LIMITED |
10970219 | Host cache coherency when modifying data | EMC IP HOLDING COMPANY LLC |
10970220 | Tags and data for caches | RAMBUS INC. |
10970221 | Optimizing space utilization by retaining metadata in cache | EMC IP HOLDING COMPANY LLC |
10970225 | Apparatus and method for handling cache maintenance operations | ARM LIMITED |
10970226 | Method for performing access management in a memory device, associated memory device and controller thereof, and associated electronic device | -- |
10970228 | Mapping table compression using a run length encoding algorithm | MICRON TECHNOLOGY, INC. |
10970230 | Memory system and operating method thereof | SK HYNIX INC. |
10970258 | Managing container-image layers | RED HAT, INC. |
10970261 | System and method for data quality monitors | PALANTIR TECHNOLOGIES INC. |
10970299 | Client side system and method for search backed calendar user interface | ASANA, INC. |
10970329 | Associating a graphical element to media content item collections | SNAP INC. |
10970330 | Method of searching images using rotational gesture input | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10970345 | Computer-implemented method of carrying out a search for information available over a network | PUBSONIC, INC. |
10970359 | Web page distributing server, web page distributing method, and storage medium | RAKUTEN, INC. |
10970373 | Mobile terminal and method for controlling the same | LG ELECTRONICS INC. |
10970374 | User identification and authentication with neuromuscular signatures | FACEBOOK TECHNOLOGIES, LLC |
10970375 | Privacy preserving biometric signature generation | UNKNOT.ID INC. |
10970379 | System and method for accessing secured data | -- |
10970405 | Method and electronic device for managing functionality of applications | SAMSUNG ELECTRONICS CO., LTD. |
10970425 | Object detection and tracking | SEIKO EPSON CORPORATION |
10970460 | Information processing apparatus, method of displaying image, storage medium, and system | RICOH COMPANY, LTD. |
10970462 | Systems and methods of providing enhanced product visualization on a graphical display | LOOP COMMERCE, INC. |
10970468 | Systems and methods for creating custom actions | SALESFORCE.COM, INC. |
10970473 | Formatting document objects by visual suggestions | MICROSOFT TECHNOLOGY LICENSING, LLC |
10970476 | Augmenting digital ink strokes | MICROSOFT TECHNOLOGY LICENSING, LLC |
10970478 | Tabular data analysis method, recording medium storing tabular data analysis program, and information processing apparatus | FUJITSU LIMITED |
10970480 | Method and system for content management for resume generation | HIVE DIVERSITY INC. |
10970499 | Dynamically changing a tag's displayed content based on the type of customer interaction with an item | SENSORMATIC ELECTRONICS, LLC |
10970510 | Sensor and sensor-equipped display device | JAPAN DISPLAY INC. |
10970514 | Compensation structure and driving method thereof, display panel, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10970525 | Systems and methods for user detection and recognition | TINOQ INC. |
10970538 | Dynamic brightness and resolution control in virtual environments | INTEL CORPORATION |
10970545 | Generating and surfacing augmented reality signals for associated physical items | AMAZON TECHNOLOGIES, INC. |
10970546 | Method and apparatus for providing information regarding virtual reality image | SAMSUNG ELECTRONICS CO., LTD. |
10970639 | Cognitive robotics analyzer | ACCENTURE GLOBAL SOLUTIONS LIMITED |
10970646 | Action suggestions for user-selected content | GOOGLE LLC |
10970664 | Impairment detection system and method | PREDICTIVE SAFETY SRP, INC. |
10970678 | Conference information accumulating apparatus, method, and computer program product | KABUSHIKI KAISHA TOSHIBA |
10970679 | Presenting project data managed by a content management system | DROPBOX, INC. |
10970696 | Techniques to provide physical transaction card capabilities for a mobile device | CAPITAL ONE SERVICES, LLC |
10970704 | Mobile terminal and method for operating same | LG ELECTRONICS INC. |
10970707 | Connected payment card systems and methods | WELLS FARGO BANK, N.A. |
10970755 | System, method, and computer program for providing a wish list user interface within a web browser that alerts users to changes in multifactor-based prices | EBATES PERFORMANCE MARKETING, INC. |
10970762 | Systems and methods for facilitating electronic commerce over a network | EBAY INC. |
10970763 | Matching engines and graphical user interfaces for partner recommendations and lead sharing | WORLDPAY, LLC |
10970799 | Distributed ordering scheme in order management system | TOSHIBA TEC KABUSHIKI KAISHA |
10970811 | Axis based compression for remote rendering | FACEBOOK TECHNOLOGIES, LLC |
10970849 | Pose estimation and body tracking using an artificial neural network | DISNEY ENTERPRISES, INC. |
10970867 | Augmented reality digital content search and sizing techniques | EBAY INC. |
10970876 | Methods and apparatus for image locating relative to the global structure | PANTON, INC. |
10970883 | Augmented reality system and method of displaying an augmented reality image | AUGMENTI AS |
10970888 | Information processing device, information processing method and program | SONY CORPORATION |
10970895 | Intelligent and context aware reading systems | MASSACHUSETTS MUTUAL LIFE INSURANCE COMPANY |
10970897 | Using augmented reality for accessing legacy transaction terminals | PAYPAL, INC. |
10970899 | Augmented reality display for a vehicle | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10970902 | Allocating and extrapolating data for augmented reality for 6G or other next generation network | AT&T INTELLECTUAL PROPERTY I, L.P. |
10970904 | Interface layout using relative positioning | TWITCH INTERACTIVE, INC. |
10970909 | Method and apparatus for eye movement synthesis | BEIHANG UNIVERSITY |
10970910 | Animation of concepts in printed materials | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10970915 | Virtual viewpoint setting apparatus that sets a virtual viewpoint according to a determined common image capturing area of a plurality of image capturing apparatuses, and related setting method and storage medium | CANON KABUSHIKI KAISHA |
10970922 | Generating a 3D model of a fingertip for visual touch detection | APPLE INC. |
10970930 | Alignment and concurrent presentation of guide device video and enhancements | AMAZON TECHNOLOGIES, INC. |
10970931 | Method for transmitting virtual reality image created based on image direction data, and computer readable medium storing program using the same | CLICKED INC. |
10970932 | Provision of virtual reality content | NOKIA TECHNOLOGIES OY |
10970933 | Device, system and method for embedding one or more attributes in a graphical object | -- |
10970935 | Body pose message system | -- |
10970936 | Use of neuromuscular signals to provide enhanced interactions with physical objects in an augmented reality environment | FACEBOOK TECHNOLOGIES, LLC |
10970937 | Technologies for virtual attribute assignment referencing real objects | INTEL CORPORATION |
10970957 | Systems and methods for simulating player behavior using one or more bots during a wagering game | ARISTOCRAT TECHNOLOGIES AUSTRALIA PTY LTD. |
10970963 | Coin operated entertainment system | TOUCHTUNES MUSIC CORPORATION |
10970967 | Electronic voucher ticket system | -- |
10970976 | End user protection against ATM keypad overlay | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10970998 | Systems, methods, and devices for remotely controlling functionalities of vehicles | FORD GLOBAL TECHNOLOGIES, LLC |
10971021 | Comprehensive flight planning tool for a mobile device | AIRCRAFT OWNERS AND PILOTS ASSOCIATION |
10971025 | Information display apparatus, information display terminal, method of controlling information display apparatus, method of controlling information display terminal, and computer readable recording medium | CASIO COMPUTER CO., LTD. |
10971030 | Remote physical training | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10971049 | Display device, interface unit and display system | LG DISPLAY CO., LTD. |
10971061 | Control scheme for a scanning display | FACEBOOK TECHNOLOGIES, LLC |
10971067 | AMOLED pixel driving circuit, driving method and terminal | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10971096 | Display device | LG DISPLAY CO., LTD. |
10971113 | Display system, electronic device, and display method | SEIKO EPSON CORPORATION |
10971114 | Dynamic resolution scaling | DELL PRODUCTS L.P. |
10971115 | Foveated rendering system and method | SONY INTERACTIVE ENTERTAINMENT INC. |
10971116 | Display device, control method for placement of a virtual image on a projection surface of a vehicle, and storage medium | HONDA MOTOR CO., LTD. |
10971123 | Music composition tools on a single pane-of-glass | VERTICAL CRAFT, LLC |
10971138 | Break state detection for reduced capability devices | SINCLAIR BROADCAST GROUP, INC. |
10971139 | Voice control of a media playback system | SONOS, INC. |
10971143 | Input device, electronic device, system comprising the same and control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10971145 | Speech interaction feedback method for smart TV, system and computer readable medium | BAIDU ONLINE NETWORK TECHNOLOGY (BEIJING) CO., LTD. |
10971147 | Cognitive intervention for voice recognition failure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10971149 | Voice interaction system for interaction with a user by voice, voice interaction method, and program | TOYOTA JIDOSHA KABUSHIKI KAISHA |
10971151 | Systems, methods, and storage media for performing actions in response to a determined spoken command of a user | SUKI AI, INC. |
10971152 | Imaging control method and apparatus, control device, and imaging device | SZ DJI TECHNOLOGY CO., LTD. |
10971154 | Application processor including low power voice trigger system with direct path for barge-in, electronic device including the same and method of operating the same | SAMSUNG ELECTRONICS CO., LTD. |
10971167 | Personal audio assistant device and method | STATON TECHIYA, LLC |
10971171 | Smartphone-based methods and systems | DIGIMARC CORPORATION |
10971174 | Information processing apparatus, information processing method, and non-transitory computer readable recording medium | OLYMPUS CORPORATION |
10971185 | Management of media content playback | SONOS, INC. |
10971191 | Coordinated audiovisual montage from selected crowd-sourced content with alignment to audio baseline | -- |
10971200 | Semiconductor circuit and operating method for the same | -- |
10971232 | Nonvolatile memory device and program method of the same | SAMSUNG ELECTRONICS CO., LTD. |
10971233 | Read window budget based dynamic program step characteristic adjustment | MICRON TECHNOLOGY, INC. |
10971241 | Performance based method and system for patrolling read disturb errors in a memory unit | TOSHIBA MEMORY CORPORATION |
10971246 | Performing error correction in computer memory | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10971248 | BamBam: parallel comparative analysis of high-throughput sequencing data | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
10971272 | Method and apparatus for evaluating a heart patient | -- |
10971552 | Display device | SAMSUNG DISPLAY CO., LTD. |
10971561 | OLED display panel and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10971571 | Display device for preventing arcing and method of manufacturing the same | LG DISPLAY CO., LTD. |
10971575 | Display device having data lines spaced apart from each other by different distances | SAMSUNG DISPLAY CO., LTD. |
10972100 | Dial device | -- |
10972125 | Storage access interface to an encoded storage system | ANACODE LABS, INC. |
10972206 | Systems and methods for generating playlist for a vehicle | ROVI GUIDES, INC. |
10972361 | System and method for remote hardware support using augmented reality and available sensor data | DELL PRODUCTS L.P. |
10972376 | Distributed storage path configuration | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10972414 | Electronic device and method for image control thereof | SAMSUNG ELECTRONICS CO., LTD. |
10972511 | Streaming relay for digital signage | ADOBE INC. |
10972518 | Technologies for audiovisual communication using interestingness algorithms | INTEL CORPORATION |
10972523 | Automatic session establishment in peer-to-peer communication | SOCOCO, LLC |
10972528 | Methods and systems for accessing third-party services within applications | FACEBOOK, INC. |
10972540 | Requesting storage performance models for a configuration pattern of storage resources to deploy at a client computing environment | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10972567 | Multi-dimensional tagging namespace for cloud resource management | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10972578 | Recommending media content to a user based on information associated with a referral source | GOOGLE LLC |
10972597 | Managing executable component groups from subset of user executable components | T-MOBILE USA, INC. |
10972600 | Displaying relevant user interface objects | APPLE INC. |
10972601 | Systems and methods for controlling access to a computing device | CITRIX SYSTEMS, INC. |
10972618 | Image processing device, image processing system, and control method of image processing device | KABUSHIKI KAISHA TOSHIBA |
10972619 | Display apparatus for displaying pop-up window at appropriate display position on screen of display device, and computer-readable non-transitory recording medium storing display control program | KYOCERA DOCUMENT SOLUTIONS INC. |
10972622 | Print control device and program | KONICA MINOLTA, INC. |
10972653 | Mobile terminal and method of controlling auto focusing of camera on object in preview image at user selected position on touch screen | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
10972654 | Controlling image capturing setting of camera based on direction objected is dragged along touch screen | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
10972658 | Image capture eyewear with auto-send | SNAP INC. |
10972668 | Display device and control method for display device | SEIKO EPSON CORPORATION |
10972670 | Content display method and electronic device for implementing same | SAMSUNG ELECTRONICS CO., LTD. |
10972674 | Electronic apparatus | CANON KABUSHIKI KAISHA |
10972680 | Theme-based augmentation of photorepresentative view | MICROSOFT TECHNOLOGY LICENSING, LLC |
10972684 | Sparse lock-in pixels for high ambient controller tracking | FACEBOOK TECHNOLOGIES, LLC |
10972697 | Projection system | LENOVO (SINGAPORE) PTE. LTD. |
10972700 | Video call method and video call mediating apparatus | HYPERCONNECT, INC. |
10972721 | Apparatus and method for multi configuration near eye display performance characterization | GAMMA SCIENTIFIC INC. |
10972740 | Method for bandwidth reduction when streaming large format multi-frame image data | FORCEPOINT, LLC |
10972787 | Transmission method, reception method, transmitting device, and receiving device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10972798 | Display method and device for attached media information | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
10972812 | Automatically and programmatically generating crowdsourced trailers | ROKU, INC. |
10972854 | Method for transmitting audio signal and outputting received audio signal in multimedia communication between terminal devices, and terminal device for performing same | SAMSUNG ELECTRONICS CO., LTD. |
10972857 | Directional audio selection | BOSE CORPORATION |
10972914 | Image sharing method and system, and electronic device | HUAWEI TECHNOLOGIES CO., LTD. |
10973058 | System and method for gesture sensing | INFINEON TECHNOLOGIES AG |
10973097 | Systems, devices, and methods for power pulse timing for a light fixture | ELECTRONIC THEATRE CONTROLS, INC. |
10973118 | Flexible conductive film, its manufacturing method, flexible touch screen and flexible display panel | BOE TECHNOLOGY GROUP CO., LTD. |
10973144 | Electronic device | -- |
10973424 | Charging method using external electrode switching between biometric sensor and charging circuit, and electronic device using the same | SAMSUNG ELECTRONICS CO., LTD. |
10973459 | Head-mounted apparatus | SONY INTERACTIVE ENTERTAINMENT INC. |
10973460 | Wearable article for determining a task | MOTOROLA MOBILITY LLC |
10973486 | Systems and methods for rapid neural network-based image segmentation and radiopharmaceutical uptake determination | PROGENICS PHARMACEUTICALS, INC. |
10973580 | Method and system for planning and performing arthroplasty procedures using motion-capture data | BIOMET MANUFACTURING, LLC |
10973591 | Operating apparatus and operating method for operating a medical device | SIEMENS HEALTHCARE GMBH |
10974131 | Video game processing apparatus and video game processing program | KABUSHIKI KAISHA SQUARE ENIX |
10974136 | Systems and methods for using reduced hops to generate an augmented virtual reality scene within a head mounted system | SONY INTERACTIVE ENTERTAINMENT LLC |
10974138 | Haptic surround functionality | IMMERSION CORPORATION |
10974148 | Information processing apparatus and user guide presentation method | SONY INTERACTIVE ENTERTAINMENT INC. |
10974149 | Controlling character movement in a video-game | ELECTRONIC ARTS INC. |
10974386 | Robot simulation apparatus and robot simulation method | KEYENCE CORPORATION |
10974482 | Laminated film, production method thereof, polarizing plate, liquid crystal panel, liquid crystal display, and touch panel | FUJIFILM CORPORATION |
10974732 | System, method, and computer-readable storage medium for traffic intersection navigation | TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC. |
10975510 | Consumer appliance and user interface having one or more touch sensors | HAIER US APPLIANCE SOLUTIONS, INC. |
10975542 | Paving-related measuring device incorporating a computer device and communication element therebetween and associated method | TROXLER ELECTRONIC LABORATORIES, INC. |
10975925 | Haptic operating device with a rotating element and method for operating electronic equipment with the haptic operating device | INVENTUS ENGINEERING GMBH |
10975926 | Haptic operating device with a rotating element and method for operating electronic equipment with the haptic operating device | INVENTUS ENGINEERING GMBH |
10976147 | Thickness measurement device and methods of use | AMERICAN UNIVERSITY OF BEIRUT |
10976163 | Robust vision-inertial pedestrian tracking with heading auto-alignment | THALES DEFENSE & SECURITY, INC. |
10976168 | Frequency based transit trip characterizations | APPLE INC. |
10976173 | Distributed data processing systems for processing remotely captured sensor data | ALLSTATE INSURANCE COMPANY |
10976175 | Force sense presentation device, force sense presentation system, and force sense presentation method | SONY CORPORATION |
10976179 | Geolocating contents of a video with device orientation, and application thereof | JETSY CORP. |
10976207 | Sensors with elastomeric foams and uses thereof | CORNELL UNIVERSITY |
10976278 | Modifying functionality of an electronic device during a moisture exposure event | APPLE INC. |
10976337 | Context awareness of a smart device through sensing transient and continuous events | STMICROELECTRONICS, INC. |
10976421 | Interface for improved high definition map generation | BEIJING DIDI INFINITY TECHNOLOGY AND DEVELOPMENT CO., LTD. |
10976543 | Personalized equalization of audio output using visual markers for scale and orientation disambiguation | FACEBOOK TECHNOLOGIES, LLC |
10976559 | Content presentation in head worn computing | MENTOR ACQUISITION ONE, LLC |
10976560 | Navigation device, illumination system and light beam shaping optics thereof | -- |
10976581 | Display device | SHARP KABUSHIKI KAISHA |
10976584 | Input device | SHARP KABUSHIKI KAISHA |
10976591 | Color filter substrate and method for preparing the same, and touch screen | BOE TECHNOLOGY GROUP CO., LTD. |
10976623 | Array substrate and display device | XIAMEN TIANMA MICRO-ELECTRONICS CO., LTD. |
10976632 | Diffractive devices based on cholesteric liquid crystal | MAGIC LEAP, INC. |
10976648 | Information processing apparatus, information processing method, and program | SONY MOBILE COMMUNICATIONS INC. |
10976704 | Fingerprint authentication during holographic object display | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10976726 | User interface for tool configuration and data capture | MILWAUKEE ELECTRIC TOOL CORPORATION |
10976733 | Interfaces for remote trailer maneuver assist | FORD GLOBAL TECHNOLOGIES, LLC |
10976734 | Augmented reality (AR) display of pipe inspection data | REDZONE ROBOTICS, INC. |
10976769 | Method and system for time synchronization among systems using parallel sysplex links | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10976773 | User terminal device and displaying method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10976784 | Mobile device user interface change based on motion | COX COMMUNICATIONS, INC. |
10976796 | Dynamic range enhancement for self-capacitance measurement | QUALCOMM INCORPORATED |
10976799 | Extending electronic device sensors through device mounts | MOTOROLA MOBILITY LLC |
10976800 | Electronic device capable of reducing power consumption and method for reducing power consumption of electronic device | HUAWEI TECHNOLOGIES CO., LTD. |
10976803 | Electronic device displays an image of an obstructed target | -- |
10976804 | Pointer-based interaction with a virtual surface using a peripheral device in artificial reality environments | FACEBOOK TECHNOLOGIES, LLC |
10976805 | Controlling the provision of a warning in a virtual environment using a virtual reality system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10976806 | Methods and systems for immersive reality in a medical environment | GE PRECISION HEALTHCARE LLC |
10976807 | Distributed artificial reality system with contextualized hand tracking | FACEBOOK TECHNOLOGIES, LLC |
10976808 | Body position sensitive virtual reality | SAMSUNG ELECTRONICS CO., LTD. |
10976809 | Interaction method for virtual reality | -- |
10976810 | Sharing input and output devices in networked systems | TEXAS INSTRUMENTS INCORPORATED |
10976811 | Eye-tracking with MEMS scanning and reflected light | MICROSOFT TECHNOLOGY LICENSING, LLC |
10976812 | Information processing device and information processing method | SONY CORPORATION |
10976813 | Interactive motion-based eye tracking calibration | APPLE INC. |
10976814 | Method and system for eye tracking with glint space recalibration on wearable heads-up display | GOOGLE LLC |
10976815 | Context aware selective backlighting techniques | INTEL CORPORATION |
10976816 | Using eye tracking to hide virtual reality scene changes in plain sight | MICROSOFT TECHNOLOGY LICENSING, LLC |
10976817 | Method and device for eye tracking with content-embedded glints | APPLE INC. |
10976818 | Interactive attraction system and method for object and user association | UNIVERSAL CITY STUDIOS LLC |
10976819 | Haptic feedback for non-touch surface interaction | MICROSOFT TECHNOLOGY LICENSING, LLC |
10976820 | Natural interactions with virtual objects and data through touch | MICROSOFT TECHNOLOGY LICENSING, LLC |
10976821 | Information processing device, information processing method, and program for controlling output of a tactile stimulus to a plurality of tactile stimulus units | SONY CORPORATION |
10976822 | Systems, methods, and apparatuses for implementing increased human perception of haptic feedback systems | INTEL CORPORATION |
10976823 | Electronic device and control method with feedback to perceive presence and activation of an object | TIANMA JAPAN, LTD. |
10976824 | Reluctance haptic engine for an electronic device | APPLE INC. |
10976825 | Methods and apparatuses for controlling operation of a vibrational output system and/or operation of an input sensor system | CIRRUS LOGIC, INC. |
10976826 | Virtual reality garment capable of jamming user movement | FACEBOOK TECHNOLOGIES, LLC |
10976827 | Input device and method of operating an input device | INVENTUS ENGINEERING GMBH |
10976828 | Information processing apparatus and information processing method to reduce user burden | SONY CORPORATION |
10976829 | Systems and methods for displaying augmented-reality objects | FACEBOOK, INC. |
10976830 | Unified virtual reality platform | -- |
10976831 | Interactive system and device with gesture recognition function | -- |
10976832 | Electronic device having multi-functional human interface | INNOPRESSO, INC. |
10976833 | Non-qwerty keyboard | -- |
10976834 | Light integrated sensing membrane | APPLE INC. |
10976835 | Operation input device | MITSUBISHI ELECTRIC CORPORATION |
10976836 | Head-mounted display apparatus and method of controlling head-mounted display apparatus | SEIKO EPSON CORPORATION |
10976837 | User input passive device for use with an interactive display device | SIGMASENSE, LLC. |
10976838 | Stylus and color information transmitting method | WACOM CO., LTD. |
10976839 | Stylus and sensor controller | WACOM CO., LTD. |
10976840 | Multi-electrode active stylus tip | WACOM CO., LTD. |
10976841 | Human machine interface system | KORDTECH PTY LTD |
10976842 | Touch screen control panel and kitchen appliance comprising such a control panel | ELECTROLUX APPLIANCES AKTIEBOLAG |
10976843 | Array substrate and touch display device | BOE TECHNOLOGY GROUP CO., LTD. |
10976844 | Touch sensor and manufacturing method thereof | DONGWOO FINE-CHEM. CO, LTD. |
10976845 | Array substrate, touch display panel and touch display device | XIAMEN TIANMA MICRO-ELECTRONICS CO., LTD. |
10976846 | Touch screen liquid crystal display | APPLE INC. |
10976847 | Touch sensor and display device having the same | SAMSUNG DISPLAY CO., LTD. |
10976848 | Display apparatus with touch sensor | LG DISPLAY CO., LTD. |
10976849 | Touch sensor and display device including the same | SAMSUNG DISPLAY CO., LTD. |
10976850 | Touch panel and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10976851 | Touch display panel, method for driving the same, and display device | CHONGQING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10976852 | Touch panel, manufacturing method thereof, and display device | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
10976853 | Display device | SAMSUNG DISPLAY CO., LTD. |
10976854 | Force touch display panel, detection method thereof, and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
10976855 | Flexible touch sensing assembly for detecting proximity of user to a controller device | FACEBOOK TECHNOLOGIES, LLC |
10976856 | Swipe-based confirmation for touch sensitive devices | BARNES & NOBLE COLLEGE BOOKSELLERS, LLC |
10976857 | Method and apparatus for driving touch display driver integrated circuit of touch-sensitive display panel | -- |
10976858 | Display apparatus, proximity detection method, and detection device | JAPAN DISPLAY INC. |
10976859 | Touch screen having sensing electrode having electrically separated first and second regions | SAMSUNG DISPLAY CO., LTD. |
10976860 | Electronic device | LG ELECTRONICS INC. |
10976861 | Detection device and display device | JAPAN DISPLAY INC. |
10976862 | Touch driving circuit driving touch electrode, touch controller controlling touch driving circuit, and touch display device including touch driving circuit and touch controller | LG DISPLAY CO., LTD. |
10976863 | Calibration of inertial measurement units in alignment with a skeleton model to control a computer system based on determination of orientation of an inertial measurement unit from an image of a portion of a user | FINCH TECHNOLOGIES LTD. |
10976864 | Control method and control device for touch sensor panel | HIDEEP INC. |
10976865 | Touch display panel, touch display device, and driving method thereof | LG DISPLAY CO., LTD. |
10976866 | Shift-register circuit, gate drive circuit, liquid crystal display and touch panel | HEFEI BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10976867 | System and method providing pen up positioning assist for a sensor surface | WACOM CO., LTD. |
10976868 | Detection device having an optical detector with a protrusion that protrudes from a display | FUJI XEROX CO., LTD. |
10976869 | Display device | LG DISPLAY CO., LTD. |
10976870 | Display device with inorganic film and method of fabricating the same | LG DISPLAY CO., LTD. |
10976871 | Touch display device and panel including mesh type electrodes | LG DISPLAY CO., LTD. |
10976872 | Display panel, input/output device, data processing device, and method for manufacturing display panel | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10976873 | Display device | SAMSUNG DISPLAY CO., LTD. |
10976874 | Control circuit and operating system utilizing the same | -- |
10976875 | Fingerprint recognizing sensor and touch screen device including the same | SAMSUNG ELECTRONICS CO., LTD. |
10976876 | Conductive guides for proximity sensing devices | SYNAPTICS INCORPORATED |
10976877 | Display device having touch sensor | LG DISPLAY CO., LTD. |
10976878 | Display device | JAPAN DISPLAY INC. |
10976879 | Method of manufacturing a touch panel and touch panel | BOE TECHNOLOGY GROUP CO., LTD. |
10976880 | Touch device | -- |
10976881 | Complex transparent touch sensor | -- |
10976882 | Electronic device including pressure sensor | SAMSUNG ELECTRONICS CO., LTD. |
10976883 | Touch substrate and touch display device | CHENGDU BOE OPTELECTRONICS TECHNOLOGY CO., LTD. |
10976884 | Touch panel | FUJITSU COMPONENT LIMITED |
10976885 | Systems and methods for electronic signature | ZILLOW, INC. |
10976886 | Display apparatus and displaying method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10976887 | Method and apparatus for split-window display | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
10976888 | Automatically generating column layouts in electronic documents | ATLASSIAN PTY LTD. |
10976889 | Systems and methods to generate overlays on a media library calendar | MYLIO, LLC |
10976890 | Intelligent command batching in an augmented and/or virtual reality environment | GOOGLE LLC |
10976891 | Remote device management interface | HAND HELD PRODUCTS, INC. |
10976892 | Long click display of a context menu | PALANTIR TECHNOLOGIES INC. |
10976893 | Method for displaying apparatus information, and display device | SEW-EURODRIVE GMBH & CO. KG |
10976894 | Information processing apparatus, information processing method, and non-transitory computer readable medium | FUJI XEROX CO., LTD. |
10976895 | Electronic apparatus and controlling method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10976896 | Queuing files to be sent to an application | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10976898 | Approach for advanced user navigation | HONEYWELL INTERNATIONAL INC. |
10976899 | Method for automatically applying page labels using extracted label contents from selected pages | BLUEBEAM, INC. |
10976900 | Data selection in a predetermined direction | SONY CORPORATION |
10976901 | Method and system to share information | -- |
10976902 | Using reference point to perform enlargement and reduction of displayed content | KYOCERA DOCUMENT SOLUTIONS INC. |
10976903 | Industrial asset intelligence | GE INSPECTION TECHNOLOGIES, LP |
10976904 | Graphical chatbot interface facilitating user-chatbot interaction | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10976905 | System for rendering virtual objects and a method thereof | SIGNIFY HOLDING B.V. |
10976906 | Detection and visualization of a formation of a tangible interface object | TANGIBLE PLAY, INC. |
10976907 | Declarative external data source importation, exportation, and metadata reflection utilizing http and HDFS protocols | ORACLE INTERNATIONAL CORPORATION |
10976908 | EMS decision support interface, event history, and related tools | ZOLL MEDICAL CORPORATION |
10976909 | Operating module and methods for dynamic adapatation of a user interface for a machine | KRONES AG |
10976910 | System with breathing apparatus and touch screen | MAQUET CRITICAL CARE AB |
10976911 | Systems and visualization interfaces for orbital paths and path parameters of space objects | EXOANALYTIC SOLUTIONS, INC. |
10976912 | Information processing device, information processing method, and recording medium | SONY CORPORATION |
10976913 | Enabling undo on scrubber/seekbar UI widgets | DISNEY ENTERPRISES, INC. |
10976914 | Direct manipulation interface for data analysis | MICROSOFT TECHNOLOGY LICENSING, LLC |
10976915 | Capturing and sending multimedia as electronic messages | FACEBOOK, INC. |
10976916 | Electronic device and method for switching and aligning applications thereof | SAMSUNG ELECTRONICS CO., LTD. |
10976917 | Devices and methods for interacting with an application switching user interface | APPLE INC. |
10976918 | System and method of guiding handwriting diagram input | MYSCRIPT |
10976919 | Hybrid gestures for visualizations | SAP SE |
10976920 | Techniques for image-based search using touch controls | INTEL CORPORATION |
10976921 | Method of inputting user command and electronic apparatus using the same | SAMSUNG ELECTRONICS CO., LTD. |
10976922 | Data entry systems | -- |
10976923 | Enhanced virtual keyboard | HYPERKEY, INC. |
10976924 | Application interface display method and terminal device | HUAWEI TECHNOLOGIES CO., LTD. |
10976925 | Notification shade with animated reveal of notification indications | GOOGLE LLC |
10976926 | Virtual smart phone | KCG TECHNOLOGIES LLC |
10976927 | Push button sheet and touch panel | FANUC CORPORATION |
10976928 | Remove-on-delete technologies for solid state drive optimization | MICROSOFT TECHNOLOGY LICENSING, LLC |
10976929 | Cognitively managed storage volumes for container environments | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10976930 | Memory device and host device | TOSHIBA MEMORY CORPORATION |
10976931 | Automatic pinning of units of memory | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10976932 | Method for providing a client device access to a plurality of remote storage devices | EXCELERO STORAGE LTD. |
10976933 | Storage device, storage system and method of operating the same | SAMSUNG ELECTRONICS CO., LTD. |
10976934 | Prioritizing pages to transfer for memory sharing | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10976935 | Method and apparatus for assigning an allocated workload in a data center having multiple storage systems | EMC IP HOLDING COMPANY LLC |
10976936 | Sensing operations in memory | MICRON TECHNOLOGY, INC. |
10976937 | Disparate local and remote replication technologies configured for the same device | EMC IP HOLDING COMPANY LLC |
10976938 | Block map cache | ROBIN SYSTEMS, INC. |
10976939 | Address/command chip synchronized autonomous data chip address sequencer for a distributed buffer memory system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10976940 | Copy source to target management in a data storage system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10976941 | Validation of storage volumes that are in a peer to peer remote copy relationship | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10976942 | Versioning a configuration of data storage equipment | EMC IP HOLDING COMPANY LLC |
10976943 | Apparatuses and methods to change data category values | MICRON TECHNOLOGY, INC. |
10976944 | Data storage device using general purpose input/output (GPIO) and electronic fuse (eFUSE) circuit for configuration of read only memory (ROM) code | -- |
10976945 | Memory devices with multiple sets of latencies and methods for operating the same | MICRON TECHNOLOGY, INC. |
10976946 | Method and computer system for managing blocks | HITACHI, LTD. |
10976947 | Dynamically selecting segment heights in a heterogeneous RAID group | PURE STORAGE, INC. |
10976948 | Cluster expansion mechanism | PURE STORAGE, INC. |
10976949 | Archiving of streaming data | AMAZON TECHNOLOGIES, INC. |
10976950 | Distributed dataset modification, retention, and replication | TWITTER, INC. |
10976951 | Technology for governance of data retention and transfer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10976952 | System and method for orchestrated application protection | EMC IP HOLDING COMPANY LLC |
10976953 | Optimized data replication in multi-tier storage environment | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10976954 | Method, device, and computer readable storage medium for managing storage system | EMC IP HOLDING COMPANY LLC |
10976955 | Semiconductor device for improving code processing speed using faster clock signal | SK HYNIX INC. |
10976956 | Non-volatile memory persistence method and computing device | HUAWEI TECHNOLOGIES CO., LTD. |
10976957 | Reducing multi-stream data write collision in solid-state data storage devices | SCALEFLUX, INC. |
10976958 | Method for controlling storage device | -- |
10976959 | Method and system for accessing virtual machine state while virtual machine restoration is underway | EMC IP HOLDING COMPANY LLC |
10976960 | Methods of synchronizing memory operations and memory systems employing the same | MICRON TECHNOLOGY, INC. |
10976961 | Device, system and method to detect an uninitialized memory read | INTEL CORPORATION |
10976962 | Servicing I/O operations in a cloud-based storage system | PURE STORAGE, INC. |
10976963 | Probabilistically selecting storage units based on latency or throughput in a dispersed storage network | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10976964 | Storage system and method for hit-rate-score-based selective prediction of future random read commands | WESTERN DIGITAL TECHNOLOGIES, INC. |
10976965 | Optimization of in-memory processing of data represented by an acyclic graph so that the removal and re-materialization of data in selected nodes is minimized | FIRST CAPITOL CONSULTING, INC. |
10976966 | Implementing coherency and page cache support in a distributed way for files | WEKA.IO LTD. |
10976967 | Method, apparatus and computer program product for managing storage system and movement of data from source extent to free extent in destination storage, wherein selection of the destination storage is based on requests waiting to access source device, request waiting for access the destination storage device and workload of the source extent | EMC IP HOLDING COMPANY LLC |
10976968 | Information processing apparatus, method, and non-transitory computer readable recording medium that stores program | KYOCERA DOCUMENT SOLUTIONS INC. |
10976969 | Image forming apparatus having transfer order capability, system, control and method thereof | CANON KABUSHIKI KAISHA |
10976970 | Image forming apparatus with an improved image centering positioning system | KYOCERA DOCUMENT SOLUTIONS, INC. |
10976971 | Establishing wireless connection, by using terminal device, after determining which of external device or terminal device that communication device is to establish connection | BROTHER KOGYO KABUSHIKI KAISHA |
10976972 | System including information processing device, and multiple devices connected to information processing device via a network, and information processing device and non-transitory computer-readable recording medium for setting connection destination of information processing device | BROTHER KOGYO KABUSHIKI KAISHA |
10976973 | Apparatus and method for sharing a printable electronic document between users | XEROX CORPORATION |
10976974 | Defect size detection mechanism | RICOH COMPANY, LTD. |
10976975 | Information processing apparatus and control method | CANON KABUSHIKI KAISHA |
10976976 | Information processing apparatus, information processing system, and recording medium | RICOH COMPANY, LTD. |
10976977 | Apparatus and method for managing the printing of an electronic document having multiple page sizes | XEROX CORPORATION |
10976978 | Electrical measurement device and method | ROHDE & SCHWARZ GMBH & CO. KG |
10976979 | Social experiences in artificial reality environments | FACEBOOK TECHNOLOGIES, LLC |
10976980 | Method of maintaining accuracy in a 3D image formation system | JIANG CHANG, XINGCHEN LIU AND DAHOOO USA |
10976981 | Remote desktop exporting | VMWARE, INC. |
10976982 | Guided view mode for virtual reality | SAMSUNG ELECTRONICS CO., LTD. |
10976983 | Smart collaboration across multiple locations | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10976984 | Multi-group collaboration system and associated methods | T1V, INC. |
10976985 | Recording medium recording data display program, data display method, and electronic apparatus for displaying received data by delaying an adjustment time | FUJITSU LIMITED |
10976986 | System and method for forwarding an application user interface | BLACKBERRY LIMITED |
10976987 | Graphical user interface for content management | HEARTMEDIA MANAGEMENT SERVICES, INC. |
10976988 | Media content identification and playback | SPOTIFY AB |
10976989 | Spatial management of audio | APPLE INC. |
10976990 | Mechanism for retrieval of previously captured audio | APPLE INC. |
10976991 | Audio profile for personalized audio enhancement | FACEBOOK TECHNOLOGIES, LLC |
10976992 | Playback device mode based on device base | SONOS, INC. |
10976993 | Audio control system and audio control method | YAZAKI CORPORATION |
10976994 | Audio apparatus | YAMAHA CORPORATION |
10976995 | Media sharing community | RMZ DEVELOPMENT LLC |
10976996 | Grouping devices for voice control | AMAZON TECHNOLOGIES, INC. |
10976997 | Electronic device outputting hints in an offline state for providing service according to user context | SAMSUNG ELECTRONICS CO., LTD. |
10976998 | Information processing apparatus and information processing method for controlling a response to speech | SONY CORPORATION |
10976999 | Mixed reality sensor suite and interface for physical region enhancement | CHOSEN REALITIES, LLC |
10977043 | Transactional memory performance and footprint | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10977053 | Remote administration of initial computer operating system setup options | MICROSOFT TECHNOLOGY LICENSING, LLC |
10977058 | Generation of bots based on observed behavior | SAP SE |
10977069 | Systems and methods for performing virtual machine updates without rebuild of distributed databases thereon | CAPITAL ONE SERVICES, LLC |
10977072 | Dedicated distribution of computing resources in virtualized environments | AT&T INTELLECTUAL PROPERTY I, L.P. |
10977073 | Architectural data mover for RAID XOR acceleration in a virtualized storage appliance | DELL PRODUCTS L.P. |
10977081 | Context aware container management | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10977087 | Steal one-process many work-stealing | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10977095 | Side-by-side execution of same-type subsystems having a shared base operating system | MICROSOFT TECHNOLOGY LICENSING, LLC |
10977098 | Automatically deploying hardware accelerators based on requests from users | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10977102 | API adapter, API adapter creation method, and program | NIPPON TELEGRAPH AND TELEPHONE CORPORATION |
10977123 | Coordination protocol between dispersed storage processing units and rebuild modules | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10977124 | Distributed storage system, data storage method, and software program | HITACHI, LTD. |
10977125 | Adaptive usage of irregular code schemas based on specific system level triggers and policies | WESTERN DIGITAL TECHNOLOGIES, INC. |
10977127 | Concatenating data objects in a vast data storage network | PURE STORAGE, INC. |
10977130 | Method, apparatus and computer program product for managing raid storage in data storage systems | EMC IP HOLDING COMPANY LLC |
10977131 | Data storage composite layouts for data objects | SEAGATE TECHNOLOGY LLC |
10977142 | After swapping from a first storage to a second storage, mirroring data from the second storage to the first storage for data in the first storage that experienced data errors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10977143 | Mirrored write ahead logs for data storage system | VMWARE, INC. |
10977170 | Memory controller for performing unmap operation and memory system having the same | SK HYNIX INC. |
10977174 | Using a common pool of blocks for user data and a system data structure | MICRON TECHNOLOGY, INC. |
10977180 | Hit-based allocation of quotas of a cache space of a cache memory | -- |
10977186 | Last written page searching | MICRON TECHNOLOGY, INC. |
10977187 | Method for performing access management in a memory device, associated memory device and controller thereof, and associated electronic device | -- |
10977188 | Idealized nonvolatile or persistent memory based upon hierarchical address translation | RADIAN MEMORY SYSTEMS, INC. |
10977203 | Data transmission method and apparatus used in virtual switch technology | HUAWEI TECHNOLOGIES CO., LTD. |
10977212 | Data partitioning based on estimated growth | SAP SE |
10977214 | Document image security processing | NCR CORPORATION |
10977215 | System and method for storage optimization in a de-duplication system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10977216 | Processing device utilizing content-based signature prefix for efficient generation of deduplication estimate | EMC IP HOLDING COMPANY LLC |
10977220 | Systems and methods for automatically generating user interface elements for complex databases | NEW YORK |
10977222 | Mechanism for data schema consistency monitoring | SPLUNK INC. |
10977230 | Data information processing method and data storage system | ALIBABA GROUP HOLDING LIMITED |
10977231 | Predicting scale of data migration | COMMVAULT SYSTEMS, INC. |
10977265 | Path-based population visualization | DRUMWAVE INC. |
10977267 | User interface data sample transformer | PALANTIR TECHNOLOGIES INC. |
10977272 | System and method for generating data visualization | DARVIS, INC. |
10977286 | Graphical controls for selecting criteria based on fields present in event data | SPLUNK INC. |
10977287 | Automated storyboarding based on natural language processing and 2D/3D pre-visualization | DISNEY ENTERPRISES, INC. |
10977293 | Technology incident management platform | ROYAL BANK OF CANADA |
10977295 | Digital jukebox device with improved user interfaces, and associated methods | TOUCHTUNES MUSIC CORPORATION |
10977301 | Search apparatus, search system, and non-transitory computer readable medium | FUJI XEROX CO., LTD. |
10977311 | Dynamically modifying elements of user interface based on knowledge graph | SAMSUNG ELECTRONICS CO., LTD. |
10977316 | Pushing data visualizations to registered displays | SPLUNK INC. |
10977319 | Expandable service architecture with configurable dialogue manager | EBAY INC. |
10977326 | Accommodation search | CWT DIGITAL LTD |
10977335 | Systems and methods for online identity management | UNITED SERVICES AUTOMOBILE ASSOCIATION (USAA) |
10977343 | Display input device for receiving password input, information processing apparatus, display input method | KYOCERA DOCUMENT SOLUTIONS INC. |
10977347 | Device and method for authentication by a biometric sensor | MOTOROLA MOBILITY LLC |
10977350 | Contact information display method and device, and information display method and device | ALIBABA GROUP HOLDING LIMITED |
10977354 | Authentication device for virtual assistant systems | MASSACHUSETTS MUTUAL LIFE INSURANCE COMPANY |
10977357 | Method of device unlocking and device utilizing the same | NANNING FUGUI PRECISION INDUSTRIAL CO., LTD. |
10977358 | System and method for signature pathway authentication and identification | PASSTOUCH, LLC |
10977368 | Detecting malware based on memory allocation patterns | CA TECHNOLOGIES, INC. |
10977388 | Computer system of computer servers and dedicated computer clients specially programmed to generate synthetic non-reversible electronic data records based on real-time electronic querying and methods of use thereof | MDCLONE LTD. |
10977397 | Optimization of prototype and machine design within a 3D fluid modeling environment | ALTAIR ENGINEERING, INC. |
10977424 | Computing device and corresponding method for generating data representing text | GRACENOTE DIGITAL VENTURES, LLC |
10977428 | Content transformations | MICROSOFT TECHNOLOGY LICENSING, LLC |
10977433 | System and method for input data validation and conversion | ORACLE INTERNATIONAL CORPORATION |
10977434 | Database model which provides management of custom fields and methods and apparatus therfor | ASANA, INC. |
10977436 | Method and system for providing autofill of data | MICROSOFT TECHNOLOGY LICENSING, LLC |
10977438 | Latency reduction in collaborative presentation sharing environment | MICROSOFT TECHNOLOGY LICENSING, LLC |
10977440 | Multi-gesture text input prediction | GOOGLE LLC |
10977451 | Language translation system | -- |
10977470 | Touch-panel control apparatus and operation method thereof | -- |
10977473 | Touch display panel and touch display device with fingerprint identification function | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10977476 | Dermatoglyphics data acquisition device, acquisition method thereof and display device | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10977484 | System and method for smart presentation system | MICROSOFT TECHNOLOGY LICENSING, LLC |
10977488 | Line-of-sight direction calibration device, line-of-sight direction calibration method, and line-of-sight direction calibration program | MITSUBISHI ELECTRIC CORPORATION |
10977491 | Device for providing information related to object in image | SAMSUNG ELECTRONICS CO., LTD. |
10977496 | Virtualization of tangible interface objects | TANGIBLE PLAY, INC. |
10977506 | Apparatus for determining visual confirmation target | AISIN SEIKI KABUSHIKI KAISHA |
10977519 | Generating event definitions based on spatial and relational relationships | MICROSOFT TECHNOLOGY LICENSING, LLC |
10977569 | Visualization of anomalies in time series data | VMWARE, INC. |
10977590 | Computerized data processing systems and methods for generating graphical user interfaces | TELETRACKING TECHNOLOGIES, INC. |
10977591 | Work shift management device, work shift management method and computer-readable recording medium | CASIO COMPUTER CO., LTD. |
10977610 | Power tool communication system | MILWAUKEE ELECTRIC TOOL CORPORATION |
10977612 | Electronic inventory tracking system and associated user interfaces | COUPANG, CORP. |
10977617 | System and method for generating an interaction request | THE TORONTO-DOMINION BANK |
10977621 | Action-based to-do list | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10977637 | Payment terminal system and method of use | POYNT CO. |
10977668 | Management assistance device, management assistance method and computer-readable recording medium | CASIO COMPUTER CO., LTD. |
10977693 | Association of content identifier of audio-visual data with additional data through capture infrastructure | FREE STREAM MEDIA CORP. |
10977709 | Decision organizer | THE QUANTUM GROUP, INC. |
10977735 | Providing user interaction data associated with a property | STATE FARM MUTUAL AUTOMOBILE INSURANCE COMPANY |
10977743 | Computer implemented methods systems and articles of manufacture for instance and suggestion differentiation during preparation of electronic tax return | INTUIT INC. |
10977763 | Information processing device and image processing system | FUJI XEROX CO., LTD. |
10977764 | Viewport independent image coding and rendering | DOLBY LABORATORIES LICENSING CORPORATION |
10977774 | Information processing apparatus, information processing method, and program for estimating prediction accuracy | SONY CORPORATION |
10977787 | Feedback for multi-modality auto-registration | KONINKLIJKE PHILIPS N.V. |
10977815 | Structured light eye-tracking | FACEBOOK TECHNOLOGIES, LLC |
10977819 | Electronic device and method for reliability-based object recognition | SAMSUNG ELECTRONICS CO., LTD. |
10977820 | Personalized neural network for eye tracking | MAGIC LEAP, INC. |
10977836 | Color wheel interface | L'OREAL |
10977845 | Image processing apparatus and control method | CANON KABUSHIKI KAISHA |
10977848 | Composite image generating apparatus, composite image generating method, and program | FUJIFILM CORPORATION |
10977849 | Systems and methods for appearance mapping for compositing overlay graphics | DOLBY LABORATORIES LICENSING CORPORATION |
10977852 | VR playing method, VR playing device, and VR playing system | SHENZHEN SKYWORTH-RGB ELECTRONICS CO., LTD. |
10977863 | System and method for navigating a tomosynthesis stack using synthesized image data | HOLOGIC, INC. |
10977865 | Augmented reality in vehicle platforms | -- |
10977866 | Output of position information of a medical instrument | SIEMENS HEALTHCARE GMBH |
10977867 | Augmented reality-based aircraft cargo monitoring and control system | GOODRICH CORPORATION |
10977868 | Remote collaboration methods and systems | -- |
10977869 | Interactive method and augmented reality system | GUANGDONG VIRTUAL REALITY TECHNOLOGY CO., LTD. |
10977910 | Tactile outputs for input structures of electronic devices | APPLE INC. |
10977911 | Semantic framework for variable haptic output | APPLE INC. |
10977918 | Method and system for generating a smart time-lapse video clip | GOOGLE LLC |
10977927 | Emergency communication flow management and notification system | RAPIDSOS, INC. |
10977931 | Remote load control device capable of orientation detection | LUTRON TECHNOLOGY COMPANY LLC |
10977955 | Digital input device, digital correction device and distance learning system | WACOM CO., LTD. |
10977958 | Guidance display method | FANUC CORPORATION |
10977987 | Displays utilizing LEDs as sensing elements | SYNAPTICS INCORPORATED |
10977988 | Display device including power delivery network controller and display power management method using the same | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
10978018 | Virtual reality resource management for virtual reality head mounted display devices | SAMSUNG ELECTRONICS CO., LTD. |
10978019 | Head mounted display system switchable between a first-person perspective mode and a third-person perspective mode, related method and related non-transitory computer readable storage medium | -- |
10978021 | Portable terminal capable of controlling display brightness and method for controlling display brightness thereof | SAMSUNG ELECTRONICS CO., LTD. |
10978024 | Speed of service system | PILOT TRAVEL CENTERS, LLC |
10978032 | Attachment for mouth actuated touch screens | -- |
10978046 | System and method for customizing portable natural language processing interface for appliances | MIDEA GROUP CO., LTD. |
10978057 | Preventing unwanted activation of a device | COMCAST CABLE COMMUNICATIONS, LLC |
10978060 | Voice input command | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10978061 | Voice command processing without a wake word | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10978065 | Static analysis performing method based on voice information and device for the same | SURESOFT TECHNOLOGIES INC. |
10978067 | Home appliance, control system by voice recognition and operating method of the same | LG ELECTRONICS INC. |
10978068 | Method and apparatus for executing application on basis of voice commands | SAMSUNG ELECTRONICS CO., LTD. |
10978079 | Audio encoding and decoding using presentation transform parameters | DOLBY LABORATORIES LICENSING CORPORATION |
10978090 | Voice trigger for a digital assistant | APPLE INC. |
10978112 | Memory devices having special mode access | MICRON TECHNOLOGY, INC. |
10978118 | DDR SDRAM signal calibration device and method | -- |
10978160 | Mitigating grown bad blocks | SANDISK TECHNOLOGIES LLC |
10978169 | Pad detection through pattern analysis | XEROX CORPORATION |
10978187 | Automated clinical documentation system and method | NUANCE COMMUNICATIONS, INC. |
10978195 | Physical activity and workout monitor | APPLE INC. |
10978204 | Bar-type parameter adjustment elements | GAMBRO LUNDIA AB |
10978206 | Multi-action button for mobile devices | CERNER INNOVATION, INC. |
10978234 | Magnetic stack, multilayer, tunnel junction, memory point and sensor comprising such a stack | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10978260 | Base plate, key assembly, illuminated key input device and manufacturing method of base plate | LITE-ON ELECTRONICS (GUANGZHOU) LIMITED |
10978447 | Display device | SAMSUNG DISPLAY CO., LTD. |
10978512 | Electronic device and method for fabricating the same | SK HYNIX INC. |
10978517 | Display substrate having a filter conversion layer | BOE TECHNOLOGY GROUP CO., LTD. |
10978520 | Display device | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
10978521 | Display device | JAPAN DISPLAY INC. |
10978522 | Display device and method for manufacturing the same | LG DISPLAY CO., LTD. |
10978544 | Display panel having a touch sensing function and a display device having the same | SAMSUNG DISPLAY CO., LTD. |
10978666 | Electroluminescent device having window | SAMSUNG DISPLAY CO., LTD. |
10979047 | Touch panel switch device | FUTABA CORPORATION |
10979094 | Speaker microphone with switching to and from an attached device | TAIT INTERNATIONAL LIMITED |
10979236 | Systems and methods for smoothly transitioning conversations between communication channels | FACEBOOK TECHNOLOGIES, LLC |
10979239 | Updating data objects on a system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10979241 | Electronic furniture systems with integrated artificial intelligence | THE LOVESAC COMPANY |
10979310 | Playback device connection | SONOS, INC. |
10979322 | Techniques for determining network anomalies in data center networks | CISCO TECHNOLOGY, INC. |
10979371 | Techniques for messaging agent messaging interactions | FACEBOOK, INC. |
10979373 | Suggested responses based on message stickers | GOOGLE LLC |
10979374 | Method, system, and non-transitory computer readable record medium for sharing information in chatroom using application added to platform in messenger | LINE PLUS CORPORATION |
10979380 | Systems, apparatus, methods and computer-readable storage media for facilitating management of social media information for communication devices | AT&T MOBILITY II LLC |
10979400 | Method and system for instant messaging conversation security | BLACKBERRY LIMITED |
10979425 | Remote document execution and network transfer using augmented reality display devices | BANK OF AMERICA CORPORATION |
10979447 | Presenting, at a graphical user interface, device photos and risk categories associated with devices in a network | ORDR INC. |
10979479 | Wireless multimedia communications system and method | PERASO TECHNOLOGIES INC. |
10979483 | Applications on top of a web site | TRANSFORM SR BRANDS LLC |
10979503 | System and method for improved storage access in multi core system | EXCELERO STORAGE LTD. |
10979518 | Terminal apparatus and analysis system | FUJI XEROX CO., LTD. |
10979538 | Application state server-side cache for a state-based client-server application | VERSATA DEVELOPMENT GROUP, INC. |
10979552 | Electronic device including button and method for operation in electronic device | SAMSUNG ELECTRONICS CO., LTD. |
10979568 | Graphical rendering for interactive voice response (IVR) | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10979581 | Voice control device, printing apparatus, control methods thereof, and storage medium | CANON KABUSHIKI KAISHA |
10979582 | Extension of remote frame buffer (RFB) protocol | KYOCERA DOCUMENT SOLUTIONS INC. |
10979583 | Information processing apparatus equipped with touch panel type display unit, control method therefor, and storage medium | CANON KABUSHIKI KAISHA |
10979584 | Image forming device, information processing device, display control method, and non-transitory computer-readable recording medium storing a program for controlling display of post-processing setup information | KONICA MINOLTA, INC. |
10979591 | Method of managing image forming apparatus by using external server and image forming apparatus using the method | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10979594 | System and method for using a non-contact memory tag to detect fraudulent use of printing devices | XEROX CORPORATION |
10979599 | Image forming apparatus to determine user authentication regarding a facsimile document | CANON KABUSHIKI KAISHA |
10979617 | Mobile device and control method | -- |
10979636 | Triggering actions based on shared video footage from audio/video recording and communication devices | AMAZON TECHNOLOGIES, INC. |
10979649 | Auxiliary apparatus for a digital imaging device | -- |
10979675 | Video monitoring apparatus for displaying event information | HANWHA TECHWIN CO., LTD. |
10979685 | Focusing for virtual and augmented reality systems | APPLE INC. |
10979695 | Generating 3D depth map using parallax | SONY CORPORATION |
10979700 | Display control apparatus and control method | CANON KABUSHIKI KAISHA |
10979740 | Adaptive field of view prediction | AT&T INTELLECTUAL PROPERTY I, L.P. |
10979751 | Communication management apparatus, method and computer-readable storage medium for generating image data identification information communication | RICOH COMPANY, LTD. |
10979814 | Adaptive audio control device and method based on scenario identification | BEIJING XIAONIAO TINGLING TECHNOLOGY CO., LTD |
10979843 | Spatialized audio output based on predicted position data | QUALCOMM INCORPORATED |
10979862 | Tracking device system | PB INC. |
10979867 | Electronic device and method for operating same | SAMSUNG ELECTRONICS CO., LTD. |
10979875 | System and method for wireless interface selection and for communication and access control of subsystems, devices, and data in a vehicular environment | CISCO TECHNOLOGY, INC. |
10979896 | Managing dynamic lockouts on mobile computing devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10980096 | Learning a lighting preference based on a reaction type | LEXI DEVICES, INC. |
10980099 | Load control adjustment from a wireless device | LUTRON TECHNOLOGY COMPANY LLC |
10980110 | Shadow elimination detection method and manufacturing method for a touch substrate, touch substrate and touch device | BOE TECHNOLOGY GROUP CO., LTD. |
10980415 | Systems and methods for eye tracking using modulated radiation | FACEBOOK TECHNOLOGIES, LLC |
10980422 | Method for visualizing a tooth situation | DENTSPLY SIRONA INC. |
10980466 | Brain computer interface (BCI) apparatus and method of generating control signal by BCI apparatus | KOREA UNIVERSITY RESEARCH AND BUSINESS FOUNDATION |
10980479 | Control method of wearable device execution module and wearable device | BOE TECHNOLOGY GROUP CO., LTD. |
10980560 | Surgical instrument systems comprising feedback mechanisms | ETHICON LLC |
10980605 | Remote control robot system | KAWASAKI JUKOGYO KABUSHIKI KAISHA |
10980606 | Remote-control manipulator system and method of operating the same | KAWASAKI JUKOGYO KABUSHIKI KAISHA |
10980610 | Systems, methods, and computer-readable storage media for controlling aspects of a robotic surgical device and viewer adaptive stereoscopic display | COVIDIEN LP |
10980612 | Face tracking and reproduction with post-treatment smile | ALIGN TECHNOLOGY, INC. |
10980613 | Augmented reality enhancements for dental practitioners | ALIGN TECHNOLOGY, INC. |
10980687 | Techniques for generating auditory and haptic output with a vibrational panel of a patient support apparatus | STRYKER CORPORATION |
10980941 | Methods for providing an alert or an alarm to a user of a mobile communications device | DEXCOM, INC. |
10981052 | Game processing system, method of processing game, and storage medium storing program for processing game | GREE, INC. |
10981053 | Vibration control apparatus | SONY INTERACTIVE ENTERTAINMENT INC. |
10981055 | Position-dependent gaming, 3-D controller, and handheld as a remote | SONY INTERACTIVE ENTERTAINMENT INC. |
10981056 | Methods and systems for determining a reaction time for a response and synchronizing user interface(s) with content being rendered | APPLE INC. |
10981062 | Devices, methods, and graphical user interfaces for providing game controls | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
10981519 | Driving assistance apparatus and vehicle | HONDA MOTOR CO., LTD. |
10981652 | Panelling part for a cabin of a means of transportation | AIRBUS OPERATIONS GMBH |
10982312 | Single- and/or multi-charged gas ion beam treatment method for producing an anti-glare sapphire material | IONICS FRANCE |
10983144 | Measurement apparatus with projected user interface | ROHDE & SCHWARZ GMBH & CO. KG |
10983201 | User interface for displaying point clouds generated by a lidar device on a UAV | DJI TECHNOLOGY, INC. |
10983263 | Diffractive waveguide and eyepiece having image multiplying grating overlapping with outcoupling grating | MAGIC LEAP, INC. |
10983265 | Touchscreen having a haptic feedback device | CONTINENTAL AUTOMOTIVE SYSTEMS, INC. |
10983341 | Eye tracking based on polarization volume grating | FACEBOOK TECHNOLOGIES, LLC |
10983344 | System for optical wireless communication to extended reality immersion device | 8 RIVERS CAPITAL, LLC. |
10983347 | Augmented reality device | LG DISPLAY CO., LTD. |
10983354 | Focus adjusting multiplanar head mounted display | FACEBOOK TECHNOLOGIES, LLC |
10983359 | Method and device for switching input modalities of a displaying device | TOBII AB |
10983368 | Systems and methods for creating eyewear with multi-focal lenses | BESPOKE, INC. |
10983511 | Automation control system | QUEST AUTOMATED SERVICES, LLC |
10983526 | Method and system for generating a semantic point cloud map | HUAWEI TECHNOLOGIES CO., LTD. |
10983555 | Bracket assembly for a multi-component vision system in an electronic device | APPLE INC. |
10983556 | Electronic device and expansion electronic system | -- |
10983557 | Housing for portable electronic device with reduced border region | APPLE INC. |
10983558 | Biometric sensor and device including the same | SAMSUNG ELECTRONICS CO., LTD. |
10983559 | Unified desktop docking flow | Z124 |
10983561 | Method for managing flexible display and electronic device thereof | SAMSUNG ELECTRONICS CO., LTD. |
10983566 | Merchant/customer POS interaction system | PAYPAL, INC. |
10983567 | Keyboard magnetic guard rails | DELL PRODUCTS L.P. |
10983568 | Dynamic function row customization | APPLE INC. |
10983570 | Keyboard charging from an information handling system | DELL PRODUCTS L.P. |
10983573 | Portable information apparatus having a display | -- |
10983580 | Computer system and electric power control method for computer system | HITACHI, LTD. |
10983589 | Systems and methods for collision avoidance in virtual environments | MASSVR, LLC |
10983590 | Application processing system, method of processing application and storage medium storing program for processing application | GREE, INC. |
10983591 | Eye rank | FACEBOOK TECHNOLOGIES, LLC |
10983592 | Eyeball-tilt position device and apparatus including same | RICOH COMPANY, LTD. |
10983593 | Wearable glasses and method of displaying image via the wearable glasses | SAMSUNG ELECTRONICS CO., LTD. |
10983594 | Sensory enhanced augmented reality and virtual reality device | INTEL CORPORATION |
10983595 | Computer system for acquiring a control command | AGENCY FOR SCIENCE, TECHNOLOGY AND RESEARCH |
10983596 | Gesture recognition method, device, electronic device, and storage medium | BEIJING BAIDU NETCOM SCIENCE AND TECHNOLOGY CO., LTD. |
10983597 | Three dimensional (3D) modeling of a complex control object | ULTRAHAPTICS IP TWO LIMITED |
10983598 | Arithmetic unit | YAZAKI CORPORATION |
10983599 | Method for manufacturing keycaps of capped keyboard apparatus | -- |
10983600 | Electronic devices with fabric buttons | APPLE INC. |
10983601 | Visually impaired mode keypad | ASSA ABLOY AB |
10983602 | Identifying an input device | MICROSOFT TECHNOLOGY LICENSING, LLC |
10983603 | Devices and methods for generating input | OTM TECHNOLOGIES LTD. |
10983604 | Foot controlled cursor | ALCON INC. |
10983605 | Three-dimensional object position tracking system | MARBL LIMITED |
10983606 | Control instruction input methods and control instruction input devices | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
10983607 | Information output system and method | SONY INTERACTIVE ENTERTAINMENT INC. |
10983608 | System and method of annotation of a shared display using a mobile device | MERSIVE TECHNOLOGIES, INC. |
10983609 | Computer mouse with lightweight housing | FINALMOUSE LLC |
10983610 | Electronic pen and coordinate input apparatus | WACOM CO., LTD. |
10983611 | Stylus with a control | BEECHROCK LIMITED |
10983612 | Stylus and human-machine interactive apparatus | HEFEI BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10983613 | Stylus nibs with a lubricating material | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10983614 | Computer mice, methods for controlling a computer mouse, mouse pads, methods for controlling a mouse pad, and computer-readable media | RAZER (ASIA-PACIFIC) PTE. LTD. |
10983615 | Patterning of a composition comprising silver nanowires | HERAEUS DEUTSCHLAND GMBH & CO. KG |
10983617 | Touch sensing unit and display device including the same | SAMSUNG DISPLAY CO., LTD. |
10983618 | Display substrate and display device | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10983619 | Touch display panel, fingerprint recognition device, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10983620 | Touch display module, controlling method, panel and display device | CHONGQING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10983621 | Touch display device and driving method thereof | HEFEI BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10983622 | Display device and touch detection device | JAPAN DISPLAY INC. |
10983623 | Display panel with touch detection function, method of driving the same, driving circuit, and electronic unit | JAPAN DISPLAY INC. |
10983624 | Man-machine interaction method, device, and graphical user interface for activating a default shortcut function according to pressure input | HUAWEI TECHNOLOGIES CO., LTD. |
10983625 | Systems and methods for measurement of unsupported user interface actions | ADOBE INC. |
10983626 | Electronic devices with display and touch sensor structures | APPLE INC. |
10983627 | Biometric information-based touch contact classification | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10983628 | Touch module, a method for fabricating the same, and a touch display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10983629 | Touch panels and fabrication methods thereof | TPK TOUCH SOLUTIONS (XIAMEN) INC. |
10983630 | Touch array baseplate and manufacturing method thereof, touch panel comprising the same | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. |
10983631 | Touch panel | JAPAN DISPLAY INC. |
10983632 | Floating state determination and floating compensation methods and apparatuses for touch screen | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
10983633 | Key detecting method and apparatus | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
10983634 | Touch array substrate and touch display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10983635 | Control parameter adjustment device | FANUC CORPORATION |
10983636 | Water immune projected-capacitive (PCAP) touchscreen | ELO TOUCH SOLUTIONS, INC. |
10983637 | Display device | SAMSUNG DISPLAY CO., LTD. |
10983638 | Multi-stage capacitive sensor | JOHNSON ELECTRIC INTERNATIONAL AG |
10983639 | Electroluminescent display including touch sensor | LG DISPLAY CO., LTD. |
10983640 | Position detection circuit and position detection method in which line electrode of capacitive touch sensor is determined to be anomalous or possibly anomalous | WACOM CO., LTD. |
10983641 | Touch sensing unit and display device with lines in the peripheral area | SAMSUNG DISPLAY CO., LTD. |
10983642 | Using driven shield and touch elements lock algorithm for achieving liquid tolerant capacitive touch solution | TEXAS INSTRUMENTS INCORPORATED |
10983643 | Touch panel having partitioned regions on surface thereof | QUANZHOU SHENGWEI ELECTRONIC TECHNOLOGY CO., LTD. |
10983644 | Touch display device | HKC CORPORATION LIMITED |
10983645 | Touch module and method for manufacturing the same | TPK ADVANCED SOLUTIONS INC. |
10983646 | Pressure detectable touch input device including display module | HIDEEP INC. |
10983647 | Method for manufacturing circuit board | SHARP KABUSHIKI KAISHA |
10983648 | Touch input device | HIDEEP INC. |
10983649 | Touch control module, display panel, display device and touch control method | BOE TECHNOLOGY GROUP CO., LTD. |
10983650 | Dynamic input surface for electronic devices | APPLE INC. |
10983651 | Touch substrate, touch panel and touch display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10983652 | Display having opaque member disposed in area surrounded by pixels and electronic apparatus having the same | SAMSUNG ELECTRONICS CO., LTD. |
10983653 | Conductive film, touch panel, and method for manufacturing conductive film | FUJIFILM CORPORATION |
10983654 | Providing variable responses in a virtual-assistant environment | VERINT AMERICAS INC. |
10983655 | Systems and methods for interactive mobile electronic content creation and publication | SEMI-LINEAR, INC. |
10983656 | Image processing system and image processing method for playback of content | SONY CORPORATION |
10983657 | Systems and methods for providing a client-side configurable graphical control element framework | JPMORGAN CHASE BANK, N. A. |
10983658 | Cursor control system and cursor control method | -- |
10983659 | Emissive surfaces and workspaces method and apparatus | STEELCASE INC. |
10983660 | Software robots for programmatically controlling computer programs to perform tasks | SOROCO PRIVATE LIMITED |
10983661 | Interface for positioning an object in three-dimensional graphical space | -- |
10983662 | Distally shared, augmented reality space | WORMHOLE LABS, INC. |
10983663 | Displaying applications | APPLE INC. |
10983664 | Communications interface and a communications method, a corresponding computer program, and a corresponding registration medium | GLOWBL |
10983665 | Electronic apparatus and method for implementing user interface | SAMSUNG ELECTRONICS CO., LTD. |
10983666 | Learning user interface | GROUPON, INC. |
10983667 | Methods and systems for displaying text using RSVP | SPRITZ HOLDING LLC |
10983668 | Method and apparatus for component display processing | HUAWEI DEVICE (DONGGUAN) CO., LTD. |
10983669 | Device for displaying data associated with operation of a plurality of electronic component mounting machines at a production site | FUJI CORPORATION |
10983670 | Multi-level table grouping | CODA PROJECT, INC. |
10983671 | Terminal device, information processing method, and information processing program | RAKUTEN, INC. |
10983672 | Augmented reality model alignment | CATERPILAR INC. |
10983673 | Operation screen display device, image processing apparatus, and recording medium | KONICA MINOLTA, INC. |
10983674 | Methods and systems for providing alimentary combinations in a packet-based graphical user interface generated using distance metrics | KPN INNOVATIONS, LLC |
10983675 | System and method for providing dynamic and deep navigation of web pages using keyboard navigation | INTUIT INC. |
10983676 | Normal workflow and deviations therefrom | GAMBRO LUNDIA AB |
10983677 | Prefetching digital thumbnails from remote servers to client devices based on a dynamic determination of file display criteria | DROPBOX, INC. |
10983678 | Facilitating the prototyping and previewing of design element state transitions in a graphical design environment | AXURE SOFTWARE SOLUTIONS, INC. |
10983679 | Selectively enabling trackpad functionality in graphical interfaces | ADOBE INC. |
10983680 | Display device, program, display method and control device | NIKON CORPORATION |
10983681 | Image identification system | GM CRUISE HOLDINGS LLC |
10983682 | Interactive graphical user-interface for analyzing and manipulating time-series projections | SAS INSTITUTE INC. |
10983683 | Group-based communication system dynamic group-based communication interface focusing | SLACK TECHNOLOGIES, INC. |
10983684 | Techniques for controlling a portable welding system | THE ESAB GROUP INC. |
10983685 | Systems and methods for preloading an amount of content based on user scrolling | ASANA, INC. |
10983686 | Display control apparatus equipped with touch panel, control method therefor, and storage medium storing control program therefor | CANON KABUSHIKI KAISHA |
10983687 | Flexible display apparatus and display method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10983688 | Content scrubber bar with real-world time indications | APPLE INC. |
10983689 | Devices, methods, and graphical user interfaces for messaging | APPLE INC. |
10983690 | Methods and devices for precluding touch initiated control operations during three-dimensional motion | MOTOROLA MOBILITY LLC |
10983691 | Terminal, vehicle having the terminal, and method for controlling the vehicle | HYUNDAI MOTOR COMPANY |
10983692 | List scrolling and document translation, scaling, and rotation on a touch-screen display | APPLE INC. |
10983693 | Mobile terminal and controlling method thereof | LG ELECTRONICS INC. |
10983694 | Disambiguation of keyboard input | MICROSOFT TECHNOLOGY LICENSING, LLC |
10983695 | Focus management system | KINOMA, INC. |
10983696 | Tape storage reclamation | EMC IP HOLDING COMPANY LLC |
10983697 | Apparatus and method to share host system RAM with mass storage memory RAM | MEMORY TECHNOLOGIES LLC |
10983698 | Predetermined placement for tape cartridges in an automated data storage library | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10983699 | Queue manager for streaming multiprocessor systems | NVIDIA CORPORATION |
10983700 | Buffering device with status communication method for memory controller | RAMBUS, INC. |
10983701 | Memory system that constructs virtual storage regions for virtual machines | TOSHIBA MEMORY CORPORATION |
10983702 | Reducing data storage system I/O bandwidth via read-once point in time copy | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10983703 | Bandwidth control device, bandwidth control method, and computer-readable medium | FUJITSU LIMITED |
10983704 | Method and system for adaptive wear leveling in solid state memory | EMC CORPORATION |
10983705 | Handling pattern identifiers in a data storage system | EMC IP HOLDING COMPANY LLC |
10983706 | Multiple endianness compatibility | MICRON TECHNOLOGY, INC. |
10983707 | Asymmetric storage data distribution | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10983708 | Sharing alias addresses among logical devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10983709 | Methods for improving journal performance in storage networks and devices thereof | NETAPP, INC. |
10983710 | Uneven distributed storage across a mesh fabric storage system | OPEN DRIVES LLC |
10983711 | Memory controller, method for performing access control to memory module | MONTAGE TECHNOLOGY CO., LTD. |
10983712 | Storage control system and power consumption control method | HITACHI, LTD. |
10983713 | Energy optimized power state declarations for solid state drives | WESTERN DIGITAL TECHNOLOGIES, INC. |
10983714 | Distribution from multiple servers to multiple nodes | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10983715 | Expandable memory for use with solid state systems and devices | WESTERN DIGITAL TECHNOLOGIES, INC. |
10983716 | Writing data to tape medium | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10983717 | Uninterrupted block-based restore using a conditional construction container | EMC IP HOLDING COMPANY LLC |
10983718 | Method, device and computer program product for data backup | EMC IP HOLDING COMPANY LLC |
10983719 | Replica pools to support volume replication in distributed storage systems | AMAZON TECHNOLOGIES, INC. |
10983720 | System for converting data protection levels of storage nodes | HITACHI, LTD. |
10983721 | Deterministic finite automata node construction and memory mapping for regular expression accelerator | FUNGIBLE, INC. |
10983722 | Data storage device using host memory buffer and method of operating the same | SAMSUNG ELECTRONICS CO., LTD. |
10983723 | Memory access control method and apparatus | SAMSUNG ELECTRONICS CO., LTD. |
10983724 | Controller with distributed sequencer components | MICRON TECHNOLOGY, INC. |
10983725 | Memory array architectures for memory queues | SYNOPSYS, INC. |
10983726 | Storage device and method of operating the same for detecting last programmed page | SK HYNIX INC. |
10983727 | Determination of data integrity based on sentinel cells | MICRON TECHNOLOGY, INC. |
10983728 | Semiconductor devices | SK HYNIX INC. |
10983729 | Method and apparatus for performing multi-object transformations on a storage device | INTEL CORPORATION |
10983730 | Adapting resiliency of enterprise object storage systems | SYNAMEDIA LIMITED |
10983731 | Packet forwarding method, device, and system in non-volatile memory express over fabric | HUAWEI TECHNOLOGIES CO., LTD. |
10983732 | Method and system for accessing a file | PURE STORAGE, INC. |
10983733 | System and printing apparatus for performing transmitting processing for an application and browser, and methods for the system and the printing apparatus | CANON KABUSHIKI KAISHA |
10983734 | Image processing system with independently changeable modules for generating an image to be printed and for receiving print settings | FUJI XEROX CO., LTD. |
10983735 | Management apparatus and non-transitory computer readable medium | FUJI XEROX CO., LTD. |
10983736 | Print service management apparatus, print service system, and non-transitory computer readable medium for addressing possibility of fraudulent use of print service | FUJI XEROX CO., LTD. |
10983737 | Installer customizing system including server and requesting device, server, and method of controlling server | BROTHER KOGYO KABUSHIKI KAISHA |
10983738 | Computer-readable storage medium, information processing apparatus, and system | BROTHER KOGYO KABUSHIKI KAISHA |
10983739 | Information processing apparatus, information processing system, and information processing method configured to construct a server in the mobile terminal through which an output device communicates with the mobile terminal using a communication information | RICOH COMPANY, LTD. |
10983740 | Image forming apparatus, method, storage medium storing program, and system | CANON KABUSHIKI KAISHA |
10983741 | Image processing apparatuses and an external server configured in a case image information in an unsupported format is not supported, controls so as to perform conversion of the unsupported format | FUJI XEROX CO., LTD. |
10983742 | Image forming apparatus having items related to function control | KYOCERA DOCUMENT SOLUTIONS INC. |
10983743 | Programmable display and programmable logic controller system including the same | KEYENCE CORPORATION |
10983744 | Terminal receiving content based on network environment and controlling method thereof | LG ELECTRONICS INC. |
10983745 | Display device and display system including same | LG ELECTRONICS INC. |
10983746 | Generating display data | DISPLAYLINK (UK) LIMITED |
10983747 | Remote desktop mirroring | VMWARE, INC. |
10983748 | Information management apparatus, information sharing system, and terminal | RICOH COMPANY, LTD. |
10983749 | Electronic device and method for sharing screen | SAMSUNG ELECTRONICS CO., LTD. |
10983750 | Guest access to a media playback system | SONOS, INC. |
10983751 | Multi-application augmented reality audio with contextually aware notifications | BOSE CORPORATION |
10983752 | Methods and systems for generating customized audio experiences | BOSE CORPORATION |
10983753 | Cognitive and interactive sensor based smart home solution | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10983759 | Rapid API development | BANK OF AMERICA CORPORATION |
10983766 | Developer tools for a communication platform | SLACKTECHNOLOGIES, INC. |
10983782 | User interface upgrade analyzer | SAP SE |
10983795 | Extended memory operations | MICRON TECHNOLOGY, INC. |
10983798 | Transactional memory performance and footprint | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10983805 | Contextual keyboard located on a remote server for implementation on any content delivery and interaction application | NOD, INC. |
10983806 | User interface for computer system usage types | SAP SE |
10983808 | Method and apparatus for providing emotion-adaptive user interface | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
10983809 | Providing augmented reality user interfaces and controlling automated systems based on user activity information and pre-staging information | BANK OF AMERICA CORPORATION |
10983811 | Multi-process model for cross-platform applications | APPLE INC. |
10983812 | Replaying interactions with a graphical user interface (GUI) presented in a video stream of the GUI | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10983814 | Systems and methods for providing language selection technology through interface with cinema servers | -- |
10983820 | Fast provisioning of storage blocks in thin provisioned volumes for supporting large numbers of short-lived applications | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10983822 | Volume management by virtual machine affiliation auto-detection | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10983825 | Processing for multiple containers are deployed on the physical machine | HUAWEI TECHNOLOGIES CO., LTD. |
10983826 | Application restore time from cloud gateway optimization using storlets | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10983829 | Dynamic size of static SLC cache | MICRON TECHNOLOGY, INC. |
10983834 | Communication fabric coupled compute units | LIQID INC. |
10983855 | Interface for fault prediction and detection using time-based distributed data | MICROSOFT TECHNOLOGY LICENSING, LLC |
10983858 | Data writing method, memory control circuit unit and memory storage device | -- |
10983860 | Automatic prefill of a storage system with conditioning of raid stripes | EMC IP HOLDING COMPANY LLC |
10983862 | Prioritized rebuilding of extents in multiple tiers | EMC IP HOLDING COMPANY LLC |
10983863 | Intelligent protection of data | COMMVAULT SYSTEMS, INC. |
10983865 | Adjusting memory parameters | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
10983870 | Data recovery operations, such as recovery from modified network data management protocol data | COMMVAULT SYSTEMS, INC. |
10983875 | Time-based virtual machine reversion | COMMVAULT SYSTEMS, INC. |
10983882 | Method for latency improvement of storages using low cost hardware | HITACHI, LTD. |
10983885 | Recovering storage devices in a storage array having errors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10983891 | Method and system for implementing a data center operating system | JPMORGAN CHASE BANK, N. A. |
10983898 | Methods for improved web application testing using remote headless browsers and devices thereof | USABLENET, INC. |
10983902 | Collaborative computer aided test plan generation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10983903 | Enhanced automated protocol for secure application testing | AMAZON TECHNOLOGIES, INC. |
10983908 | Method and system for garbage collection of data protection virtual machines in cloud computing networks | EMC IP HOLDING COMPANY LLC |
10983913 | Securing exclusive access to a copy of a metadata track via a process while the metadata track is held in a shared mode by another process | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10983921 | Input/output direct memory access during live memory relocation | ORACLE INTERNATIONAL CORPORATION |
10983932 | Processor and information processing apparatus | FUJITSU LIMITED |
10983942 | Multi-master hybrid bus apparatus | QORVO US, INC. |
10983952 | Method and system for storing documents | OPEN TEXT SA ULC |
10983955 | Data unit cloning in memory-based file systems | NETAPP, INC. |
10983957 | Distributed columnar data set storage | SAS INSTITUTE INC. |
10983962 | Processing device utilizing polynomial-based signature subspace for efficient generation of deduplication estimate | EMC IP HOLDING COMPANY LLC |
10983964 | Managing file system tailored for cluster deployment | EMC IP HOLDING COMPANY LLC |
10983965 | Database memory management in a high availability database system using limits | SAP SE |
10983969 | Methods and systems for mapping flattened structure to relationship preserving hierarchical structure | BOOMI, INC. |
10983978 | Method for updating relational index, storage medium and electronic device | BEIJING BAIDU NETCOM SCIENCE AND TECHNOLOGY CO., LTD. |
10983979 | Personal information database modification and monitoring | ZENPAYROLL, INC. |
10983992 | Automatically storing records generated by users based on scheduled recurring event information | -- |
10983993 | Expanded search and find user interface | MICROSOFT TECHNOLOGY LICENSING, LLC |
10984001 | Graph database applications | INTUIT INC. |
10984003 | Report generation for a digital task | FUJITSU LIMITED |
10984012 | System and method of consuming and integrating with rest-based cloud and enterprise services | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10984015 | Multi-select dropdown state replication | CITRIX SYSTEMS, INC. |
10984026 | Search method for performing search based on an obtained search word and an associated search word | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10984044 | Identifying buckets for query execution using a catalog of buckets stored in a remote shared storage system | SPLUNK INC. |
10984050 | Method, apparatus, and computer program product for managing storage system | EMC IP HOLDING COMPANY LLC |
10984054 | Visual analytics system for convolutional neural network based classifiers | ROBERT BOSCH GMBH |
10984057 | Method and apparatus for search query formulation | INTERDIGITAL MADISON PATENT HOLDINGS, SAS |
10984078 | Systems and methods for improved authentication | VMWARE, INC. |
10984082 | Electronic device and method for providing user information | SAMSUNG ELECTRONICS CO., LTD. |
10984086 | Methods and systems for fingerprint sensor triggered voice interaction in an electronic device | MOTOROLA MOBILITY LLC |
10984087 | Dynamic grip signature for personal authentication | ADVANCED NEW TECHNOLOGIES CO., LTD. |
10984089 | Unlocking a portable electronic device by performing multiple actions on an unlock interface | LIGHT CONE CORP. |
10984116 | Systems and methods for digital currency or crypto currency storage in a multi-vendor cloud environment | CALAMU TECHNOLOGIES CORPORATION |
10984144 | Data publishing system | HONDA MOTOR CO., LTD. |
10984169 | Systems, methods, and computer-readable media for providing a dynamic loupe for displayed information | APPLE INC. |
10984170 | Systems and/or methods for dynamic layout design | SOFTWARE AG |
10984174 | Dynamically providing a feed of stories about a user of a social networking system | FACEBOOK, INC. |
10984175 | Systems and methods for dynamically modifying a requested web page from a server for presentation at a client | YOTTAA INC. |
10984179 | Intelligent object movement | MICROSOFT TECHNOLOGY LICENSING, LLC |
10984180 | Electronic document supplementation with online social networking information | MICROSOFT TECHNOLOGY LICENSING, LLC |
10984181 | Inline editing field for nested website settings | PAYPAL, INC. |
10984182 | Systems and methods for context-rich annotation and report generation for UAV microscan data | LOVELAND INNOVATIONS, LLC |
10984183 | Systems and methods for sharing content | FACEBOOK, INC. |
10984185 | System and method for dialog customization | WIX.COM LTD. |
10984186 | Smart electronic mail and messaging templates | MICROSOFT TECHNOLOGY LICENSING, LLC |
10984201 | Determining the user's current preferred manner in communicating with user | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10984210 | Fingerprint sensor of electronic device | SAMSUNG ELECTRONICS CO., LTD. |
10984213 | 3-dimensional optical topographical sensing of fingerprints using under-screen optical sensor module | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
10984215 | Method of recognizing fingerprint and mobile terminal | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10984217 | Optical fingerprint sensor and fingerprint identification method thereof | -- |
10984226 | Method and apparatus for inputting emoticon | BEIJING BAIDU NETCOM SCIENCE AND TECHNOLOGY CO., LTD. |
10984235 | Low power data generation for iris-related detection and authentication | QUALCOMM INCORPORATED |
10984236 | System and apparatus for gaze tracking | MIRAMETRIX INC. |
10984237 | Method and system for correlating an image capturing device to a human user for analyzing gaze information associated with cognitive performance | NEUROTRACK TECHNOLOGIES, INC. |
10984241 | Medical image diagnosis apparatus and magnetic resonance imaging apparatus | CANON MEDICAL SYSTEMS CORPORATION |
10984243 | Systems and methods for using augmented reality to locate objects, identify persons, and interact with inanimate objects | -- |
10984298 | Acquiring item values from printers based on notation form settings | SEIKO EPSON CORPORATION |
10984341 | Detecting complex user activities using ensemble machine learning over inertial sensors data | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10984355 | Employee task verification to video system | XEROX CORPORATION |
10984377 | Color chip dispenser | BEHR PROCESS CORPORATION |
10984382 | User interface for integrating applications on a mobile communication device | BLACKBERRY LIMITED |
10984383 | Book information collaboration system and method | SHANGHAI YIWEI NETWORK TECHNOLOGY CO., LTD. |
10984394 | Waste management system | ENVIRONMENTAL DATA SYSTEMS, LLC |
10984484 | Accounting workflow integration | INTUIT INC. |
10984500 | Inline image preprocessing for convolution operations using a matrix multiplier on an integrated circuit | XILINX, INC. |
10984550 | Image processing device, image processing method, recording medium storing image processing program and image pickup apparatus | OLYMPUS CORPORATION |
10984568 | Methods, devices, and computer-readable media for sharing image effects | SNOW CORPORATION |
10984570 | Picture marking method and apparatus, computer device, and computer readable storage medium | BOE TECHNOLOGY GROUP CO., LTD. |
10984572 | System and method for integrating realistic effects onto digital composites of digital visual media | TRIPLE LIFT, INC. |
10984574 | Generating animations in an augmented reality environment | ADOBE INC. |
10984576 | Activity surface detection, display and enhancement of a virtual scene | TANGIBLE PLAY, INC. |
10984579 | Playback for embedded and preset 3D animations | MICROSOFT TECHNOLOGY LICENSING, LLC |
10984589 | Systems and methods for reference-model-based modification of a three-dimensional (3D) mesh data model | VERIZON PATENT AND LICENSING INC. |
10984594 | Creation and use of virtual places | PFAQUTRUMA RESEARCH LLC |
10984595 | Method and apparatus for providing guidance in a virtual environment | SAMSUNG ELECTRONICS CO., LTD. |
10984596 | Systems and methods for enriching a virtual reality tour | KE.COM (BEIJING)TECHNOLOGY CO., LTD. |
10984597 | Virtual affordance sales tool | STEELCASE INC. |
10984600 | Apparatus, method, and system for presentation of multimedia content including augmented reality content | TIFF'S TREATS HOLDINGS, INC. |
10984601 | Data visualization objects in a virtual environment | ORACLE INTERNATIONAL CORPORATION |
10984603 | Method and apparatus for selectively presenting content | ATHEER, INC. |
10984604 | Contextual applications in a mixed reality environment | UNITY IPR APS |
10984605 | Camera arrangements with backlighting detection and methods of using same | WORLDSCAPE, INC. |
10984606 | Graphical user interface tool for orienting computer-aided design model | DASSAULT SYSTEMES SOLIDWORKS CORPORATION |
10984637 | Haptic control interface for detecting content features using machine learning to induce haptic effects | NVIDIA CORPORATION |
10984638 | Systems, devices, and methods for encoding haptic tracks | IMMERSION CORPORATION |
10984649 | Providing priming cues to a user of an electronic device | APPLE INC. |
10984651 | System and method for appliance control via a network | UNIVERSAL ELECTRONICS INC. |
10984658 | Vehicle display device for displaying an obstacle warning | YAZAKI CORPORATION |
10984665 | Customizing sequences of content objects | SALESFORCE.COM, INC. |
10984671 | Information display apparatus, information display method, and computer-readable recording medium | CASIO COMPUTER CO., LTD. |
10984674 | System and method to teach and evaluate image grading performance using prior learned expert knowledge base | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10984688 | Tubular display | MID-WEST METAL PRODUCTS COMPANY, INC. |
10984703 | Semiconductor device, display system which corrects image data, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10984710 | Method for sending driving data of backlight source, control circuit and display device | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10984730 | Display driver integrated circuit, display system, and method for driving display driver integrated circuit | SAMSUNG DISPLAY CO., LTD. |
10984744 | Display device including a driver circuit outputting a pulse signal to scanning signal lines and method of driving the display device | SHARP KABUSHIKI KAISHA |
10984750 | Voltage output system and liquid crystal display device | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10984753 | Image display device and image processing method | NEC DISPLAY SOLUTIONS, LTD. |
10984754 | Display bandwidth reduction with multiple resolutions | INTEL CORPORATION |
10984756 | Adaptive parameters in image regions based on eye tracking information | FACEBOOK TECHNOLOGIES, LLC |
10984757 | Machine learning method, machine learning system, and display system | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10984758 | Image enhancement | APICAL LIMITED AND ARM LIMITED |
10984782 | Intelligent digital assistant system | MICROSOFT TECHNOLOGY LICENSING, LLC |
10984783 | Spoken keyword detection based utterance-level wake on intent system | INTEL CORPORATION |
10984786 | Multi-modal interaction between users, automated assistants, and other computing services | GOOGLE LLC |
10984787 | Multimedia apparatus and multimedia system | -- |
10984789 | Apparatus and method using multiple voice command devices | HARMAN BECKER AUTOMOTIVE SYSTEMS GMBH |
10984790 | Method of providing service based on location of sound source and speech recognition device therefor | SAMSUNG ELECTRONICS CO., LTD. |
10984792 | Voice output system, voice output method, and program storage medium | TOYOTA JIDOSHA KABUSHIKI KAISHA |
10984799 | Hybrid speech interface device | -- |
10984898 | Cooperative health management system | APPLE INC. |
10984904 | Computer system for constructing graphical user interface features | ALLSCRIPTS SOFTWARE, LLC |
10984907 | Control method, information terminal, recording medium, and determination method | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10984916 | Modular telehealth cart with thermal imaging and touch screen user interface | TELADOC HEALTH, INC. |
10984969 | Uniform illumination of keys on a flexible substrate | APPLE INC. |
10985141 | Semiconductor device having stacked chips | TOSHIBA MEMORY CORPORATION |
10985205 | Display panel and method for manufacturing display panel | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
10985221 | Display device | LG DISPLAY CO., LTD. |
10985222 | Electroluminescent display panel, method for manufacturing the same, and mask | BOE TECHNOLOGY GROUP CO., LTD. |
10985223 | Organic light emitting display device | SAMSUNG DISPLAY CO., LTD. |
10985283 | Semiconductor device having an oxide layer with a concentration gradient of oxygen and an insulating layer with excess oxygen | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10985310 | Flexible device and operating methods thereof | SAMSUNG ELECTRONICS CO., LTD. |
10985334 | Module structures and flexible display devices | KUNSHAN GO-VISIONOX OPTO-ELECTRONICS CO., LTD. |
10985450 | Antenna built-in touch panel | SHARP KABUSHIKI KAISHA |
10985604 | Power supply redundancy device for a display system | DAKTRONICS, INC. |
10985850 | Media distribution between electronic devices for low-latency applications | AMAZON TECHNOLOGIES, INC. |
10985936 | Customized interface based on vocal input | GOOGLE LLC |
10985973 | System for connecting and controlling multiple devices | CENTRICA HIVE LIMITED |
10986003 | Visualization of analysis results of contents | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10986005 | Technologies for dynamically managing resources in disaggregated accelerators | INTEL CORPORATION |
10986015 | Micro server built-in switch uplink port backup mechanism | -- |
10986046 | Apparatus and method for generating summary of conversation storing | LG ELECTRONICS INC. |
10986050 | Method and apparatus for providing in-game messenger service | SMILEGATE ENTERTAINMENT, INC. |
10986078 | Adaptive device enrollment | VMWARE, INC. |
10986087 | Motion based authentication | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10986106 | Method and system for generating an entities view with risk-level scoring for performing computer security monitoring | SPLUNK INC. |
10986111 | Displaying a series of events along a time axis in enterprise threat detection | SAP SE |
10986134 | Methods and apparatus for graphical user interface environment for creating threat response courses of action for computer networks | FIREEYE, INC. |
10986141 | Relevancy improvement through targeting of information based on data gathered from a networked device associated with a security sandbox of a client device | FREE STREAM MEDIA CORP. |
10986147 | Distributedly synchronized edge playout system | IHEARTMEDIA MANAGEMENT SERVICES, INC. |
10986148 | Network media device | APPLE INC. |
10986161 | Mechanism for effective sharing of application content | -- |
10986171 | Method for unified communication of server, baseboard management controller, and server | HUAWEI TECHNOLOGIES CO., LTD. |
10986179 | Cloud-based snapshot replication | PURE STORAGE, INC. |
10986180 | Smart mounting of storage devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10986187 | System and method for personalized virtual reality experience in a controlled environment | GLOBAL TEL*LINK CORPORATION |
10986206 | Information processing apparatus, control method thereof, and computer readable medium for visual information sharing | SONY CORPORATION |
10986236 | Methods for configuring settings for an image forming apparatus with template sheet | KYOCERA DOCUMENT SOLUTIONS INC. |
10986237 | Information processing apparatus and information processing method | KYOCERA DOCUMENT SOLUTIONS INC. |
10986238 | Non-transitory computer-readable medium storing output instructions to control portable terminal and portable terminal | BROTHER KOGYO KABUSHIKI KAISHA |
10986244 | Image processing apparatus that executes multiple applications | FUJI XEROX CO., LTD. |
10986246 | Remote management system for remotely managing image forming apparatus | KYOCERA DOCUMENT SOLUTIONS INC. |
10986252 | Touch accommodation options | APPLE INC. |
10986255 | Increasing display size by placing optical sensors beneath the display of an electronic device | ESSENTIAL PRODUCTS, INC. |
10986260 | Selfie stick and method for controlling photographic device by selfie stick | SHENZHEN ROYOLE TECHNOLOGIES CO., LTD. |
10986264 | Image processing device, image processing method, image sensor, and information processing device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10986269 | Apparatus for providing external panoramic view contents for aircraft during flight | -- |
10986270 | Augmented reality display with frame modulation functionality | MAGIC LEAP, INC. |
10986277 | Camera, setting display method for camera, and setting display program for camera | FULIFILM CORPORATION |
10986280 | Electronic apparatus | CANON KABUSHIKI KAISHA |
10986287 | Capturing a photo using a signature motion of a mobile device | SAMSUNG ELECTRONICS CO., LTD. |
10986330 | Method and system for 360 degree head-mounted display monitoring between software program modules using video or image texture sharing | APPLE INC. |
10986345 | Backward-compatible video capture and distribution | APPLE INC. |
10986376 | Networked device group information in a system with multiple media playback protocols | SONOS, INC. |
10986391 | Server and method for controlling server | SAMSUNG ELECTRONICS CO., LTD. |
10986393 | Display apparatus, method for UI display thereof and computer-readable recording medium | SAMSUNG ELECTRONICS CO., LTD. |
10986396 | Adjusting media availability via a content consumption activity dashboard | DISNEY ENTERPRISES, INC. |
10986406 | Display apparatus connected to plural source devices and method of controlling the same | LG ELECTRONICS INC. |
10986412 | Methods and system for generation and playback of supplemented videos | AIBUY, INC. |
10986416 | Motion-based configuration of a multi-user device | APPLE INC. |
10986422 | Hyper video navigation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10986458 | Information processing apparatus and information processing method | SONY CORPORATION |
10986460 | Grouping based on acoustic signals | SONOS, INC. |
10986559 | Network message transmissions reduction systems and methods | AVI-ON LABS, LLC |
10986725 | Light-emitting module and display device | -- |
10986731 | Display device | SAMSUNG DISPLAY CO., LTD. |
10987014 | Information processing apparatus, information processing system, and non-transitory computer readable medium | AGAMA-X CO., LTD. |
10987022 | Apparatus and method for registering images in real-time | INTUITIVE SURGICAL OPERATIONS, INC. |
10987028 | Displaying user interfaces associated with physical activities | APPLE INC. |
10987065 | Medical monitoring system, method of displaying monitoring data, and monitoring data display device | SHENZHEN MINDRAY BIO-MEDICAL ELECTRONICS CO., LTD. |
10987176 | Virtual guidance for orthopedic surgical procedures | TORNIER, INC. |
10987457 | Voice interface for a dialysis machine | FRESENIUS MEDICAL CARE HOLDINGS, INC. |
10987570 | Device to provide network access to entertainment machine | SPINNER SYSTEMS, INC. |
10987573 | Virtual reality hand gesture generation | VALVE CORPORATION |
10987574 | Head mounted display | SONY INTERACTIVE ENTERTAINMENT INC. |
10987575 | Server and method for providing game service based on interface expressing audio visually | NETMARBLE CORPORATION |
10987596 | Spectator audio analysis in online gaming environments | AMAZON TECHNOLOGIES, INC. |
10987762 | Armband based systems and methods for controlling welding equipment using gestures and like motions | ILLINOIS TOOL WORKS INC. |
10987920 | Printing apparatus, printing system, and printing method | RICOH COMPANY, LTD. |
10988254 | Systems and methods to control sounds produced by a remote controlled vehicle during operation of the remote controlled vehicle | DISNEY ENTERPRISES, INC. |
10989233 | Fluidic pump and latch gate | FACEBOOK TECHNOLOGIES, LLC |
10989330 | Fluidic switching devices | FACEBOOK TECHNOLOGIES, LLC |
10989399 | Electroluminescent lighting device having touch sensor | LG DISPLAY CO., LTD. |
10989563 | Automatic calibration of rate gyroscope sensitivity | CLOUDNAV INC. |
10989745 | Power meter with fixed segment interactive menu | HONEYWELL INTERNATIONAL INC. |
10989843 | Transparent electrode-attached complex, transfer film, method for manufacturing transparent electrode-attached complex, and electrostatic capacitance-type input device | FUJIFILM CORPORATION |
10989869 | High-density energy directing devices for two-dimensional, stereoscopic, light field and holographic head-mounted displays | LIGHT FIELD LAB, INC. |
10989947 | Array substrate, liquid crystal display panel and display device with no floating touch signal lines | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. |
10989976 | Commissioning window networks | VIEW, INC. |
10989978 | Selectively transparent and opaque keyboard bottom | DELL PRODUCTS L.P. |
10989979 | Low power semi-reflective display | NOVASOLIX, INC. |
10989993 | Control device for correcting projection image, projection system, method of controlling same, and storage medium | CANON KABUSHIKI KAISHA |
10990028 | Information processing apparatus, image forming system, information processing method, and recording medium | RICOH COMPANY, LTD. |
10990050 | Image forming apparatus including storage device and method for controlling the same | CANON KABUSHIKI KAISHA |
10990062 | Display system | BOE TECHNOLOGY GROUP CO., LTD. |
10990077 | Electronic virtual layer | SYNOPSYS, INC. |
10990081 | Processing method, processing apparatus, and electronic device | LENOVO (BEIJING) CO., LTD. |
10990126 | Wireless communication terminal with touch panel and pen storage | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10990131 | Touch sensor, touch panel and method for manufacturing the same | TPK TOUCH SOLUTIONS (XIAMEN) INC. |
10990140 | Touchpad device | -- |
10990148 | Central receiver for performing capacitive sensing | SYNAPTICS INCORPORATED |
10990158 | Mitigating interference in a capacitive sensing device | SYNAPTICS INCORPORATED |
10990159 | Architected state retention for a frequent operating state switching processor | APPLE INC. |
10990162 | Scene-based sensor networks | SCENERA, INC. |
10990163 | Content interaction system and method | Z5X GLOBAL FZ-LLC |
10990164 | Medical device usage monitoring system and method | -- |
10990165 | Medical device usage monitoring system and method | -- |
10990166 | Remote reaction capture and analysis system | TRUTHIFY, LLC |
10990167 | Display apparatus and method using projection matrices to generate image frames | VARJO TECHNOLOGIES OY |
10990168 | Compensating for a movement of a sensor attached to a body of a user | SAMSUNG ELECTRONICS CO., LTD. |
10990169 | Systems and methods for assisting virtual gestures based on viewing frustum | REC ROOM INC. |
10990170 | Eye tracking method, electronic device, and non-transitory computer readable storage medium | -- |
10990171 | Audio indicators of user attention in AR/VR environment | FACEBOOK TECHNOLOGIES, LLC |
10990172 | Pupil tracking device and pupil tracking method for measuring pupil center position and proximity depth between object and pupil moving by optokinetic reflex | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
10990173 | System for tracking non-verbally communicated patient comfort feedback | -- |
10990174 | Methods and apparatus for predicting musculo-skeletal position information using wearable autonomous sensors | FACEBOOK TECHNOLOGIES, LLC |
10990175 | Brain computer interface for augmented reality | COGNIXION |
10990177 | Tactile transmission device and user interface system including the same | KOREA INSTITUTE OF SCIENCE AND TECHNOLOGY |
10990178 | Device for providing tactile stimulation | BHAPTICS INC. |
10990179 | Haptic presentation apparatus | SONY CORPORATION |
10990180 | Tactile presentation device | FUJITSU COMPONENT LIMITED |
10990181 | Display device including a vibrating element | SAMSUNG DISPLAY CO., LTD. |
10990182 | Force sense presenting object | NIPPON TELEGRAPH AND TELEPHONE CORPORATION |
10990183 | Interactive display with tactile feedback | TACTILE DISPLAYS, LLC |
10990184 | Energy efficient interactive display with energy regenerative keyboard | TACTILE DISPLAYS, LLC |
10990185 | Smart hat device for interacting with remote devices and displays | FUJI XEROX CO., LTD. |
10990186 | Virtual reality head-mounted devices having reduced numbers of cameras, and methods of operating the same | GOOGLE LLC |
10990187 | Methods, systems, and apparatuses to update screen content responsive to user gestures | FITBIT, INC. |
10990188 | Systems and methods for providing video on demand in an intelligent television | FLEXTRONICS AP, LLC |
10990189 | Processing of gesture-based user interaction using volumetric zones | FACEBOOK, INC. |
10990190 | Hand sensing controller | TACTUAL LABS CO. |
10990191 | Information processing device and method, program and recording medium for identifying a gesture of a person from captured image data | SONY CORPORATION |
10990192 | Multilingual character input device | SEOUL |
10990193 | System and method for medical imaging report input | IMAGING ADVANTAGE LLC |
10990194 | Touch-based and audio-responsive user interface for elimination of a query's component terms | BANK OF AMERICA CORPORATION |
10990195 | Electronic apparatus having optical navigation circuit | -- |
10990196 | Screen output method and electronic device supporting same | SAMSUNG ELECTRONICS CO., LTD. |
10990197 | Display system, control program for information processing device, and method for controlling information processing device | SEIKO EPSON CORPORATION |
10990198 | Wireless stylus with grip force expression capability | INTEL CORPORATION |
10990199 | Electronic device including digital pen | SAMSUNG ELECTRONICS CO., LTD. |
10990200 | Electronic device including pen input device and method of operating the same | SAMSUNG ELECTRONICS CO., LTD. |
10990201 | Pen input device sheet and method for manufacturing pen input device sheet | WACOM CO., LTD. |
10990202 | Stylus having distance meter | LEICA GEOSYSTEMS AG |
10990203 | Touchpad assembly for electronic devices | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10990204 | Virtual touchpad at keyboard location | DELL PRODUCTS L.P. |
10990205 | Display device and method for manufacturing display device | SAMSUNG DISPLAY CO., LTD. |
10990206 | Display panel and touch display device | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
10990207 | Electronic device and screen provision method of electronic device | SAMSUNG ELECTRONICS CO., LTD. |
10990208 | Method for displaying content in expandable screen area and electronic device supporting the same | SAMSUNG ELECTRONICS CO., LTD. |
10990209 | Touch panels and display devices | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
10990210 | Touch control display, method for controlling touch control display and storage medium | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. |
10990211 | Touch control display screen and manufacturing method therefor, and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10990212 | Touch display device | HKC CORPORATION LIMITED |
10990213 | Display device | SAMSUNG DISPLAY CO., LTD. |
10990214 | Methods, systems, and media for controlling playback of video using a touchscreen | GOOGLE LLC |
10990215 | Integrating circuit and capacitance sensing circuit | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
10990216 | Method for adjustment touch screen, touch chip, and electronic terminal | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
10990217 | Adaptive notification modifications for touchscreen interfaces | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10990218 | Dynamic display panel system containing push button assemblies | SUZOHAPP AMERICAS LLC |
10990219 | Integrated circuit and touch display apparatus to shorten a settle time of a common electrode of a touch display panel | -- |
10990221 | Multi-power domain touch sensing | APPLE INC. |
10990222 | Calibration of trackpad | GOOGLE LLC |
10990223 | Method and apparatus for variable impedence touch sensor array force aware interaction in large surface devices | SENSEL, INC. |
10990224 | Touch detection method, touch detection apparatus, and touch sensor controller | WACOM CO., LTD. |
10990225 | Display-integrated optical sensor with focused and folded light path | SYNAPTICS INCORPORATED |
10990226 | Inputting information using a virtual canvas | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10990227 | Touch pad | LITE-ON TECHNOLOGY (CHANG ZHOU) CO., LTD. |
10990228 | Input device with an array of force sensors in a film layer structure with improved durability and simplified production | PREH GMBH |
10990229 | Rectangular touch node design for metal mesh on-cell technology | APPLE INC. |
10990230 | Circuit, touch chip, and electronic device for capacitance detection | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
10990231 | Touch display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10990232 | Touch panel and manufacturing method thereof, and touch display device | BOE TECHNOLOGY GROUP CO., LTD. |
10990233 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
10990234 | Touch sensor including antenna | DONGWOO FINE-CHEM CO., LTD. |
10990235 | Flexible sensor | PERATECH HOLDCO LTD |
10990236 | Methods for two-touch detection with resistive touch sensor and related apparatuses and systems | 1004335 ONTARIO INC. |
10990237 | Method and apparatus for displaying application | SAMSUNG ELECTRONICS CO., LTD. |
10990238 | Software robots for programmatically controlling computer programs to perform tasks | SOROCO PRIVATE LIMITED |
10990239 | Display control apparatus, vehicle, and display control method | HONDA MOTOR CO., LTD. |
10990240 | Artificial reality system having movable application content items in containers | FACEBOOK TECHNOLOGIES, LLC |
10990241 | Rich media icon system | -- |
10990242 | Screen shuffle | Z124 |
10990243 | Information processing device and recording system | BROTHER KOGYO KABUSHIKI KAISHA |
10990244 | Navigation apparatus and method for displaying a navigation tree on a display unit | SEW-EURODRIVE GMBH & CO. KG |
10990245 | Mobile process management tool for paving operations | CATERPILLAR PAVING PRODUCTS INC. |
10990246 | Techniques for generating digital content | AMAZON TECHNOLOGIES, INC. |
10990247 | System and method for analysis and visualization of incident data | ADAPTIVE CAPACITY LABS, LLC |
10990248 | Operation collection via a system process | BRAIN TECHNOLOGIES, INC. |
10990249 | Method for anomaly detection in clustered data structures | ROYAL BANK OF CANADA |
10990250 | Organized timeline | APPLE INC. |
10990251 | Smart augmented reality selector | SAP SE |
10990252 | Multi-use resource interaction interface projection system | BANK OF AMERICA CORPORATION |
10990253 | Predictive navigation and fields platform to reduce processor and network resources usage | BANK OF AMERICA CORPORATION |
10990254 | Electronic mail control system integrating timeslot functionality | MICROSOFT TECHNOLOGY LICENSING, LLC |
10990255 | Hierarchical data display | UNITED SERVICES AUTOMOBILE ASSOCIATION (USAA) |
10990256 | Modifying default display configurations for objects in a user interface | SALESFORCE.COM, INC. |
10990257 | Object displaying method, terminal device, and computer storage medium | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
10990258 | Interactively presenting a visible portion of a rendering surface on a user device | GOOGLE LLC |
10990259 | Optimizing window move actions for remoted applications | VMWARE, INC. |
10990260 | Electronic device control in response to finger rotation upon fingerprint sensor and corresponding methods | MOTOROLA MOBILITY LLC |
10990261 | HVAC graphical user interface with visual obscurity and methods of use thereof | TRANE INTERNATIONAL INC. |
10990262 | Display screen generation apparatus, factory automation system, and display screen generation method | MITSUBISHI ELECTRIC CORPORATION |
10990263 | Interface for trimming videos | GOPRO, INC. |
10990264 | User interface development in a transcompiling environment | SAP SE |
10990265 | Application program interface or page processing method and device | -- |
10990266 | Method and system for generating transcripts of patient-healthcare provider conversations | GOOGLE LLC |
10990267 | Two step content selection | MICROSOFT TECHNOLOGY LICENSING, LLC |
10990268 | Operation method and terminal device | BEIJING BYTEDANCE NETWORK TECHNOLOGY CO LTD. |
10990269 | Data communication method | WACOM CO., LTD. |
10990270 | Context-specific user interfaces | APPLE INC. |
10990271 | Method of inputting user command and electronic apparatus using the same | SAMSUNG ELECTRONICS CO., LTD. |
10990272 | Display a subset of objects on a user interface | MICRO FOCUS LLC |
10990273 | Ultra touch functions and systems incorporating the same | -- |
10990274 | Information processing program, information processing method, and information processing device | CYGAMES, INC. |
10990275 | Electronic device with settable low power consumption mode | KYOCERA DOCUMENT SOLUTIONS INC. |
10990277 | Creating tables using gestures | ATLASSIAN PTY LTD. |
10990278 | Method and device for controlling information flow display panel, terminal apparatus, and storage medium | BEIJING MICROLIVE VISION TECHNOLOGY CO., LTD. |
10990279 | Notification channels for notifications of a computing device | GOOGLE LLC |
10990280 | Smart keyboard | PARALLELS INTERNATIONAL GMBH |
10990281 | RAM controller configured to selectively boot memory and method of operating the same | SAMSUNG ELECTRONICS CO., LTD. |
10990282 | Hybrid data tiering with cloud storage | PURE STORAGE, INC. |
10990283 | Proactive data rebuild based on queue feedback | PURE STORAGE, INC. |
10990284 | Alert configuration for data protection | EMC IP HOLDING COMPANY LLC |
10990286 | Parallel upgrade of nodes in a storage system | EMC IP HOLDING COMPANY LLC |
10990287 | Data storage device capable of reducing latency for an unmap command, and operating method thereof | SK HYNIX INC. |
10990288 | Systems and/or methods for leveraging in-memory storage in connection with the shuffle phase of MapReduce | SOFTWARE AG USA, INC. |
10990289 | Data storage systems using time-based read ahead | SEAGATE TECHNOLOGY LLC |
10990290 | High-volume, low-latency data processing in flexibly configured local heterogeneous computing environments | ALIBABA GROUP HOLDING LIMITED |
10990291 | Software assist memory module hardware architecture | DELL PRODUCTS L.P. |
10990292 | Flash memory controller, control method of flash memory controller and associated electronic device | -- |
10990293 | Extensible storage system and method | WESTERN DIGITAL TECHNOLOGIES, INC. |
10990294 | Non-volatile storage system with multi-read mode | WESTERN DIGITAL TECHNOLOGIES, INC. |
10990295 | Write level optimization for non-volatile memory | WESTERN DIGITAL TECHNOLOGIES, INC. |
10990296 | Priority-based data movement | WESTERN DIGITAL TECHNOLOGIES. INC. |
10990297 | Checkpointing of user data and metadata in a non-atomic persistent storage environment | EMC IP HOLDING COMPANY LLC |
10990298 | Implementing data requests with quality of service information | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10990299 | Storing data based on the physical accessibility of data storage devices | LENOVO ENTERPRISE SOLUTIONS (SINGAPORE) PTE. LTD |
10990300 | Methods for restricting read access to supply chips | LEXMARK INTERNATIONAL, INC. |
10990301 | Memory module capable of reducing power consumption and semiconductor system including the same | SK HYNIX INC. |
10990303 | Memory allocation method and apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
10990304 | Two-dimensional scalable versatile storage format for data storage devices | WESTERN DIGITAL TECHNOLOGIES, INC. |
10990305 | Storage apparatus and configuration management request processing method for storage apparatus | HITACHI, LTD. |
10990306 | Bandwidth sharing for paired storage systems | PURE STORAGE, INC. |
10990307 | System and method for providing a configurable storage media interface | BROADCOM INTERNATIONAL PTE. LTD. |
10990308 | Solid state drive controller | MICRON TECHNOLOGY, INC. |
10990309 | Technologies for coordinating disaggregated accelerator device resources | INTEL CORPORATION |
10990310 | Sub-block data deduplication | EMC IP HOLDING COMPANY LLC |
10990311 | Multi-stream non-volatile storage system | WESTERN DIGITAL TECHNOLOGIES, INC. |
10990312 | Method, apparatus, device and storage medium for processing data location of storage device | BEIJING BAIDU NETCOM SCIENCE TECHNOLOGY CO., LTD. |
10990313 | Multi-storage node system and capacity management method of multi-storage node system | HITACHI, LTD. |
10990314 | Information processing system, information processing method, management device, management method, communication device, communication method, and program | SONY CORPORATION |
10990315 | Write transfer resource management in a data storage system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10990316 | Log-based storage for different data types in non-volatile memory | WESTERN DIGITAL TECHNOLOGIES, INC. |
10990317 | Memory with automatic background precondition upon powerup | MICRON TECHNOLOGY, INC. |
10990318 | Method and system for receiving a data file | PACBYTE SOLUTIONS PTY LTD |
10990319 | Adaptive watchdog in a memory device | MICRON TECHNOLOGY, INC. |
10990320 | Systems and methods to optimally select metablocks | WESTERN DIGITAL TECHNOLOGIES, INC. |
10990321 | Memory sub-system for supporting deterministic and non-deterministic commands based on command expiration and the state of the intermediate command queue | MICRON TECHNOLOGY, INC. |
10990322 | Memory buffer chip, memory system and method of controlling the memory buffer chip | SK HYNIX INC. |
10990323 | Flash memory controller, memory device and method for accessing flash memory module | -- |
10990324 | Storage node processing of predefined data functions | WESTERN DIGITAL TECHNOLOGIES, INC. |
10990325 | Write control method, associated data storage device and controller thereof | -- |
10990326 | High-speed replay of captured data packets | FMAD ENGINEERING KABUSHIKI GAISHA |
10990327 | Storage device and method of operating the same | SAMSUNG ELECTRONICS CO., LTD. |
10990328 | Image forming apparatus | KYOCERA DOCUMENT SOLUTIONS INC. |
10990329 | Waking an electronic device, such as a printer, from a sleep mode based on a user policy and proximity | KYOCERA DOCUMENT SOLUTIONS INC. |
10990330 | Printing system including first and second information terminals, client information terminal, and management section coupled to each other via network | SEIKO EPSON CORPORATION |
10990331 | Print control device, non-transitory computer-readable medium, and print control method | OKI DATA CORPORATION |
10990332 | Print control device, page data correction method, and raster data generation method | SCREEN HOLDINGS CO., LTD. |
10990333 | Methods and systems for providing an alternate media size option for a print job and handling the same | XEROX CORPORATION |
10990334 | System, server and method of controlling the system and method of controlling the server | CANON KABUSHIKI KAISHA |
10990335 | Image forming apparatus | KYOCERA DOCUMENT SOLUTIONS INC. |
10990336 | Image forming apparatus equipped with a plurality of sheet feeders, control method therefor, and program | CANON KABUSHIKI KAISHA |
10990337 | Print server providing print-ready jobs to printers in anticipation of user printer selection | XEROX CORPORATION |
10990338 | Information processing system and non-transitory computer readable medium | FUJI XEROX CO., LTD. |
10990339 | Electronic device having plurality of display panels, first and second panels display images inside the housing and third display panel connecting to external interface port | SAMSUNG ELECTRONICS CO., LTD. |
10990340 | Display apparatus and control device | JAPAN DISPLAY INC. |
10990341 | Display apparatus, method of controlling the same and recording medium thereof | SAMSUNG ELECTRONICS CO., LTD. |
10990342 | Multi-viewpoint switched shooting system and method | LAS VEGAS |
10990343 | Movable display device | -- |
10990344 | Information processing apparatus, information processing system, and information processing method | RICOH COMPANY, LTD. |
10990345 | Synchronization of digital content consumption | FACEBOOK TECHNOLOGIES, LLC |
10990346 | Electronic blackboard system, electronic blackboard, and electronic blackboard method | RICOH COMPANY, LTD. |
10990347 | ATV mobile stereo insert | -- |
10990348 | Detection method and detection device for audio signal | -- |
10990349 | Wireless audio splitter | APPLE INC. |
10990350 | Audio content production, audio sequencing, and audio blending system and method | SUPER HI FI, LLC |
10990351 | Voice-based grading assistant | GICSOFT, INC. |
10990361 | Customizable graphical user interface for data management | MICROSOFT TECHNOLOGY LICENSING, LLC |
10990378 | Storage device and operating method thereof | SK HYNIX INC. |
10990380 | Power safe offline download | WESTERN DIGITAL TECHNOLOGIES, INC. |
10990385 | Streaming configuration management | AMAZON TECHNOLOGIES, INC. |
10990415 | Disk management method and apparatus in ARM device and ARM device | HUAWEI TECHNOLOGIES CO., LTD. |
10990419 | Dynamic multi monitor display and flexible tile display | MICROSOFT TECHNOLOGY LICENSING, LLC |
10990420 | Customizing user interface components | DELL PRODUCTS L.P. |
10990421 | AI-driven human-computer interface for associating low-level content with high-level activities using topics as an abstraction | MICROSOFT TECHNOLOGY LICENSING, LLC |
10990430 | Efficient data management improvements, such as docking limited-feature data management modules to a full-featured data management system | COMMVAULT SYSTEMS, INC. |
10990456 | Methods and systems for facilitating application programming interface communications | ROVI GUIDE, INC. |
10990464 | Block-storage service supporting multi-attach and health check failover mechanism | AMAZON TECHNOLOGIES, INC. |
10990467 | Accessing computing resource attributes of an external service provider | NUTANIX, INC. |
10990478 | Flexible reliability coding for storage on a network | FUNGIBLE, INC. |
10990479 | Efficient packing of compressed data in storage system implementing data striping | EMC IP HOLDING COMPANY LLC |
10990480 | Performance of RAID rebuild operations by a storage group controller of a storage system | PURE STORAGE, INC. |
10990490 | Creating a synchronous replication lease between two or more storage systems | PURE STORAGE, INC. |
10990491 | Storage control apparatus and recovery method of a failed track | HITACHI, LTD. |
10990498 | Data storage device and operating method thereof | SK HYNIX INC. |
10990504 | Time travel source code debugger incorporating future prediction | OZCODE LTD. |
10990505 | Stipulated overrides with violation resolution | DREAMWORKS ANIMATION LLC |
10990511 | Apparatus and application interface traversing method | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
10990512 | User interface test coverage | DEVFACTORY INNOVATIONS FZ, LLC |
10990523 | Memory controller and operating method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10990527 | Storage array with N-way active-active backend | EMC IP HOLDING COMPANY LLC |
10990529 | Multi-power-domain bridge with prefetch and write merging | TEXAS INSTRUMENTS INCORPORATED |
10990532 | Object storage system with multi-level hashing function for storage address determination | INTEL CORPORATION |
10990535 | Storage control apparatus and storage control method for deduplication | FUJITSU LIMITED |
10990542 | Flash memory system and method of generating quantized signal thereof | KOREA INSTITUTE OF SCIENCE AND TECHNOLOGY |
10990548 | Quality of service levels for a direct memory access engine in a memory sub-system | MICRON TECHNOLOGY, INC. |
10990549 | Methods and devices for extending USB 3.0—compliant communication over an extension medium | ICRON TECHNOLOGIES CORPORATION |
10990551 | High speed, parallel configuration of multiple field programmable gate arrays | MICRON TECHNOLOGY, INC. |
10990564 | Distributed columnar data set and metadata storage | SAS INSTITUTE INC. |
10990566 | Persistent file locks in a storage system | PURE STORAGE, INC. |
10990615 | Visual search system for finding trip destination | UBER TECHNOLOGIES, INC. |
10990625 | Playlist preview | SPOTIFY AB |
10990638 | Processing ambiguous search requests in a geographic information system | GOOGLE LLC |
10990640 | Enhancing map data based on points of interest | UBER TECHNOLOGIES, INC. |
10990641 | Configuration of content site user interaction monitoring in data networks | TEALIUM INC. |
10990646 | System for dynamic user interface generation | HSBC GROUP MANAGEMENT SERVICES LIMITED |
10990657 | Platform agnostic object processing | GLICQ, INC. |
10990659 | Graphical fingerprint authentication manager | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10990688 | Virtual storage system and method of sharing electronic documents within the virtual storage system | VIRTUAL STRONGBOX, INC. |
10990719 | Assimilating a soil sample into a digital nutrient model | THE CLIMATE CORPORATION |
10990735 | System and method for generating a cluster-based power architecture user interface | SYNOPSYS, INC. |
10990748 | Electronic device and operation method for providing cover of note in electronic device | SAMSUNG ELECTRONICS CO., LTD. |
10990749 | Messaging application with presentation service | ZOHO CORPORATION PRIVATE LIMITED |
10990752 | Online purchase autofill plug-in | AMERICAN EXPRESS TRAVEL RELATED SERVICES COMPANY, INC. |
10990755 | Altering text of an image in augmented or virtual reality | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10990756 | Cognitive display device for virtual correction of consistent character differences in augmented or virtual reality | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10990757 | Contextual windows for application programs | MICROSOFT TECHNOLOGY LICENSING, LLC |
10990761 | Method and system for providing multimodal content to selective users during visual presentation | WIPRO LIMITED |
10990768 | Method and device for translating object information and acquiring derivative information | SAMSUNG ELECTRONICS CO., LTD. |
10990783 | Method for fingerprint collection and related products | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10990784 | Signal receiving circuit and driving method thereof, display panel and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
10990785 | Apparatus and method for measuring a capacitance, and a fingerprint sensor utilizing the same | NXP B.V. |
10990786 | Detection apparatus | JAPAN DISPLAY INC. |
10990789 | Display apparatus including light-receiving pixel area | LG DISPLAY CO., LTD. |
10990790 | Display device | -- |
10990792 | Electronic device including biometric sensor | SAMSUNG ELECTRONICS CO., LTD. |
10990794 | Operation method of texture recognition device and texture recognition device | BOE TECHNOLOGY GROUP CO., LTD. |
10990797 | Method of characterizing and imaging microscopic objects | NANOLIVE SA |
10990823 | Monitoring system | KYOCERA DOCUMENT SOLUTIONS INC. |
10990828 | Key frame extraction, recording, and navigation in collaborative video presentations | LOGMELN, INC. |
10990842 | Display for sensing input including a fingerprint and electronic device including display | SAMSUNG ELECTRONICS CO., LTD. |
10990843 | Method and electronic device for enhancing efficiency of searching for regions of interest in a virtual environment | -- |
10990847 | Prediction of business outcomes by analyzing image interests of users | DOTIN INC. |
10990861 | Printer communication using formatted printing information and alteration or deletion of formatted printing information | SEIKO EPSON CORPORATION |
10990899 | Deep and wide machine learned model for job recommendation | MICROSOFT TECHNOLOGY LICENSING, LLC |
10990900 | Scheduling machine learning tasks, and applications thereof | VEDA DATA SOLUTIONS, INC. |
10990914 | Filtering records on a unified display | MICROSOFT TECHNOLOGY LICENSING, LLC |
10990939 | Method and device for voice broadcast | ADVANCED NEW TECHNOLOGIES CO., LTD. |
10990954 | Method and apparatus for performing payment function in limited state | SAMSUNG ELECTRONICS CO., LTD. |
10990958 | RFID/NFC functionality for portable electronic devices | SMART CELLCO, INC. |
10990973 | Device for entry on a touch-sensitive surface and corresponding method | INGENICO GROUP |
10990985 | Remote supervision of client device activity | XCELLENCE, INC. |
10990994 | System and method for providing virtual items to users of a virtual space | KABAM, INC. |
10990996 | Predicting application conversion using eye tracking | INTUIT, INC. |
10991016 | System and method for real-time integrated credit card management | BLACKBAUD, INC. |
10991071 | Information processing apparatus and recording medium | RICOH COMPANY, LTD. |
10991075 | Display engine surface blending and adaptive texel to pixel ratio sample rate system, apparatus and method | INTEL CORPORATION |
10991123 | Three-dimensional object localization using a lookup table | GOOGLE LLC |
10991136 | System for visualizing functions that depend on multiple parameters | KEYSIGHT TECHNOLOGIES, INC. |
10991138 | Systems and methods for in-flight virtual reality displays for passenger and crew assistance | THE BOEING COMPANY |
10991139 | Presentation of graphical object(s) on display to avoid overlay on another item | LENOVO (SINGAPORE) PTE. LTD. |
10991140 | System suitable for one or both of audio processing and graphics processing and a method of processing in association therewith | CREATIVE TECHNOLOGY LTD |
10991143 | Animated faces using texture manipulation | ROBLOX CORPORATION |
10991159 | Providing a virtual reality transportation experience | LYFT, INC. |
10991162 | Integrating a user of a head-mounted display into a process | -- |
10991163 | Projection casting in virtual environments | FACEBOOK TECHNOLOGIES, LLC |
10991166 | Electric appliance control method, terminal, electric appliance, electronic equipment and storage medium | BAIDU ONLINE NETWORK TECHNOLOGY (BEIJING) CO., LTD. |
10991196 | Verifying a first device is in communications with a server by storing a value from the first device and accessing the value from a second device | CFPH, LLC |
10991212 | Assigned seating system for an automated taxi | MOTIONAL AD LLC |
10991214 | Remote reestablishment of one-way communications session with mobile bug | CALLYO 2009 CORP |
10991220 | Wireless dual-mode identification tag | NEXITE LTD. |
10991233 | Detection of authorized user presence and handling of unauthenticated monitoring system commands | ALARM.COM INCORPORATED |
10991263 | Instructional method and system of an electronic keyboard, instructional electronic keyboard, and a storage medium | -- |
10991267 | Systems and methods providing a computerized eyewear device to aid in welding | LINCOLN GLOBAL, INC. |
10991269 | Microfluidic actuators with integrated addressing | THE REGENTS OF THE UNIVERSITY OF MICHIGAN |
10991272 | Clear extended content label with selectively detackified adhesive | AVERY DENNISON CORPORATION |
10991277 | Flexible display substrate | BOE TECHNOLOGY GROUP CO., LTD. |
10991292 | System and method for protecting sensitive on-screen information | THE REGENTS OF THE UNIVERSITY OF MICHIGAN |
10991314 | Scan driver and display device having the same | SAMSUNG DISPLAY CO., LTD. |
10991342 | Terminal apparatus, system, and method of displaying image | RICOH COMPANY, LTD. |
10991344 | Display controller, display control system, electro-optical device, electronic apparatus, and mobile unit | SEIKO EPSON CORPORATION |
10991345 | Managing transitions of adaptive display rates for different video playback scenarios | MICROSOFT TECHNOLOGY LICENSING, LLC |
10991349 | Method and system for musical synthesis using hand-drawn patterns/text on digital and non-digital surfaces | SAMSUNG ELECTRONICS CO., LTD. |
10991350 | Apparatus, system, and method for recording and rendering multimedia | INTELLITERRAN, INC. |
10991371 | Voice function control method and apparatus | ADVANCED NEW TECHNOLOGIES CO., LTD. |
10991372 | Method and apparatus for activating device in response to detecting change in user head feature, and computer readable storage medium | BEIJING BAIDU NETCOM SCIENC AND TECHNOLOGY CO., LTD. |
10991373 | Voice command processing for locked devices | AMAZON TECHNOLOGIES, INC. |
10991395 | Method for real time video processing involving changing a color of an object on a human face in a video | SNAP INC. |
10991397 | Masking in video stream | GENETEC INC. |
10991411 | Method and apparatuses for performing a voltage adjustment operation on a section of memory cells based on a quantity of access operations | MICRON TECHNOLOGY, INC. |
10991412 | Storage device and method for operating storage device | SAMSUNG ELECTRONICS CO., LTD. |
10991417 | Auto-precharge management in a controller | XILINX, INC. |
10991430 | Non-volatile memory cell compliant to a near memory computation system | -- |
10991436 | Dynamic delay of NAND read commands | MICRON TECHNOLOGY, INC. |
10991443 | Memory apparatus and data read method | TOSHIBA MEMORY CORPORATION |
10991451 | BamBam: parallel comparative analysis of high-throughput sequencing data | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
10991459 | Performance monitoring systems and methods | ADIDAS AG |
10991499 | Drive waveform adjustments to compensate for transducer resonant frequency | CIRRUS LOGIC, INC. |
10991520 | Key structure and keyboard using the same | LITE-ON ELECTRONICS (GUANGZHOU) LIMITED |
10991524 | Information handling system keyboard discrete row illumination | DELL PRODUCTS L.P. |
10991726 | Pixel array substrate | -- |
10991754 | Display device with stacking design of sensing element | -- |
10991771 | Display device | SAMSUNG DISPLAY CO., LTD. |
10991772 | Touch display panel and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10992171 | Uninterruptible Power Supply with wirelessly configurable operational parameters | SCHNEIDER ELECTRIC IT CORPORATION |
10992297 | Device comprising force sensors | CIRRUS LOGIC, INC. |
10992451 | Audio and video playback system and method for playing audio data applied thereto | -- |
10992491 | Smart home automation systems and methods | NANT HOLDINGS IP, LLC |
10992530 | Dashboard overview navigation and search system | SERVICENOW, INC. |
10992533 | Policy based path management | PURE STORAGE, INC. |
10992537 | Automatic grouping of similar applications and devices on a network map | SERVICENOW, INC. |
10992544 | Identification and display of configuration item information | SERVICENOW, INC. |
10992555 | Recording, replay, and sharing of live network monitoring views | VIRTUAL INSTRUMENTS WORLDWIDE, INC. |
10992598 | Synchronously replicating when a mediation service becomes unavailable | PURE STORAGE, INC. |
10992605 | Systems and methods for operating a mobile application using a conversation interface | PAG FINANCIAL INTERNATIONAL LLC |
10992623 | Digital media messages and files | NIGHTLIGHT SYSTEMS LLC |
10992628 | Short message communication within a mobile graphical map | PALANTIR TECHNOLOGIES INC. |
10992629 | Notifying a user about a previous conversation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10992659 | Multi-factor authentication devices | CAPITAL ONE SERVICES, LLC |
10992684 | Distributed identification in networked system | GOOGLE LLC |
10992726 | Detecting sensor-based interactions with client device in conjunction with presentation of content | ADSWIZZ INC. |
10992761 | Executing requests for services using shared location data | FACEBOOK, INC. |
10992766 | Adaptive compression of stored data | CHICAGO MERCANTILE EXCHANGE INC. |
10992773 | Action prompt transmission based on attribute affinity | MICROSOFT TECHNOLOGY LICENSING, LLC |
10992776 | System for offline processing of organizational networks | MICROSOFT TECHNOLOGY LICENSING, LLC |
10992795 | Methods and interfaces for home media control | APPLE INC. |
10992824 | Information processing system, processing execution method, and service providing system | RICOH COMPANY, LTD. |
10992827 | Information processing system, information processing apparatus, information processing method, and storage medium to execute layout processing | CANON KABUSHIKI KAISHA |
10992829 | Image forming apparatus performing processing for resolving user's complaint against to printed result | KYOCERA DOCUMENT SOLUTIONS INC. |
10992830 | Information processing terminal having operable objects on a screen, information processing system having operable objects on a screen, and operation screen display method regarding operable object | RICOH COMPANY, LTD. |
10992831 | Information processing system for setting dependency relationships for input items on a screen related to a process flow | RICOH COMPANY, LTD. |
10992832 | Image forming apparatus | CANON KABUSHIKI KAISHA |
10992836 | Augmented property system of curated augmented reality media elements | PIPBIN, INC. |
10992840 | Obtaining printed element data of patches to determine calibration data of a printer | HP INDIGO B.V. |
10992857 | Input control device, input control method, and operation system | SONY CORPORATION |
10992858 | Electronic device and function assigning method | SONY CORPORATION |
10992897 | Operating method of pixel circuit and image system | -- |
10992899 | Systems and methods for sharing capture settings for visual content capture | GOPRO, INC. |
10992902 | Aspect ratio conversion with machine learning | DISNEY ENTERPRISES, INC. |
10992914 | Image processing method for providing virtual reality, and virtual reality device | SAMSUNG ELECTRONICS CO., LTD. |
10992926 | Head mounted display system capable of displaying a virtual scene and a real scene in a picture-in-picture mode, related method and related non-transitory computer readable storage medium | -- |
10992967 | Ultra high-speed low-latency network storage | SONY INTERACTIVE ENTERTAINMENT LLC |
10992987 | Maintaining a user profile based on dynamic data | ROVI GUIDES, INC. |
10992995 | Systems and methods of displaying content | OPENTV, INC. |
10993030 | Shape-shifting headphones | HARMAN INTERNATIONAL INDUSTRIES, INCORPORATED |
10993047 | System and method for aiding hearing | TEXAS INSTITUTE OF SCIENCE, INC. |
10993057 | Electronic device microphone listening modes | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10993064 | Apparatus and associated methods for presentation of audio content | NOKIA TECHNOLOGIES OY |
10993065 | Systems and methods of calibrating earphones | HARMAN INTERNATIONAL INDUSTRIES, INCORPORATED |
10993066 | Apparatus and associated methods for presentation of first and second virtual-or-augmented reality content | NOKIA TECHNOLOGIES OY |
10993067 | Apparatus and associated methods | NOKIA TECHNOLOGIES OY |
10993416 | Smart AI powered animal body harness and armour system for real time monitoring of human health and behaviour when within proximity to any support animal or pet | -- |
10993587 | Interactive display device | OP-HYGIENE IP GMBH |
10993608 | Endoscope system and control method | OLYMPUS CORPORATION |
10993637 | Operating apparatus for medical apparatus | SONY OLYMPUS MEDICAL SOLUTIONS INC. |
10993695 | Portable expansion unit for operating a medical device, and method for operating a medical device | SIEMENS HEALTHCARE GMBH |
10993703 | Ultrasound diagnosis apparatus and computer readable recording medium | KONICA MINOLTA, INC. |
10993770 | Controlled treatment of tissue and dynamic interaction with, and comparison of, tissue and/or treatment data | GYNESONICS, INC. |
10993793 | System and method for following and conducting laboratory procedures | -- |
10993837 | Medical device data filtering for real time display | JOHNSON & JOHNSON SURGICAL VISION, INC. |
10994077 | Enhanced confirmations for touchscreen infusion pump | TANDEM DIABETES CARE, INC. |
10994088 | Method and device for operating breathing apparatus | LOEWENSTEIN MEDICAL TECHNOLOGY S.A. |
10994188 | Shin guard with remote haptic feedback | NIKE, INC. |
10994196 | Actuation for a focus adjusting head mounted display | FACEBOOK TECHNOLOGIES, LLC |
10994200 | Information processing apparatus, information processing system, information processing method, program and information recording medium | SONY INTERACTIVE ENTERTAINMENT INC. |
10994202 | Simulated previews of dynamic virtual cameras | INTEL CORPORATION |
10994206 | Apparatus and method for controlling object | NEXON KOREA CORPORATION |
10994209 | Shadow banning in social VR setting | SONY INTERACTIVE ENTERTAINMENT AMERICA LLC |
10994211 | Game processing program, game processing method, and game processing device | GREE, INC. |
10994612 | Agent system, agent control method, and storage medium | HONDA MOTOR CO., LTD. |
10994613 | Information display device | MAXELL, LTD. |
10994656 | Device and method for rear-view vision with electronic display for a vehicle | VALEO SYSTEMES THERMIQUES |
10994745 | Information estimating system, information estimating method and recording medium | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10994864 | System and method for data transfer via a display device including a bezel light sensor | ROCKWELL COLLINS, INC. |
10994928 | Smart waste receptacle | GARBI INC. |
10995437 | Coordinate data generator, sewing machine, and non-transitory recording medium | JANOME SEWING MACHINE CO., LTD. |
10996058 | Graphical user interface (GUI) within CRM solution enabling layer views for connected devices | SALESFORCE.COM, INC. |
10996177 | Automated inspection system for composite structures | THE BOEING COMPANY |
10996235 | System and method for cycle duration measurement in repeated activity sequences | ROBERT BOSCH GMBH |
10996393 | High density energy directing device | LIGHT FIELD LAB, INC. |
10996467 | Head-mounted display and control apparatus and method | BAE SYSTEMS PLC |
10996469 | Method and apparatus for providing driving information of vehicle, and recording medium | HYUNDAI MOTOR COMPANY |
10996472 | Augmented reality display systems with variable, directional light transmission enhancing virtual images at an observation point | -- |
10996473 | Method and apparatus for adjusting motion-based data space manipulation | ATHEER, INC. |
10996474 | Virtual reality system and information processing system | BRAINY INC. |
10996477 | Virtual reality head-mounted apparatus | ADVANCED NEW TECHNOLOGIES CO., LTD. |
10996498 | Display apparatus with touch sensing and force sensing functions | -- |
10996519 | Display device | JAPAN DISPLAY INC. |
10996530 | Liquid crystal display device | PANASONIC LIQUID CRYSTAL DISPLAY CO., LTD. |
10996582 | Image forming apparatus | CANON KABUSHIKI KAISHA |
10996635 | Information processing apparatus, control method, and program | SONY CORPORATION |
10996660 | Augmented manufacturing system | TULIP INTERFACES, INE. |
10996666 | Unmanned aerial vehicle control method and apparatus | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
10996712 | Electronic device | KYOCERA CORPORATION |
10996717 | Speaker assembly in a display assistant device | GOOGLE LLC |
10996719 | Multi-form factor information handling system (IHS) with layered, foldable, bendable, flippable, rotatable, removable, displaceable, and/or slideable component(s) | DELL PRODUCTS L.P. |
10996728 | Power supply signal conditioning system based on drive-sense circuit (DSC) processing | SIGMASENSE, LLC. |
10996740 | Write data protection at emergency power off | WESTERN DIGITAL TECHNOLOGIES, INC. |
10996741 | Augmented reality conversation feedback | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10996742 | Input device for AR/VR applications | LOGITECH EUROPE S.A. |
10996743 | Electronic system and controller and the operating method for the same | -- |
10996744 | NTE display systems and methods with optical trackers | HONEYWELL INTERNATIONAL INC. |
10996745 | Method and device for determining gaze point mapping function, and method and device for determining gaze point | BEIJING 7INVENSUN TECHNOLOGY CO., LTD. |
10996746 | Real-time computational solutions to a three-dimensional eye tracking framework | MICROSOFT TECHNOLOGY LICENSING, LLC |
10996747 | Line-of-sight detection device, line-of-sight detection method, and medium | JVCKENWOOD CORPORATION |
10996748 | Gaze-dependent display encryption | APPLE INC. |
10996749 | Immersive headset system and control method thereof | -- |
10996750 | Apparatus and method for adaptively magnifying graphic user interfaces on display | NHN CORPORATION |
10996751 | Training of a gaze tracking model | TOBII AB |
10996752 | Infrared transparent backlight device for eye tracking applications | FACEBOOK TECHNOLOGIES, LLC |
10996753 | Multi-mode eye-tracking with independently operable illuminators | EYETECH DIGITAL SYSTEMS, INC. |
10996754 | Manufacturing monitoring system | AURORA FLIGHT SCIENCES CORPORATION |
10996755 | Piezoelectric haptic feedback module | GOOGLE LLC |
10996756 | Tactile input mechanisms, artificial-reality systems, and related methods | FACEBOOK TECHNOLOGIES, LLC |
10996757 | Methods and apparatus for generating haptic interaction for virtual reality | SONY INTERACTIVE ENTERTAINMENT INC. |
10996758 | Object execution method using an input pressure and apparatus executing the same | SAMSUNG ELECTRONICS CO., LTD. |
10996759 | Adjustable tactile feedback with force sensors and haptic actuators | RAZER (ASIA-PACIFIC) PTE. LTD. |
10996760 | Device, system and method for tactile sensation recognition | DAEGU GYEONGBUK INSTITUTE OF SCIENCE AND TECHNOLOGY |
10996761 | User interfaces for non-visual output of time | APPLE INC. |
10996762 | Interactive display with tactile feedback | TACTILE DISPLAYS, LLC |
10996763 | System for and method of managing gestures in virtual reality | JPMORGAN CHASE BANK, N.A. |
10996764 | Systems and methods for automating components with guarded touchscreen controls | ROCKWELL COLLINS, INC. |
10996765 | Controller for finger gesture recognition and method for recognizing finger gesture | -- |
10996766 | Devices, methods, and graphical user interfaces for providing a home button replacement | APPLE INC. |
10996767 | Management of user context for operation of IHS peripherals | DELL PRODUCTS L.P. |
10996768 | Device and method for orientation and positioning | XYZ INTERACTIVE TECHNOLOGIES INC. |
10996769 | Contact lens-based eye tracking | TECTUS CORPORATION |
10996770 | Multi-mode scroll wheel for input device | -- |
10996771 | Electronic apparatus and control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10996772 | Structure of digital pen including antenna | SAMSUNG ELECTRONICS CO., LTD. |
10996773 | Gyratory sensing system to enhance wearable device user experience via HMI extension | INTEL CORPORATION |
10996774 | Method and apparatus for providing interoperability between devices | NOKIA TECHNOLOGIES OY |
10996775 | Dynamic sensor assignment | VALVE CORPORATION |
10996776 | Electronic device and feedback providing method | SONY CORPORATION |
10996777 | Input sensing unit and electronic device including the same | SAMSUNG DISPLAY CO., LTD. |
10996778 | Display apparatus with integrated touch screen and method for fabricating the same | LG DISPLAY CO., LTD. |
10996779 | Display substrate, display panel, touch display device and method for fabricating the same | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10996780 | Display arrangement | ZYTRONIC DISPLAYS LIMITED |
10996781 | Display panel and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10996782 | Touch sensing unit and electronic device having same | SAMSUNG DISPLAY CO., LTD. |
10996783 | Display device | JAPAN DISPLAY INC. |
10996784 | Display device with touch detection device | JAPAN DISPLAY INC. |
10996785 | Touch display device and touch display panel having a bend area including a dielectric layer with a compensation pattern | LG DISPLAY CO., LTD. |
10996786 | Method and apparatus for controlling multi window display in interface | BEIJING JINGDONG SHANGKE INFORMATION TECHNOLOGY CO., LTD. |
10996787 | Gesture-equipped touch screen system, method, and computer program product | P4TENTS1, LLC |
10996788 | Device, method, and graphical user interface for transitioning between display states in response to a gesture | APPLE INC. |
10996789 | Touch display panel and method of automatically recording firmware thereof | -- |
10996790 | Touch system | -- |
10996791 | Touch display device, data driving circuit, and driving method for independently performing display and touch sensing | LG DISPLAY CO., LTD. |
10996792 | Partial mutual capacitive touch sensing in a touch sensitive device | STMICROELECTRONICS ASIA PACIFIC PTE LTD |
10996793 | Correction of vibration-induced error for touch screen display in an aircraft | GE AVIATION SYSTEMS LIMITED |
10996794 | Method and apparatus for processing edge of touch screen | ZTE CORPORATION |
10996795 | Anti-glare and anti-reflective tactile effect surface for optical touch detection | BEECHROCK LIMITED |
10996796 | Touch panel, electronic device and method for generating touch instruction thereof | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
10996797 | Information processing device, information processing method, and computer program | SONY CORPORATION |
10996798 | Touch panel and sheet of touch sensors | TPK GLASS SOLUTIONS (XIAMEN) INC. |
10996799 | Touch screen assembly and electronic device with improved light transmittance | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10996800 | Display device | LG DISPLAY CO., LTD. |
10996801 | Capacitive touch detecting device capable of self-calibration | -- |
10996802 | Touch sensing method, touch chip, electronic device and touch system | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
10996803 | Display device | LG DISPLAY CO., LTD. |
10996804 | Electronic device | SAMSUNG DISPLAY CO., LTD. |
10996805 | Display device | JAPAN DISPLAY INC. |
10996806 | Touch display device | LG DISPLAY CO., LTD. |
10996807 | Touch sensor with modular shape and display device including the same | KOREA UNIVERSITY RESEARCH AND BUSINESS FOUNDATION |
10996808 | Method for producing a laminated film | CANATU OY |
10996809 | Display device and method of driving the same | SAMSUNG DISPLAY CO., LTD. |
10996810 | Window for an aircraft and display for aircraft window | GULFSTREAM AEROSPACE CORPORATION |
10996811 | Augmented reality user interface control | SNAP INC. |
10996812 | Positioning mechanism for bubble as a custom tooltip | MICROSOFT TECHNOLOGY LICENSING, LLC |
10996813 | Digital treatment planning by modeling inter-arch collisions | ALIGN TECHNOLOGY, INC. |
10996814 | Tactile feedback in a display system | REAL VIEW IMAGING LTD. |
10996815 | Electronic system for amending and studying codes of regulation | EASYSTUDY S.R.L. |
10996816 | Apparatus for analyzing mood | CYBAGE SOFTWARE PRIVATE LIMITED |
10996817 | Operation interface with sector menus in hierarchies, and processing method and display device thereof | SZ DJI TECHNOLOGY CO., LTD. |
10996818 | Method and system for facilitating management of lists | SAN FRANCISCO |
10996819 | Systems and methods for intellisense for SaaS application | CITRIX SYSTEMS, INC. |
10996820 | Method for displaying an operating menu and activating a cooking appliance | FRIMA INTERNATIONAL AG |
10996821 | Image processing apparatus, control method for image processing apparatus, and storage medium | CANON KABUSHIKI KAISHA |
10996822 | Control of item arrangement in a user interface | MICROSOFT TECHNOLOGY LICENSING, LLC |
10996823 | Interactive geospatial map | PALANTIR TECHNOLOGIES INC. |
10996824 | Display device and method for controlling display device | SAMSUNG ELECTRONICS CO., LTD. |
10996825 | Smartbook system having a synergistic page, spine, edge extension and power design | INTEL CORPORATION |
10996826 | Systems and methods for display of project management timelines | -- |
10996827 | System for rendering applications based on real time accessibility assessment | BANK OF AMERICA CORPORATION |
10996828 | Method and system for configuring selection of contextual dashboards | SYNACOR, INC. |
10996829 | Apparatus, method and program for processing data | FURUNO ELECTRIC COMPANY LIMITED |
10996830 | Method and apparatus for presenting media content | AT&T INTELLECTUAL PROPERTY I, L.P. |
10996831 | Augmented reality cursors | VULCAN INC. |
10996832 | Method for displaying object on device and device therefor | SAMSUNG ELECTRONICS CO., LTD. |
10996833 | Chromeless user interface | MICROSOFT TECHNOLOGY LICENSING, LLC |
10996834 | Touchscreen apparatus user interface processing method and touchscreen apparatus | HUAWEI DEVICE (DONGGUAN) CO., LTD. |
10996835 | Data preparation user interface with coordinated pivots | TABLEAU SOFTWARE, INC. |
10996837 | Electronic apparatus and method for controlling electronic apparatus | SEIKO EPSON CORPORATION |
10996838 | Automated teller device having accessibility configurations | THE TORONTO-DOMINION BANK |
10996839 | Providing consistent interaction models in communication sessions | MICROSOFT TECHNOLOGY LICENSING, LLC |
10996840 | Systems and methods for providing user-friendly access to relevant help documentation for software applications | -- |
10996841 | Interactive sliding touchbar for automotive display | VOLKSWAGEN AG |
10996842 | Computing device and browser for same | NINTENDO CO., LTD. |
10996843 | System and method for selecting graphical objects | MYSCRIPT |
10996844 | Program, method, and device for controlling application, and recording medium | HONDA MOTOR CO., LTD. |
10996845 | Method, application, browser, and electronic device for providing webpage content | ALIBABA GROUP HOLDING LIMITED |
10996846 | Neural network system for gesture, wear, activity, or carry detection on a wearable or mobile device | SNAP INC. |
10996847 | Method for providing content search interface and electronic device for supporting the same | SAMSUNG ELECTRONICS CO., LTD. |
10996848 | Wearable electronic device | KOREA ELECTRONICS TECHNOLOGY INSTITUTE |
10996849 | Electronic device, control method, and medium for allocating contents to touch screen edge software keys | KYOCERA CORPORATION |
10996850 | Method and apparatus for controlling virtual keyboard | LG ELECTRONICS INC. |
10996851 | Split virtual keyboard on a mobile computing device | MICROSOFT TECHNOLOGY LICENSING, LLC |
10996852 | Touch panel with arrow keys associated with direction of movement of a moving mechanism | DISCO CORPORATION |
10996853 | Deduplicated data block ownership determination | EMC IP HOLDING COMPANY LLC |
10996854 | Deletion of blocks in a blockchain | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10996855 | Memory allocation in a data analytics system | ALTERYX, INC. |
10996856 | Hardware-supported 3D-stacked NVM data compression method and system thereof | HUAZHONG UNIVERSITY OF SCIENCE AND TECHNOLOGY |
10996857 | Extent map performance | VERITAS TECHNOLOGIES LLC |
10996858 | Method and device for migrating data | EMC IP HOLDING COMPANY LLC |
10996859 | Utilizing redundant resources in a storage system | PURE STORAGE, INC. |
10996860 | Method to improve mixed workload performance on storage devices that use cached operations | INTEL CORPORATION |
10996861 | Method, device and computer product for predicting disk failure | EMC IP HOLDING COMPANY LLC |
10996862 | Adaptive read trim for second read data retention | WESTERN DIGITAL TECHNOLOGIES, INC. |
10996863 | Nonvolatile memory with configurable zone/namespace parameters and host-directed copying of data across zones/namespaces | RADIAN MEMORY SYSTEMS, INC. |
10996864 | Aggregating ALUA statuses from multiple arrays | EMC IP HOLDING COMPANY LLC |
10996865 | Application-specific memory scaling in multi-device systems | NVIDIA CORPORATION |
10996866 | Scalable auxiliary copy processing in a data storage management system using media agent resources | COMMVAULT SYSTEMS, INC. |
10996867 | Managing partial superblocks in a NAND device | MICRON TECHNOLOGY, INC. |
10996868 | Memory system storing management information and method of controlling same | TOSHIBA MEMORY CORPORATION |
10996869 | File system check system and method | EMC IP HOLDING COMPANY LLC |
10996870 | Deterministic read disturb counter-based data checking for NAND flash | TOSHIBA MEMORY CORPORATION |
10996871 | Hash-based data recovery from remote storage system responsive to missing or corrupted hash digest | EMC IP HOLDING COMPANY LLC |
10996872 | Memory device and memory system for direct communication between the memory devices | SAMSUNG ELECTRONICS CO., LTD. |
10996873 | System and method for I/O fencing based on storage array access control list | EMC IP HOLDING COMPANY LLC |
10996874 | Access revocation messaging mechanism | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10996875 | Making more active use of a secondary storage system | COHESITY, INC. |
10996876 | Systems and methods for dynamically modifying memory namespace allocation based on memory attributes and application requirements | DELL PRODUCTS L.P. |
10996877 | Semiconductor device and memory access setup method | RENESAS ELECTRONICS CORPORATION |
10996878 | Data pipeline architecture for cloud processing of structured and unstructured data | ACCENTURE GLOBAL SOLUTIONS LIMITED |
10996879 | Locality-based load balancing of input-output paths | EMC IP HOLDING COMPANY LLC |
10996880 | Data communication system device and method | CANON KABUSHIKI KAISHA |
10996881 | Storage device and method of operating the same | SK HYNIX INC. |
10996882 | Fittest stripe selection for storage of data in storage systems | EMC IP HOLDING COMPANY LLC |
10996883 | Storage system including host device and storage device configured to perform selective purge operation | SAMSUNG ELECTRONICS CO., LTD. |
10996884 | System and method for reference tracking garbage collector | EMC IP HOLDING COMPANY LLC |
10996885 | High bandwidth memory device and system device having the same | SAMSUNG ELECTRONICS CO., LTD. |
10996886 | Method and system for facilitating atomicity and latency assurance on variable sized I/O | ALIBABA GROUP HOLDING LIMITED |
10996887 | Clustered storage system with dynamic space assignments across processing modules to counter unbalanced conditions | EMC IP HOLDING COMPANY LLC |
10996888 | Write credits management for non-volatile memory | QUALCOMM INCORPORATED |
10996889 | Memory system and operation method thereof | SK HYNIX INC. |
10996890 | Memory module interfaces | MICRON TECHNOLOGY, INC. |
10996891 | Token management for write commands transmitted by a host over a plurality of interfaces to a storage controller | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10996892 | Apparatus and method for controlling data acceleration | EIDETIC COMMUNICATIONS INC. |
10996893 | Non-volatile storage partition identifier | MICROSOFT TECHNOLOGY LICENSING, LLC |
10996894 | Application storage segmentation reallocation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10996895 | Selecting a subset of storage units in a dispersed storage network | PURE STORAGE, INC. |
10996896 | Solid state drive multi-card adapter with integrated processing | SAMSUNG ELECTRONICS CO., LTD. |
10996897 | Storage virtualization for directories | MICROSOFT TECHNOLOGY LICENSING, LLC |
10996898 | Storage system configured for efficient generation of capacity release estimates for deletion of datasets | EMC IP HOLDING COMPANY LLC |
10996899 | System and method of configuring NVMe-oF devices using a baseboard management controller (BMC) | SAMSUNG ELECTRONICS CO., LTD. |
10996900 | Multi-cartridge control board with cartridge-external voice coil motor actuator components | SEAGATE TECHNOLOGY LLC |
10996901 | Information processing apparatus and non-transitory computer readable medium for changeably displaying a setting value of a specific setting item set to non-display | FUJI XEROX CO., LTD. |
10996902 | Parallel processing of monochromatic print jobs using data-processing color channels of color printing device that correspond to different color colorants | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10996903 | Apparatus and method for reducing energy use in a multi-function device | XEROX CORPORATION |
10996904 | Network control device, image forming apparatus, control method of network control device, and non-transitory recording medium | RICOH COMPANY, LTD. |
10996905 | Image forming system that uses presence confirmation requests and response requests for installation of a printer driver, image forming apparatus and terminal apparatus | KYOCERA DOCUMENT SOLUTIONS INC. |
10996906 | Image processing apparatus that is connectable with information processing apparatus providing service to image processing apparatus, control method therefor, and storage medium storing control program therefor | CANON KABUSHIKI KAISHA |
10996907 | Terminal device and non-transitory computer-readable medium storing computer-readable instructions for terminal device | BROTHER KOGYO KABUSHIKI KAISHA |
10996908 | Print system, printing apparatus, method of controlling the printing apparatus, and storage medium that generate print data to be printed using rendered print data | CANON KABUSHIKI KAISHA |
10996909 | Document processing for printing | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10996910 | Display apparatus and control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10996911 | Method of controlling a content displayed in an in-vehicle system | THE DIRECTV GROUP, INC. |
10996912 | Tiled display system and tiled display device | -- |
10996913 | Multimodal dual display | INTEL CORPORATION |
10996914 | Persistent geo-located augmented reality social network system and method | -- |
10996915 | Surrogate visitor mixed-reality live environment sharing system with remote visitors | DOUBLEME, INC. |
10996916 | Data output apparatus and method, and computer-readable recording medium | DOT INCORPORATION |
10996917 | User interfaces for audio media control | APPLE INC. |
10996918 | Systems, methods and computer program products for controlling the audio levels of multiple simultaneous communication channels | IPC SYSTEMS, INC. |
10996919 | Providing historical captured audio data to applications | MICROSOFT TECHNOLOGY LICENSING, LLC |
10996920 | Audio announcement prioritization system | GOOGLE LLC |
10996921 | Audio file processing to reduce latencies in play start times for cloud served audio files | GOOGLE LLC |
10996922 | Electronic apparatus for processing user utterance | SAMSUNG ELECTRONICS CO., LTD. |
10996923 | Display control device, display control system, and display control method | SHARP KABUSHIKI KAISHA |
10996924 | Drawing attention to a graphical element on a display | LENOVO (SINGAPORE) PTE. LTD. |
10996925 | Automated assistant suggestions for third-party vehicle computing devices with restricted architecture | GOOGLE LLC |
10996967 | Presenting virtual disks as dual ported drives to a virtual storage system | EMC IP HOLDING COMPANY LLC |
10996986 | Method and system for scheduling i/o operations for execution | YANDEX EUROPE AG |
10997002 | Quick actions | SERVICENOW, INC. |
10997022 | Storing data in accordance with encoded data slice revision levels in a storage network | PURE STORAGE, INC. |
10997023 | Processing a request for encoded data in a storage network | PURE STORAGE, INC. |
10997024 | Method and system utilizing quintuple parity to provide fault tolerance | ARIZONA BOARD OF REGENTS ON BEHALF OF THE UNIVERSITY OF ARIZONA |
10997026 | Dynamic data placement for replicated raid in a storage system | EMC IP HOLDING COMPANY LLC |
10997031 | System and method for log metadata automatic recovery on dual controller storage system | EMC IP HOLDING COMPANY LLC |
10997036 | Predictive capacity calculation backup modeling | EMC IP HOLDING COMPANY LLC |
10997039 | Data storage device and operating method thereof | SK HYNIX INC. |
10997051 | Server, method of controlling server, and computer program stored in computer readable medium therefor | TMAXSOFT CO., LTD. |
10997067 | Data storing method, memory controlling circuit unit and memory storage device | -- |
10997087 | Direct memory access | STMICROELECTRONICS (GRENOBLE 2) SAS |
10997088 | Secrecy system and decryption method of on-chip data stream of nonvolatile FPGA | GOWIN SEMICONDUCTOR CORPORATION, LTD. |
10997092 | Enabling out-of-band hardware management via an in-band communications channel | SEAGATE TECHNOLOGY LLC |
10997093 | NVME data processing method and NVME device | HUAWEI TECHNOLOGIES CO., LTD. |
10997096 | Enumerated per device addressability for memory subsystems | INTEL CORPORATION |
10997098 | Quality of service policy sets | NETAPP, INC. |
10997108 | Memory package including buffer, expansion memory module, and multi-module memory system | SAMSUNG ELECTRONICS CO., LTD. |
10997117 | Platform-independent architecture for real-time data processing | BANK OF AMERICA CORPORATION |
10997165 | Automated maintenance of external tables in database systems | SNOWFLAKE INC. |
10997166 | Comparison and visualization system | EBAY INC. |
10997182 | Information processing apparatus, and non-transitory computer readable medium storing an information processing program | FUJI XEROX CO., LTD. |
10997188 | Commenting in shared folder backed integrated workspaces | DROPBOX, INC. |
10997189 | Processing conversation attachments in shared folder backed integrated workspaces | DROPBOX, INC. |
10997192 | Data source correlation user interface | SPLUNK INC. |
10997200 | Synchronized organization directory with team member folders | DROPBOX, INC. |
10997217 | Systems and methods for visualizing object models of database tables | TABLEAU SOFTWARE, INC. |
10997219 | Node embedding in multi-view feature vectors | SNAP INC. |
10997222 | Conversational agent dialog flow user interface | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10997227 | Systems and methods for processing a natural language query in data tables | GOOGLE LLC |
10997230 | Image supply apparatus, information processing apparatus, control method thereof, and storage medium | CANON KABUSHIKI KAISHA |
10997234 | Suggested application download | SONOS, INC. |
10997239 | Image search system, image search method and storage medium | CANON KABUSHIKI KAISHA |
10997241 | Methods, systems, and media for associating scenes depicted in media content with a map of where the media content was produced | GOOGLE LLC |
10997246 | Managing and automatically linking data objects | AB INITIO TECHNOLOGY LLC |
10997254 | 1307458USCON1 search engine optimization in social question and answer systems | INTUIT INC. |
10997262 | Infinite scrolling search | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10997268 | Method for providing push service using web push, and electronic device supporting same | SAMSUNG ELECTRONICS CO., LTD. |
10997275 | In memory matrix multiplication and its usage in neural networks | GSI TECHNOLOGY INC. |
10997282 | Method for triggering a security-relevant function of a system, and system | VOLKSWAGEN AKTIENGESELLSCHAFT |
10997296 | System and method for restoration of a trusted system firmware state | ORACLE INTERNATIONAL CORPORATION |
10997305 | Information processing device, information processing method, and distributed component | SONY CORPORATION |
10997315 | Data processing systems for fulfilling data subject access requests and related methods | ONETRUST, LLC |
10997361 | Modular systems and methods for selectively enabling cloud-based assistive technologies | AUDIOEYE, INC. |
10997362 | Method and system for input areas in documents for handwriting devices | WACOM CO., LTD. |
10997364 | Operations on sound files associated with cells in spreadsheets | MICROSOFT TECHNOLOGY LICENSING, LLC |
10997367 | Eye tracking as a language proficiency test | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
10997383 | Smart driver | KINESTRAL TECHNOLOGIES, INC. |
10997392 | Electronic device capable of fingerprint recognition and method of driving the same | SAMSUNG DISPLAY CO., LTD. |
10997400 | Interest maintaining system and server | KABUSHIKI KAISHA TOSHIBA |
10997408 | Estimating document reading time based on apparent user reading activity | MICROSOFT TECHNOLOGY LICENSING, LLC |
10997420 | Context-awareness | MICROSOFT TECHNOLOGY LICENSING, LLC |
10997425 | Methods and systems of spatiotemporal pattern recognition for video content development | SECOND SPECTRUM, INC. |
10997442 | Control apparatus, control method, agent apparatus, and computer readable storage medium | HONDA MOTOR CO., LTD. |
10997444 | Use of human input recognition to prevent contamination | MEDIVATORS INC. |
10997445 | Facial recognition-based authentication | ALIBABA GROUP HOLDING LIMITED |
10997478 | Print profile adjustments via iterative sample selections | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10997480 | Image forming apparatus and control method for image forming apparatus | CANON KABUSHIKI KAISHA |
10997489 | Cards and devices with multifunction magnetic emulators and methods for using same | DYNAMICS INC. |
10997516 | Systems and methods for predicting persistent memory device degradation based on operational parameters | DELL PRODUCTS L.P. |
10997526 | System and method for human operator and machine integration | UNITED STATES OF AMERICA AS REPRESENTED BY THE ADMINISTRATOR OF NASA |
10997546 | Computerized systems and methods for facilitating package delivery | COUPANG CORP. |
10997558 | System and method for creating a collaborative virtual session | VSPATIAL, INC. |
10997563 | Systems, devices, and/or methods for managing calendars | -- |
10997567 | Work support device, work support method and computer readable storage medium | CASIO COMPUTER CO., LTD. |
10997605 | Assistance on the go | ALLSTATE INSURANCE COMPANY |
10997615 | System and method for assortment planning with interactive similarity and transferable demand visualization | BLUE YONDER GROUP, INC. |
10997642 | Collaborative activities in on-line commerce | NIKE, INC. |
10997665 | Interactive data management system | HARTFORD FIRE INSURANCE COMPANY |
10997728 | 2D obstacle boundary detection | MICROSOFT TECHNOLOGY LICENSING, LLC |
10997741 | Scene camera retargeting | APPLE INC. |
10997754 | Freeform drawing beautification | ADOBE INC. |
10997755 | Computer-implemented system and method for generating radial hierarchical data visualizations | ALIXPARTNERS, LLP |
10997758 | Media overlay publication system | SNAP INC. |
10997766 | Avatar motion generating method and head mounted display system | -- |
10997767 | Time-dependent client inactivity indicia in a multi-user animation environment | PFAQUTRUMA RESEARCH LLC |
10997769 | System and method for generating an animated display | HONEYWELL INTERNATIONAL INC. |
10997773 | Dynamic tiling for foveated rendering | FACEBOOK TECHNOLOGIES, LLC |
10997784 | Generating space models from map files | MICROSOFT TECHNOLOGY LICENSING, LLC |
10997786 | Systems and methods for reconstruction and rendering of viewpoint-adaptive three-dimensional (3D) personas | VERIZON PATENT AND LICENSING INC. |
10997791 | Presenting an augmented reality interface | VERIZON MEDIA INC. |
10997792 | Kiosk for viewing of dental treatment outcomes | ALIGN TECHNOLOGY, INC. |
10997832 | Augmented reality based dynamic guidance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10997836 | Security device and security system | -- |
10997840 | System for simultaneous tag triggering and sequential tag reading | NEXITE LTD. |
10997844 | Image forming apparatus, management apparatus, image forming system, and non-transitory computer readable medium storing program to provide for evacuation information when a device is unable to output such information | FUJI XEROX CO., LTD. |
10997846 | Lighting apparatus | MAXELL, LTD. |
10997868 | Systems and methods to measure performance | APTIMA, INC. |
10997869 | Methods and systems for providing typing practice to users | EDCLUB, INC. |
10997870 | Monitoring and assessing subject response to programmed physical training | PILATES METRICS, INC. |
10997884 | Reducing video image defects by adjusting frame buffer processes | NVIDIA CORPORATION |
10997896 | System and method for a six-primary wide gamut color system | BAYLOR UNIVERSITY |
10997903 | Display panel, method of controlling display panel and display device | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
10997908 | Electronic device having display and sensor and method for operating the same | SAMSUNG ELECTRONICS CO., LTD. |
10997913 | Method and apparatus for controlling drive current of display panel, electronic device, and storage medium | BOE TECHNOLOGY GROUP CO., LTD. |
10997942 | Display apparatus and control method of the same | SAMSUNG ELECTRONICS CO., LTD. |
10997944 | Video switching device and system and method for switching video signal | -- |
10997949 | Time synchronization between artificial reality devices | FACEBOOK TECHNOLOGIES, LLC |
10997951 | Preserving sample data in foveated rendering of graphics content | QUALCOMM INCORPORATED |
10997952 | Display panel and driving method therefor, and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10997959 | Acoustic noise reduction audio system having tap control | BOSE CORPORATION |
10997962 | Apparatus and method for synthesizing engine sound | LG ELECTRONICS INC. |
10997969 | Listening devices for obtaining metrics from ambient noise | CAPITAL ONE SERVICES, LLC |
10997978 | Personalized sound management and method | STATON TECHIYA LLC |
10997985 | Audio playout report for ride-sharing session | GRACENOTE, INC. |
10998005 | Method and apparatus for presenting media information, storage medium, and electronic apparatus | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
10998017 | Dynamic random access memory applied to an embedded display port | -- |
10998051 | Memory controller and operating method thereof | SK HYNIX INC. |
10998076 | Signal calibration method used in memory apparatus | -- |
10998089 | Systems and methods for temporarily displaying information on a user | BODYGUARD ID, LLC |
10998347 | Semiconductor device, display device, and electronic device | JAPAN DISPLAY INC. |
10998388 | Display screen, display device and mobile terminal | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10998512 | Electronic device, display device, method for manufacturing the same, and system including a plurality of display devices | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10998521 | Electronic panel and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10998524 | Light-emitting devices | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
10998615 | Spatial antenna diversity techniques | SONOS, INC. |
10998872 | Methods and apparatus for audio equalization | GRACENOTE, INC. |
10998992 | Method and device for improvement of the sound quality of an audio file | KRONOTON GMBH |
10999088 | Proximity and context-based telepresence in collaborative environments | DELL PRODUCTS L.P. |
10999139 | Online upgrade method, apparatus, and system | HUAWEI TECHNOLOGIES CO., LTD. |
10999157 | Remote cloud-based monitoring of storage systems | PURE STORAGE, INC. |
10999158 | User interfaces for controlling or presenting information about multiple cellular identifiers on an electronic device | APPLE INC. |
10999164 | Securely executing custom playbook code in a hybrid execution environment | SPLUNK INC. |
10999199 | Dynamic route profile storage in a hardware trie routing table | CISCO TECHNOLOGY, INC. |
10999218 | Reservation of resources and deployment of applications using an integrated development environment | EBAY INC. |
10999229 | Providing message status notifications during electronic messaging | FACEBOOK, INC. |
10999286 | In-car enrollment | VOLKSWAGEN AG |
10999313 | Facilitating network security analysis using virtual reality display devices | BANK OF AMERICA CORPORATION |
10999316 | Cyber resiliency of application data | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10999349 | Approach for providing access to cloud services on end-user devices using direct link integration | RICOH COMPANY, LTD. |
10999399 | Offline use of network application | SAP SE |
10999412 | Sharing mediated reality content | NOKIA TECHNOLOGIES OY |
10999420 | Adaptive communication mode for recording a media message | SRK TECHNOLOGY LLC |
10999426 | Voice communication method | APPLE INC. |
10999427 | Display where a voice of a calling party will externally localize as binaural sound for a telephone call | -- |
10999442 | Portable multifunction device, method, and graphical user interface for conference calling | APPLE INC. |
10999448 | Displaying a scanned image of a physical note | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10999451 | Image forming apparatus, computer-readable recording medium, and image forming system | RICOH COMPANY, LTD. |
10999454 | Information processing method, information processing apparatus, and storage medium that generate, for each of a plurality of images, reliability information indicating reliability of date and time information, and notify a user accordingly | CANON KABUSHIKI KAISHA |
10999456 | Image reading device | MITSUBISHI ELECTRIC CORPORATION |
10999457 | Stapling sensors to indicate movement of a stapling device | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10999463 | Image forming apparatus executing processing for wake-up from power saving state according to direction of eye gaze detected by eye-gaze sensor | KYOCERA DOCUMENT SOLUTIONS INC. |
10999464 | Image forming apparatus that installs applications, control method therefor, and storage medium | CANON KABUSHIKI KAISHA |
10999465 | Systems and methods for retaining duplex scanning information in a scanned output file | XEROX CORPORATION |
10999486 | Monitoring apparatus and monitoring system | HANWHA TECHWIN CO., LTD. |
10999496 | Electronic device, control method for electronic device, and non-transitory computer readable medium | CANON KABUSHIKI KAISHA |
10999534 | Optimized video review using motion recap images | CISCO TECHNOLOGY, INC. |
10999553 | Method and device for generating content | HANMI HEALTHCARE, INC. |
10999619 | Display apparatus and control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10999622 | Platform for publishing graphics to air | TURNER BROADCASTING SYSTEM, INC. |
10999623 | Providing visual content editing functions | SNAP INC. |
10999624 | Multimedia device, vehicle including the same, and broadcast listening method of the multimedia device | HYUNDAI MOTOR COMPANY |
10999638 | Content-based navigation for recordings | ADOBE INC. |
10999640 | Automatic embedding of information associated with video content | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10999647 | Systems and methods for displaying subjects of a video portion of content and searching for content related to a subject of the video portion | ROVI GUIDES, INC. |
10999653 | Detecting and monitoring location of wireless-enabled devices in a physical space | DAHM ENDEAVOR LLC |
10999667 | Earbud and method for implementing wearing detection and touch operation | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
10999733 | Information security/privacy via a decoupled security accessory to an always listening device | EDMONTON |
10999847 | Frequency band determination based on image of communication environment for head-mounted display | SONY INTERACTIVE ENTERTAINMENT INC. |
10999895 | Gateway device and system and method for use of same | ENSEO, LLC |
11000063 | Packaging with an information encoding pattern | REEMTSMA CIGARETTENFABRIKEN GMBH |
11000086 | Apparel design system with collection management | LEVI STRAUSS & CO. |
11000120 | Modular accessory unit | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11000222 | Particle filtering for continuous tracking and correction of body joint positions | TATA CONSULTANCY SERVICES LIMITED |
11000261 | Ultrasound method and apparatus for processing ultrasound image | SAMSUNG MEDISON CO., LTD. |
11000274 | Powered surgical instrument | ETHICON LLC |
11000736 | Method and apparatus for an activity environment generator | -- |
11000759 | Game controller operable in bluetooth low energy (BLE) mode | VALVE CORPORATION |
11000760 | Controller | SONY INTERACTIVE ENTERTAINMENT INC. |
11000762 | Portable device and system | SONY INTERACTIVE ENTERTAINMENT INC. |
11000763 | Information processing apparatus and method of converting operation signal | SONY INTERACTIVE ENTERTAINMENT INC. |
11000766 | Video recording method based on virtual reality application, terminal device, and storage medium | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
11000767 | Dynamic adjustment of game controller sensitivity based on audio analysis | VOYETRA TURTLE BEACH, INC. |
11001069 | Replaceable item authentication | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11001143 | Dynamically re-configurable displays with reconfigurable regions of interest for safety critical content | QUALCOMM INCORPORATED |
11001144 | Vehicle display device | MAZDA MOTOR CORPORATION |
11001147 | Operating unit for a device, in particular for a vehicle component | BEHR-HELLA THERMOCONTROL GMBH |
11001249 | Automatic cutoff for vehicle operable as generator | FORD GLOBAL TECHNOLOGIES, LLC |
11002066 | Systems with dynamic pixelated windows | APPLE INC. |
11002550 | Paddling map | MARITIME PLANNING ASSOCIATES, INC. |
11002551 | Airline travel reminder assembly | -- |
11002558 | Device, method, and graphical user interface for synchronizing two or more displays | APPLE INC. |
11002755 | Method for indicating impact of external shocks and electronic device thereof | SAMSUNG ELECTRONICS CO., LTD. |
11002814 | Decoding from brain imaging data of individual subjects by using additional imaging data from other subjects | SIEMENS MEDICAL SOLUTIONS USA, INC. |
11002833 | Spotting scope with integrated laser rangefinder and related methods | GUNWERKS, LLC |
11002855 | Occlusion-based height estimation | MICROVISION, INC. |
11002959 | Head mount display device and driving method thereof | SAMSUNG DISPLAY CO., LTD. |
11002967 | Method and system for communication between a wearable display device and a portable device | -- |
11002975 | System and method for image generation based on a display-attachable wearable device | KABUSHIKI KAISHA TOSHIBA |
11003006 | Touch input device | HIDEEP INC. |
11003024 | Display device | -- |
11003145 | Information display device with improved reliability against external force | SONY CORPORATION |
11003147 | Automatically grouping accessories | APPLE INC. |
11003169 | Field device of measuring and automation technology | ENDRESS+HAUSER FLOWTEC AG |
11003181 | Aerial device and method for controlling the aerial device | HANGZHOU ZERO ZERO TECHNOLOGY CO., LTD. |
11003207 | Electronic device including flexible display | SAMSUNG ELECTRONICS CO., LTD. |
11003211 | Wristband mobile terminal and control method thereof | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
11003214 | Folding multimedia display device | CFPH, LLC |
11003218 | Image display apparatus | LG ELECTRONICS INC. |
11003222 | Electronic device comprising multiple displays | SAMSUNG ELECTRONICS CO., LTD. |
11003226 | Touchscreen sensor electromagnetic interference protection apparatus | ROCKWELL COLLINS, INC. |
11003229 | Cost-effective solid state disk data protection method for hot removal event | SAMSUNG ELECTRONICS CO., LTD. |
11003234 | Sensor array and method of controlling sensing devices generating detection results at different frequencies and related electronic device | -- |
11003235 | Method and computer program product and apparatus for adjusting operating frequencies | -- |
11003240 | Systems and methods for frequency mode detection and implementation | MICRON TECHNOLOGY, INC. |
11003241 | System and method for a blended reality user interface and gesture control system | -- |
11003242 | Eye tracking | HARMAN BECKER AUTOMOTIVE SYSTEMS GMBH |
11003243 | Calibration method and device, storage medium and processor | BEIJING 7INVENSUN TECHNOLOGY CO., LTD. |
11003244 | System and method for real-time high-resolution eye-tracking | UNIVERSITY OF ROCHESTER |
11003245 | Method for automatically identifying at least one user of an eye tracking device and eye tracking device | APPLE INC. |
11003246 | External user interface for head worn computing | MENTOR ACQUISITION ONE, LLC |
11003247 | Deployable controller | MICROSOFT TECHNOLOGY LICENSING, LLC |
11003248 | Emotion mapping method, emotion mapping apparatus and vehicle including the same | HYUNDAI MOTOR COMPANY |
11003249 | Input apparatus accepting a pressure input | KYOCERA CORPORATION |
11003250 | Electronic device | FUJITSU LIMITED |
11003251 | Modeling method of tactility using nerve spike pattern, tactility model and manufacturing method of tactility using nerve spike pattern | UNIST (ULSAN NATIONAL INSTITUTE OF SCIENCE AND TECHNOLOGY) |
11003252 | Method for capturing haptic content in multiple communication devices | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11003253 | Gesture control of gaming applications | AT&T INTELLECTUAL PROPERTY I, L.P. |
11003254 | Hand gestures recognition over a switch based keyboard | CIRQUE CORPORATION |
11003255 | Context-dependent touchbands | -- |
11003256 | Apparatus, computer program and method | SONY CORPORATION |
11003257 | Mutual interactivity between mobile devices based on position and orientation | ELBIT SYSTEMS LTD. |
11003258 | Projector with integrated laser pointer | KONICA MINOLTA LABORATORY U.S.A., INC. |
11003259 | Modifier key input on a soft keyboard using pen input | LENOVO (SINGAPORE) PTE. LTD. |
11003260 | Stylus and sensor control circuit | WACOM CO., LTD. |
11003261 | Information processing method, terminal, and computer storage medium | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
11003262 | Input knob for a touch-sensitive surface in a display system | VISTEON GLOBAL TECHNOLOGIES, INC. |
11003263 | Wireless charging mousepad and processes thereof | -- |
11003264 | Device, method and program for generating multidimensional reaction-type image, and method and program for reproducing multidimensional reaction-type image | -- |
11003265 | Touch sensor integrated type electroluminescent display device | LG DISPLAY CO., LTD. |
11003266 | Display device | SAMSUNG DISPLAY CO., LTD. |
11003267 | Display apparatus having touch screen | LG DISPLAY CO., LTD. |
11003268 | Touch sensor and image display device including the same | DONGWOO FINE-CHEM CO., LTD. |
11003269 | Touch panels and touch display devices | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
11003270 | Touch screen panel | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
11003271 | Touch screen panel including conductive layer for shielding noise and display device having the same | SAMSUNG DISPLAY CO., LTD. |
11003272 | Touch conductive film, touch module, and display device | IVTOUCH CO., LTD |
11003273 | Display device with sensor | JAPAN DISPLAY INC. |
11003274 | Method and apparatus for automotive variable impedance touch sensor array | SENSEL, INC. |
11003275 | Touch sensing and force sensing sensor, touch sensing device including the sensor, and an electronic device including the touch sensing device | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11003276 | Electronic equipment | CANON KABUSHIKI KAISHA |
11003277 | Display method and apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
11003278 | Touch display device, driving circuit, and driving method | LG DISPLAY CO., LTD. |
11003279 | Touch sensor with peripheral electrodes | CIRQUE CORPORATION |
11003280 | Switching operation sensing apparatus with low-power dual-sensing structure | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11003281 | Noise detection circuit, noise detection method and detection circuit | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
11003282 | Touch controller and noise detection method using the same | -- |
11003283 | Touch sensing apparatus and touch sensing system | SILICON WORKS CO., LTD. |
11003284 | Touch sensitive device with a camera | BEECHROCK LIMITED |
11003285 | Display apparatus and method for controlling display apparatus | SEIKO EPSON CORPORATION |
11003286 | Touch panel system, intercommunication-type touch panel system and recording medium | NETAPPLI CO., LTD. |
11003287 | Touch sensor for display with improved viewing angle uniformity | SHARP KABUSHIKI KAISHA |
11003288 | Active input sensing using regional scanning | SYNAPTICS INCORPORATED |
11003289 | Flexible touch sensor panel | APPLE INC. |
11003290 | Sensing film with an integrated structure | NEW ASIA GROUP HOLDINGS LIMITED |
11003291 | Semiconductor device having a communication bus | SYNAPTICS INCORPORATED |
11003292 | Display device including sensor and auxiliary sensor parts | SAMSUNG DISPLAY CO., LTD. |
11003293 | Electronic device that executes assigned operation in response to touch pressure, and method therefor | SAMSUNG ELECTRONICS CO., LTD. |
11003294 | Techniques for using a touch screen to perform ear detection using emulated self capacitance sensing | STMICROELECTRONICS ASIA PACIFIC PTE LTD |
11003295 | Touch sensor and touch screen panel using same | MIRAENANOTECH CO., LTD. |
11003296 | Touch sensor and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11003297 | Method of manufacturing conductive laminate, conductive laminate, and touch sensor | FUJIFILM CORPORATION |
11003298 | Touch module, touch display substrate and touch display device | BOE TECHNOLOGY GROUP CO., LTD. |
11003299 | Touch screen driver circuit and touch sensor display device | LG DISPLAY CO., LTD. |
11003300 | Partial guarding for a sensing device | SYNAPTICS INCORPORATED |
11003301 | Control panel of machine | SODICK CO., LTD. |
11003302 | Array substrate and display panel | XIAMEN TIANMA MICRO-ELECTRONICS CO., LTD. |
11003303 | Layered idea mapping | -- |
11003304 | Information display terminal, information display method and program | SONY CORPORATION |
11003305 | 3D user interface | ZSPACE, INC. |
11003306 | Ranking requests by content providers in video content sharing community | WORMHOLE LABS, INC. |
11003307 | Artificial reality systems with drawer simulation gesture for gating user interface elements | FACEBOOK TECHNOLOGIES, LLC |
11003308 | Systems, methods, and graphical user interfaces for annotating, measuring, and modeling environments | APPLE INC. |
11003309 | Incrementing a visual bias triggered by the selection of a dynamic icon via a learning user interface | GROUPON, INC. |
11003310 | Systems and methods for dynamic and interactive visualizations for navigating media content | SPOTIFY AB |
11003311 | Device access software with changeable display mode | ENDRESS+HAUSER PROCESS SOLUTIONS AG |
11003312 | Non-transitory computer-readable storage medium with executable program stored thereon, information processing apparatus, information processing method, and information processing | NINTENDO CO., LTD. |
11003313 | Methods and systems for recording statistics associated with a sporting event | OLLIE SPORTS LLC |
11003314 | Presentating action menus in viewing panes | MICROSOFT TECHNOLOGY LICENSING, LLC |
11003315 | Terminal device and sharing method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11003316 | Dynamic bar oriented user interface | BLACKBERRY LIMITED |
11003317 | Desktop and mobile graphical user interface unification | SALESFORCE.COM, INC. |
11003318 | Predictive watch face interface | SERVICENOW, INC. |
11003319 | Display control device and display control program for displaying user interface for selecting one from selection options | SEIKO EPSON CORPORATION |
11003320 | Systems and methods for organizing and identifying documents via hierarchies and dimensions of tags | PALANTIR TECHNOLOGIES INC. |
11003321 | Integrated multidimensional view of hierarchical objects | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11003322 | Generating messaging streams with animated objects | GOOGLE LLC |
11003323 | Systems and methods for electronic information card creation for contextually-relevant data | MICROSTRATEGY INCORPORATED |
11003324 | Method and system for linking source evidence to valuation information | JPMORGAN CHASE BANK, N.A. |
11003325 | Mobile terminal and method for controlling the same | LG ELECTRONICS INC. |
11003326 | Wearable device and controlling method thereof | LG ELECTRONICS INC. |
11003327 | Systems and methods for displaying an image capturing mode and a content viewing mode | DROPBOX, INC. |
11003328 | Touch input method through edge screen, and electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11003330 | Vehicular telematic systems and methods for generating interactive animated guided user interfaces | BLUEOWL, LLC |
11003331 | Screen capturing method and terminal, and screenshot reading method and terminal | HUAWEI TECHNOLOGIES CO., LTD. |
11003332 | Gesture-alteration of media files | AT&T INTELLECTUAL PROPERTY I, L.P. |
11003333 | Methods for selecting a section of text on a touch-sensitive screen, and display and operator control apparatus | AUDI AG |
11003334 | Home services condition monitoring | ALLSTATE INSURANCE COMPANY |
11003335 | Systems and methods for forming group communications within an online event | SHINDIG, INC. |
11003336 | Method for selecting content and electronic device therefor | SAMSUNG ELECTRONICS CO., LTD. |
11003337 | Executing search commands based on selection on field values displayed in a statistics table | SPLUNK INC. |
11003338 | Device, method, and graphical user interface for window manipulation and management | APPLE INC. |
11003339 | Managing transparent windows | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11003340 | Display device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11003341 | Methods and systems for dynamic monitoring through graphical user interfaces | CAPITAL ONE SERVICES, LLC |
11003342 | Smart scrolling system | -- |
11003343 | Electronic personal signature generation and distribution for personal communication | LETT.RS LLC |
11003344 | Modifying style layer properties of a digital map | MAPBOX, INC. |
11003345 | Control-article-based control of a user interface | GOOGLE LLC |
11003346 | Prototype storing method | STUDIO XID KOREA, INC. |
11003347 | Auto-grouping of application windows | MICROSOFT TECHNOLOGY LICENSING, LLC |
11003348 | Arrangement for determining the pupil center | CARL ZEISS VISION INTERNATIONAL GMBH |
11003349 | Actionable content displayed on a touch screen | MICROSOFT TECHNOLOGY LICENSING, LLC |
11003350 | Control apparatus, control method, and storage medium | CANON KABUSHIKI KAISHA |
11003351 | Display processing method and information device | GREE, INC. |
11003352 | Ultrasound imaging system touch panel cluster control interaction | B-K MEDICAL APS |
11003353 | Method and system of enhanced interaction with a shared screen | MICROSOFT TECHNOLOGY LICENSING, LLC |
11003354 | Compensating for user hand tremors when using hand-held electronic devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11003355 | Implementing VM memory right-sizing using VM memory compression | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11003356 | Memory system | TOSHIBA MEMORY CORPORATION |
11003357 | Managing single path communication between a host and a storage system | EMC IP HOLDING COMPANY LLC |
11003359 | Method and device for managing disk pool | EMC IP HOLDING COMPANY LLC |
11003360 | IO request processing according to processing sorting indexes | HUAWEI TECHNOLOGIES CO., LTD. |
11003361 | Wear leveling | MICRON TECHNOLOGY, INC. |
11003362 | Disaster recovery practice mode for application virtualization infrastructure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11003363 | Scalable memory system protocol supporting programmable number of levels of indirection | MICRON TECHNOLOGY, INC. |
11003364 | Write-once read-many compliant data storage cluster | RUBRIK, INC. |
11003365 | Methods and related devices for operating a memory array | MICRON TECHNOLOGY, INC. |
11003366 | Methods of using OX40 ligand encoding polynucleotides | MODERNATX, INC. |
11003367 | Data storage, reading, and cleansing method and device, and cloud storage system | HANGZHOU HIKVISION DIGITAL TECHNOLOGY CO., LTD. |
11003368 | Compound storage system and storage control method to configure change associated with an owner right to set the configuration change | HITACHI, LTD. |
11003369 | Performing a tune-up procedure on a storage device during a boot process | PURE STORAGE, INC. |
11003370 | System on chip performing a plurality of trainings at the same time, operating method of system on chip, electronic device including system on chip | SAMSUNG ELECTRONICS CO., LTD. |
11003371 | Optical disc device, optical disc rotation position detection method, and optical disc having rotation reference mark formed as width changing in radial direction | JVCKENWOOD CORPORATION |
11003372 | Protecting volume namespaces from corruption in a distributed container orchestrator | PORTWORX, INC. |
11003373 | Systems and methods for managing physical-to- logical address information | WESTERN DIGITAL TECHNOLOGIES, INC. |
11003374 | Methods, devices and computer program products for managing a redundant array of independent disks | EMC IP HOLDING COMPANY LLC |
11003375 | Code word format and structure | MICRON TECHNOLOGY, INC. |
11003376 | Reconfigurable SSD storage pool | TOSHIBA MEMORY CORPORATION |
11003377 | Transactions in a decentralized control plane of a computing system | VMWARE, INC. |
11003378 | Memory-fabric-based data-mover-enabled memory tiering system | DELL PRODUCTS L.P. |
11003379 | Migration control apparatus and migration control method | FUJITSU LIMITED |
11003380 | Minimizing data transfer during snapshot-based replication | PURE STORAGE, INC. |
11003381 | Non-volatile memory storage device capable of self-reporting performance capabilities | SAMSUNG ELECTRONICS CO., LTD. |
11003382 | Apparatus for outputting internal state of memory apparatus and memory system using the apparatus | SAMSUNG ELECTRONICS CO., LTD. |
11003383 | Estimation of read level thresholds using a data structure | MICRON TECHNOLOGY, INC. |
11003384 | Scheduling media management operations based on determined host system usage requirements | MICRON TECHNOLOGY, INC. |
11003385 | Memory system and method for controlling nonvolatile memory in which write data are stored in a shared device side write buffer shared by a plurality of write destination blocks | TOSHIBA MEMORY CORPORATION |
11003386 | Methods for on-die memory termination and memory devices and systems employing the same | MICRON TECHNOLOGY, INC. |
11003387 | Combined data and control for multi-die flash | WESTERN DIGITAL TECHNOLOGIES, INC. |
11003388 | Prefetch signaling in memory system or sub system | MICROON TECHNOLOGY, INC. |
11003389 | Memory device including memory chips and operation method thereof | SK HYNIX INC. |
11003390 | Data storage device with a lighting module and control method for the lighting module | -- |
11003391 | Data-transfer-based RAID data update system | DELL PRODUCTS L.P. |
11003392 | Memory controller and method of operating the memory controller | SK HYNIX INC. |
11003393 | Nonvolatile memory device and method of controlling initialization of the same | SAMSUNG ELECTRONICS CO., LTD. |
11003394 | Multi-domain data storage system with illegal loop prevention | SEAGATE TECHNOLOGY LLC |
11003395 | Controller, memory system, and operating methods thereof | SK HYNIX INC. |
11003396 | Dual speed memory | MICRON TECHNOLOGY, INC. |
11003397 | Printing computing device for processing a print job to print a document at a multi-function printing device | KYOCERA DOCUMENT SOLUTIONS INC. |
11003398 | Printer system | LENOVO (SINGAPORE) PTE. LTD. |
11003399 | Method, apparatus and non-transitory recording medium for reducing a printing time utilizing file division | RICOH COMPANY, LTD. |
11003400 | Resource service system, control method, and storage medium | CANON KABUSHIKI KAISHA |
11003401 | Non-transitory computer-readable recording medium storing computer-executable instructions for information processing device, and method of controlling information processing device | BROTHER KOGYO KABUSHIKI KAISHA |
11003402 | Non-transitory storage medium storing instructions executable by communication apparatus, the communication apparatus, and display method | BROTHER KOGYO KABUSHIKI KAISHA |
11003403 | Image reading device and image forming apparatus with personal authentication | CANON KABUSHIKI KAISHA |
11003404 | Information processing apparatus, information processing method and non-transitory recording medium | TOSHIBA TEC KABUSHIKI KAISHA |
11003405 | Control method and printing control apparatus | CANON KABUSHIKI KAISHA |
11003406 | System and method for managing print jobs by determining optimal printers and comparing itemized actual and estmated costs | ORIGAMI SOFTWARE, LLC |
11003407 | Media transfer system | CANON EUROPA N.V. |
11003408 | Image generating apparatus and image generating method | SONY INTERACTIVE ENTERTAINMENT INC. |
11003409 | Advanced multi-touch capabilities | LOCKHEED MARTIN CORPORATION |
11003410 | Augmented reality display sharing | SENTIAR, INC. |
11003411 | Image display system, image display device and method of controlling image display system | SEIKO EPSON CORPORATION |
11003412 | Method and system for identifying drawing primitives for selective transmission to a remote display | VMWARE, INC. |
11003413 | System and method for selective removal of audio content from a mixed audio recording | GOOGLE LLC |
11003414 | Acoustic control system, apparatus and method | LG ELECTRONICS INC. |
11003415 | Audio/visual production system for transmitting audio-video signals and managing interrupt signals with low latency | NEW ENGLAND SPORTS NETWORK, INC. |
11003416 | Audio apparatus | TEAC CORPORATION |
11003417 | Speech recognition method and apparatus with activation word based on operating environment of the apparatus | SAMSUNG ELECTRONICS CO., LTD. |
11003418 | Information processing apparatus | FUJI XEROX CO., LTD. |
11003419 | Refinement of voice query interpretation | SPOTIFY AB |
11003463 | Virtual desktop | ROCKET SOFTWARE, INC. |
11003467 | Visual history for content state changes | ADOBE INC. |
11003468 | Preloading of application on a user device based on content received by the user device | CITRIX SYSTEMS, INC. |
11003469 | Controlling a user interface | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11003470 | Implementing sticky notes associated with remotely executed applications | PARALLELS INTERNATIONAL GMBH |
11003475 | Interface for presenting performance data for hierarchical networked components represented in an expandable visualization of nodes | SPLUNK INC. |
11003476 | Entity database historical data | NUTANIX, INC. |
11003477 | Provision of input/output classification in a storage system | INTEL CORPORATION |
11003478 | Systems and methods for protecting virtual machines running on software-defined storage | SCHNEIDER ELECTRIC IT CORPORATION |
11003488 | Memory-fabric-based processor context switching system | DELL PRODUCTS L.P. |
11003493 | Application and storage based scheduling | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11003498 | Computerized systems and methods for fail-safe loading of information on a user interface using a circuit breaker | COUPANG CORP. |
11003512 | System and method for optimizing bulk data operation | DELL PRODUCTS L.P. |
11003533 | Data processing method, system, and apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
11003536 | Method, device and computer readable storage medium for writing to disk array | EMC IP HOLDING COMPANY LLC |
11003541 | Point-in-time copy on a remote system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11003544 | Memory system and method of operating the same | SK HYNIX INC. |
11003555 | Tracking and recovering a disk allocation state | VMWARE, INC. |
11003556 | Method, device and computer program product for managing storage system | EMC IP HOLDING COMPANY LLC |
11003559 | Underperforming drive handling in redundant arrays | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11003560 | End effector attachment for testing electronic touchscreen device | WALMART APOLLO, LLC |
11003576 | Namespace change propagation in non-volatile memory devices | MICRON TECHNOLOGY, INC. |
11003582 | Cache utilization of backing storage for aggregate bandwidth | INTEL CORPORATION |
11003583 | Adapting cache processing using phase libraries and real time simulators | NETAPP, INC. |
11003584 | Technology for managing memory tags | INTEL CORPORATION |
11003586 | Zones in nonvolatile or persistent memory with configured write parameters | RADIAN MEMORY SYSTEMS, INC. |
11003588 | Networked input/output memory management unit | ADVANCED MICRO DEVICES, INC. |
11003590 | Memory system and operating method thereof | SK HYNIX INC. |
11003600 | Method and system for scheduling I/O operations for processing | YANDEX EUROPE AG |
11003602 | Memory protocol with command priority | MICRON TECHNOLOGY, INC. |
11003607 | NVMF storage to NIC card coupling over a dedicated bus | MELLANOX TECHNOLOGIES, LTD. |
11003614 | Embedding protocol parameters in data streams between host devices and storage devices | WESTERN DIGITAL TECHNOLOGIES, INC. |
11003629 | Dual layer deduplication for application specific file types in an information processing system | EMC IP HOLDING COMPANY LLC |
11003630 | Remote access of metadata for collaborative documents | MICROSOFT TECHNOLOGY LICENSING, LLC |
11003654 | Systems and methods for requesting, tracking and reporting modifications to a record | APPEXTREMES, LLC |
11003678 | Method and system for presenting a search result in a search result card | VERIZON MEDIA INC. |
11003680 | Method for providing e-book service and computer program therefor | PUBPLE CO., LTD |
11003683 | Visual data mining using virtual reality and augmented reality | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
11003685 | Commit protocol for synchronizing content items | DROPBOX, INC. |
11003687 | Executing data searches using generation identifiers | SPLUNK, INC. |
11003707 | Image processing in a virtual reality (VR) system | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
11003719 | Method and apparatus for accessing a storage disk | HUAWEI TECHNOLOGIES CO., LTD. |
11003753 | Method for recognizing fingerprint, and electronic device and storage medium therefor | SAMSUNG ELECTRONICS CO., LTD. |
11003778 | System and method for storing operating life history on a non-volatile dual inline memory module | DELL PRODUCTS L.P. |
11003805 | Cognitive system for computer aided design | PARAMATTERS INC. |
11003807 | Techniques for generating materials to satisfy design criteria | AUTODESK, INC. |
11003815 | Dimensional reduction of finite element analysis solution for rapid emulation | RAYTHEON TECHNOLOGIES CORPORATION |
11003832 | Embedded action card in editable electronic document | MICROSOFT TECHNOLOGY LICENSING, LLC |
11003836 | Hybrid mobile interactions for native apps and web apps | INTEL CORPORATION |
11003838 | Systems and methods for monitoring post translation editing | SDL INC. |
11003839 | Smart interface with facilitated input and mistake recovery | I.Q. JOE, LLC |
11003841 | Enhancing documents portrayed in digital images | DROPBOX, INC. |
11003842 | System and methodologies for collaboration utilizing an underlying common display presentation | -- |
11003846 | Smarter copy/paste | MICROSOFT TECHNOLOGY LICENSING, LLC |
11003850 | Method and system for designing distributed dashboards | PRESCIENT DEVICES, INC. |
11003852 | Predicting native language from gaze | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11003853 | Language identification system for live language interpretation via a computing device | LANGUAGE LINE SERVICES, INC. |
11003887 | Sheet and an optical fingerprint scanner | LG CHEM, LTD. |
11003912 | Systems and methods for personalized augmented reality view | CAPITAL ONE SERVICES, LLC |
11003987 | Audio processing with neural networks | -- |
11004039 | Cable reader labeling | PALANTIR TECHNOLOGIES INC. |
11004068 | Multi-signature verification network | INTERCONTINENTAL EXCHANGE HOLDINGS, INC. |
11004086 | Lost item recovery with reporting and notifying system | CHARGERBACK, INC. |
11004113 | Electronic device with cameras and display for in-store messaging | SHOPPER SCIENTIST LLC |
11004121 | Managing ephemeral locations in a virtual universe | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11004129 | Image processing | SONY EUROPE B.V. |
11004151 | Options tool and user interface | OPTIONSGEEK, LLC |
11004165 | Management systems and methods for claim-based patent analysis | CLEARSTONEIP, INC. |
11004203 | User guided iterative frame and scene segmentation via network overtraining | MATTERPORT, INC. |
11004244 | Time-series analysis system | PALANTIR TECHNOLOGIES INC. |
11004246 | Jewelry generation services | 2238534 ONTARIO INC. |
11004247 | Path-constrained drawing with visual properties based on drawing tool | ADOBE INC. |
11004249 | Hand drawn animation motion paths | APPLE INC. |
11004255 | Efficient rendering of high-density meshes | MICROSOFT TECHNOLOGY LICENSING, LLC |
11004256 | Collaboration of augmented reality content in stereoscopic view in virtualized environment | CITRIX SYSTEMS, INC. |
11004264 | Systems and methods for capturing, transferring, and rendering viewpoint-adaptive three-dimensional (3D) personas | VERIZON PATENT AND LICENSING INC. |
11004270 | Virtual item placement system | HOUZZ, INC. |
11004273 | Information processing device and information processing method | SONY CORPORATION |
11004274 | Energy management apparatus and operating method thereof | LG ELECTRONICS INC. |
11004288 | Visitor meeting registration and management | HONEYWELL INTERNATIONAL INC. |
11004310 | Horse race betting graphical user interface | EQUINEDGE, LLC |
11004330 | Method carried out in system including active stylus and sensor controller, sensor controller, and active stylus | WACOM CO., LTD. |
11004346 | Comprehensive flight planning tool | AIRCRAFT OWNERS AND PILOTS ASSOCIATION |
11004355 | Intelligent wearable device, and working assistance method and system based thereon | SHENZHEN AUGMENTED REALITY TECHNOLOGIES CO., LTD. |
11004357 | Pre-license development tool | ALLSTATE INSURANCE COMPANY |
11004421 | Operational amplifier circuit and display apparatus with operational amplifier circuit for avoiding voltage overshoot | -- |
11004422 | System and method of utilizing workspace configurations | DELL PRODUCTS L.P. |
11004425 | Head mounted display device, system and method | ELBIT SYSTEMS LTD. |
11004435 | Real-time integration and review of dance performances streamed from remote locations | JAMMIT, INC. |
11004444 | Systems and methods for enhancing user experience by communicating transient errors | AMAZON TECHNOLOGIES, INC. |
11004446 | Alias resolving intelligent assistant computing device | MICROSOFT TECHNOLOGY LICENSING, LLC |
11004452 | Method and system for multimodal interaction with sound device connected to network | NAVER CORPORATION |
11004454 | Voice profile updating | AMAZON TECHNOLOGIES, INC. |
11004517 | Storage device including nonvolatile memory device and operating method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11004532 | Method and system for analyzing traffic data | TELEDYNE LECROY, INC. |
11004534 | Preemptive read refresh in memories with time-varying error rates | MICRON TECHNOLOGY, INC. |
11004546 | Automated construction of patient care giver tool user interface using three-tiered architecture | CURANTIS SOLUTIONS |
11004549 | Patient object mapping techniques | VARIAN MEDICAL SYSTEMS INTERNATIONAL AG |
11004568 | Systems and methods for multi-dimensional fluid modeling of an organism or organ | ALTAIR ENGINEERING, INC. |
11004645 | Display assembly with anti-reflective film applied to video monitor with vacuum suction | DISNEY ENTERPRISES, INC. |
11004912 | Flexible display apparatus having alignment mark and method of assembling the same | SAMSUNG DISPLAY CO., LTD. |
11005015 | Method and apparatus for detecting electromagnetic touch | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11005054 | Display device comprising heat sink comprising metal alloy | SAMSUNG DISPLAY CO., LTD. |
11005076 | Flexible display device | SAMSUNG DISPLAY CO., LTD. |
11005078 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11005180 | Display device having NFC communication function | SUZHOU QINGYUE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11005440 | Methods and systems for automatically equalizing audio output based on room position | GOOGLE LLC |
11005441 | Audio/video power processor and audio/video playback system | -- |
11005657 | System and method for automatically triggering the communication of sensitive information through a vehicle to a third party | NIO USA, INC. |
11005713 | Downstream messaging to configure endpoints and transmit commands | ITRON, INC. |
11005720 | System and method for a vehicle zone-determined reconfigurable display | AUTOCONNECT HOLDINGS LLC |
11005726 | Systems and methods for configuring network interface affinity to system management features supported by a management controller | DELL PRODUCTS L.P. |
11005787 | Answer message recommendation method and device therefor | SAMSUNG ELECTRONICS CO., LTD. |
11005795 | Techniques for media item display configuration | WHATSAPP INC. |
11005803 | Messaging system with post-reply state after viewing message from feed | SNAP INC. |
11005837 | Utilizing natural language processing to automatically perform multi-factor authentication | CAPITAL ONE SERVICES, LLC |
11005914 | Hidden desktop session for remote access | CONNECTWISE, LLC. |
11005916 | Mobile device, network system, and control method for the same | RICOH COMPANY, LTD. |
11005930 | WebRTC API redirection with network connectivity steering | CITRIX SYSTEMS, INC. |
11005931 | WebRTC API redirection with window monitoring/overlay detection | CITRIX SYSTEMS, INC. |
11005934 | Efficient live-migration of remotely accessed data | GOOGLE LLC |
11005936 | Security mechanism for multi-client access to a single storage device through a single controller | -- |
11005944 | Method for binding NB-IoT device, and internet of things control system | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11005957 | Distributed generation of live feed updates in a social networking system | SALESFORCE.COM, INC. |
11005960 | Relay apparatus, control method, and information processing system | CANON KABUSHIKI KAISHA |
11005970 | Data storage system with processor scheduling using distributed peek-poller threads | EMC IP HOLDING COMPANY LLC |
11005993 | Computational assistant extension device | GOOGLE LLC |
11006007 | Control system, server system, and control method | CANON KABUSHIKI KAISHA |
11006013 | Image processing apparatus, having second processor to verify boot program has been altered, method of controlling the same, and storage medium | CANON KABUSHIKI KAISHA |
11006028 | Image capturing device | TOKYO |
11006045 | Electronic device for adjusting image including multiple objects and control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11006047 | Imaging apparatus capable of detecting and displaying information with respect to an incline thereof | RICOH COMPANY, LTD. |
11006051 | Display device capable of switching image sources and operating system | -- |
11006073 | Virtual world method and system for affecting mind state | STEELCASE INC. |
11006076 | Methods and systems for configuring multiple layouts of video capture | FACEBOOK, INC. |
11006090 | Virtual window | UNIVERSITY OF ROCHESTER |
11006095 | Drone based capture of a multi-view interactive digital media | FYUSION, INC. |
11006096 | Observation device comprising a control unit | KARL STORZ SE & CO. KG |
11006101 | Viewing device adjustment based on eye accommodation in relation to a display | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11006102 | Method of utilizing defocus in virtual reality and augmented reality | -- |
11006103 | Interactive imaging systems and methods for motion control by users | CUESTA TECHNOLOGY HOLDINGS, LLC |
11006104 | Collaborative sighting | THE BOEING COMPANY |
11006166 | Method for television remote keypress response based on android operating system and television thereof | HISENSE VISUAL TECHNOLOGY CO., LTD. |
11006169 | Master computing device and method for synchronizing display of a digital content | BROADSIGN SERV, INC. |
11006171 | Selecting an optimal format for presenting a group of objects associated with a third-party system in a graphical user interface | FACEBOOK, INC. |
11006176 | Processing techniques in audio-visual streaming systems | VERIZON DIGITAL MEDIA SERVICES INC. |
11006181 | Method and apparatus for efficient delivery and usage of audio messages for high quality of experience | FRAUNHOFER-GESELLSCHAFT ZUR FöRDERUNG DER ANGEWANDTEN FORSCHUNG E.V. |
11006214 | Default playback device designation | SONOS, INC. |
11006216 | Nonlinear adaptive filterbanks for psychoacoustic frequency range extension | BOOMCLOUD 360, INC. |
11006235 | Method for generating customized spatial audio with head tracking | CREATIVE TECHNOLOGY LTD |
11006834 | Information processing device and information processing method | SONY CORPORATION |
11006861 | Sensorized glove and corresponding method for ergonomic analysis of the hand, in particular a worker's hand | C.R.F. SOCIETA CONSORTILE PER AZIONI |
11006877 | System and method for identifying and attenuating mental health deterioration | NAVIGATE LABS, LLC |
11006878 | Modulation of mental state of a user using a non-invasive brain interface system and method | HI LLC |
11007015 | Apparatus and method for tracking a volume in a three-dimensional space | QUEEN'S UNIVERSITY AT KINGSTON |
11007020 | Methods and systems for touchless control of surgical environment | NZ TECHNOLOGIES INC. |
11007027 | Surgical robotic user input apparatus having optical fiber-based intrinsic sensors | VERB SURGICAL INC. |
11007029 | Method and apparatus for positioning a workstation for controlling a robotic system | TITAN MEDICAL INC. |
11007406 | Wearable device systems and methods for guiding physical movements | XPERIENCE ROBOTICS, INC. |
11007426 | Game client control method and apparatus, storage medium, and electronic apparatus | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LTD |
11007431 | Motor-driven adjustable-tension trigger | MICROSOFT TECHNOLOGY LICENSING, LLC |
11007435 | Interactive interface for enabling and disabling input method | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
11007450 | System and method for identifying building blocks and then displaying on a smart device the correct and/or alternative ways to assemble the blocks | -- |
11007651 | Haptic controller with touch-sensitive control knob | VERILY LIFE SCIENCES LLC |
11007935 | Vehicle imaging system | GENTEX CORPORATION |
11008017 | System for a vehicle and communication method | HARMAN BECKER AUTOMOTIVE SYSTEMS GMBH |
11008077 | Bonded information display for marine vessels | NAVICO HOLDING AS |
11008117 | Flight deck display station with split keyboard | THE BOEING COMPANY |
11008484 | Optical adhesive, method for manufacturing optical adhesive, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11009179 | Lighting control console having an adjustably mounted screen housing | MA LIGHTING TECHNOLOGY GMBH |
11009409 | Pressure sensor device, control device, and pressure sensor unit | RENESAS ELECTRONICS CORPORATION |
11009410 | Pressing sensor and electronic device for detecting the end of a press without error | MURATA MANUFACTURING CO., LTD. |
11009411 | Increasing sensitivity of a sensor using an encoded signal | SENTONS INC. |
11009698 | Gaze-based user interface for augmented and mixed reality device | -- |
11009713 | Head-mounted display device with voice coil motors for moving displays | FACEBOOK TECHNOLOGIES, LLC |
11009716 | Transitioning into a VR environment and warning HMD users of real-world physical obstacles | MICROSOFT TECHNOLOGY LICENSING, LLC |
11009729 | Flexible display device including a flexible substrate having a bending part and a conductive pattern at least partially disposed on the bending part | SAMSUNG DISPLAY CO., LTD. |
11009732 | Display panel and display device | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
11009733 | Detection device and display device | JAPAN DISPLAY INC. |
11009766 | Foveated virtual reality near eye displays | INTEL CORPORATION |
11009850 | Electronic manual for machine tool and machine tool | MAKINO MILLING MACHINE CO., LTD. |
11009866 | Drone controller | THIS IS ENGINEERING INC. |
11009892 | Active human-machine user interface feedback system with spherical motor | HONEYWELL INTERNATIONAL INC. |
11009908 | Portable computing device and methods | MCUBE, INC. |
11009910 | Display method and electronic device | HUAWEI TECHNOLOGIES CO., LTD. |
11009912 | Mobile terminal and method for controlling the same | LG ELECTRONICS INC. |
11009913 | Display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11009918 | Keyboard folio with magnetic attachment | ZAGG INTELLECTUAL PROPERTY HOLDING CO., INC. |
11009933 | Apparatus and method for waking up a processor | SAMSUNG ELECTRONICS CO., LTD. |
11009936 | Information handling system power control sensor | DELL PRODUCTS L.P. |
11009937 | Reduced IMU power consumption in a wearable device | SNAP INC. |
11009939 | Methods and systems for generating and providing immersive 3D displays | VERIZON MEDIA INC. |
11009940 | Content interaction system and method | Z5X GLOBAL FZ-LLC |
11009941 | Calibration of measurement units in alignment with a skeleton model to control a computer system | FINCH TECHNOLOGIES LTD. |
11009942 | Multi-human tracking system and method with single kinect for supporting mobile virtual reality application | SHANDONG UNIVERSITY |
11009943 | On/off detection in wearable electronic devices | VIGO TECHNOLOGIES, INC. |
11009944 | Systems and methods for displaying foveated images | FACEBOOK TECHNOLOGIES, LLC |
11009945 | Method for operating an eye tracking device for multi-user eye tracking and eye tracking device | APPLE INC. |
11009946 | Pupil center positioning apparatus and method, and virtual reality device | BOE TECHNOLOGY GROUP CO., LTD. |
11009947 | Systems and methods for screen brightness control and auto-lock based on eye detection | CITRIX SYSTEMS, INC. |
11009948 | Transceiver network fabric comprising micro-magnets and micro-coils | WOOJER LTD. |
11009949 | Segmented force sensors for wearable devices | APPLE INC. |
11009950 | Arbitrary surface and finger position keyboard | TAP SYSTEMS INC. |
11009951 | Wearable muscle interface systems, devices and methods that interact with content displayed on an electronic display | FACEBOOK TECHNOLOGIES, LLC |
11009952 | Interface for electroencephalogram for computer control | X DEVELOPMENT LLC |
11009953 | Tactile sense presenting device | MURATA MANUFACTURING CO., LTD. |
11009954 | Haptics device for producing directional sound and haptic sensations | HARMAN INTERNATIONAL INDUSTRIES, INCORPORATED |
11009955 | Stylus with shear force feedback | APPLE INC. |
11009956 | Information processing apparatus and information processing method for presentation of multiple haptic feedback channels based on sound characteristics extracted from multiple sound channels | SONY CORPORATION |
11009957 | Haptic device operation | FORD GLOBAL TECHNOLOGIES, LLC |
11009958 | Method and apparatus for providing sight independent activity reports responsive to a touch gesture | NOKIA TECHNOLOGIES OY |
11009959 | Haptic vibrotactile actuators on inflatable bladders and related systems and methods | FACEBOOK TECHNOLOGIES, LLC |
11009960 | Devices, methods, and graphical user interfaces for providing feedback during interaction with an intensity-sensitive button | APPLE INC. |
11009961 | Gesture recognition devices and methods | -- |
11009962 | Switching data item arrangement based on change in computing device context | SAMSUNG ELECTRONICS CO., LTD. |
11009963 | Sign language inputs to a vehicle user interface | FORD GLOBAL TECHNOLOGIES, LLC |
11009964 | Length calibration for computer models of users to generate inputs for computer systems | FINCH TECHNOLOGIES LTD. |
11009965 | Wearable self defense apparatus and method of self defense | -- |
11009966 | Systems and methods for processing of physical interaction with interface device of information handling system based on sensor information indicative of human proximity | DELL PRODUCTS L.P. |
11009967 | Method for scanning keyboard circuit | -- |
11009968 | Bi-directional tap communication device | TAP SYSTEMS INC. |
11009969 | Interactive data input | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11009970 | Attention aware virtual assistant dismissal | APPLE INC. |
11009971 | Input device with a scroll wheel | -- |
11009972 | Portable mouse for an information handling system | DELL PRODUCTS L.P. |
11009973 | Dual spin speed for mouse scroll wheel | DELL PRODUCTS L.P. |
11009974 | Touch pad structure | -- |
11009975 | Touch input system | SHARP KABUSHIKI KAISHA |
11009976 | Display device including touch screen function | LG DISPLAY CO., LTD. |
11009977 | Sensor substrate and sensing display panel having the same | SAMSUNG DISPLAY CO., LTD. |
11009978 | OLED panel, OLED apparatus, fingerprint identification method and device thereof, and storage medium | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11009979 | Touchscreen panel and touch display device including the same | LG DISPLAY CO., LTD. |
11009980 | Array substrate as extended fingerprint sensor, touch-display panel, and touch-display device using same | -- |
11009981 | Driving method of touch display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11009982 | Circuit board, display control device and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11009983 | Vehicle interior systems having a curved cover glass and a display or touch panel and methods for forming the same | CORNING INCORPORATED |
11009984 | Display device | JAPAN DISPLAY INC. |
11009985 | Display device including touch sensor and touch sensing method for the same | LG DISPLAY CO., LTD. |
11009986 | Sensor and electronic device | SONY CORPORATION |
11009987 | System for detecting and confirming a touch input | SENSEL, INC. |
11009988 | Using electrical resistance to estimate force on an electrode during temperature changes | SYNAPTICS INCORPORATED |
11009989 | Recognizing and rejecting unintentional touch events associated with a touch sensitive device | QEEXO, CO. |
11009990 | Electronic device having multi-functional human interface | INNOPRESSO, INC. |
11009991 | Display control apparatus and control method for the display control apparatus | CANON KABUSHIKI KAISHA |
11009992 | Stylus hover and position communication protocol | MICROSOFT TECHNOLOGY LICENSING, LLC |
11009993 | Fingerprint recognition touch sensor and electronic apparatus including the same | SAMSUNG ELECTRONICS CO., LTD. |
11009994 | System and method for timing input sensing, rendering, and display to minimize latency | TACTUAL LABS CO. |
11009995 | Self-diagnostic methods for refining user interface operations | QUALCOMM INCORPORATED |
11009996 | Optical proximity sensor with digital calibration circuit and digital calibration method thereof | -- |
11009997 | Input device | DENSO CORPORATION |
11009998 | Systems and methods for ultrasonic, millimeter wave and hybrid sensing | TACTUAL LABS CO. |
11009999 | Display device having opening and touch sensor | SAMSUNG DISPLAY CO., LTD. |
11010000 | Electronic equipment having a touch operating member that can be operated while viewing display monitors | CANON KABUSHIKI KAISHA |
11010001 | Touch substrate, method of manufacturing the same, and touch display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11010002 | Electronic pen and cartridge for electronic pen | WACOM CO., LTD. |
11010003 | Touch sensor module, window stack structure including the same and image display device including the same | DONGWOO FINE-CHEM CO., LTD. |
11010004 | Input element and input device | RICOH COMPANY, LTD. |
11010005 | PCAP touchscreens with a narrow border design | ELO TOUCH SOLUTIONS, INC. |
11010006 | Method and system for alternative absolute profile determination | SYNAPTICS INCORPORATED |
11010007 | Input sensing panel including a plurality of holes and a display device having the same | SAMSUNG DISPLAY CO., LTD. |
11010008 | Electronic device with touch sensor including index matching layer and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11010010 | Three-dimensional force recognition sensor, driving method therefor and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11010011 | File manager integration with virtualization in an information management system with an enhanced storage manager, including user control and storage management of virtual machines | COMMVAULT SYSTEMS, INC. |
11010012 | Display control method, first terminal, and storage medium | LINE CORPORATION |
11010013 | Providing visual control guidance on a display | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11010014 | Techniques for transitioning from a first navigation scheme to a second navigation scheme | AUTODESK, INC. |
11010015 | Systems and methods for filtering data in virtual reality environments | FMR LLC |
11010016 | Automatic orientation for mixed reality information delivery system | MICROSOFT TECHNOLOGY LICENSING, LLC |
11010017 | Editing interface | APPLE INC. |
11010018 | System including wearable device and electronic device | TOSHIBA CLIENT SOLUTIONS CO., LTD. |
11010019 | Video data streaming monitoring device, method, and program, and terminal device, and video data streaming monitoring system | JVC KENWOOD CORPORATION |
11010020 | Method, apparatus and storage medium for displaying shortcut operation panel | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11010021 | Context menu fragment management | OPEN TEXT CORPORATION |
11010022 | Global event-based avatar | SNAP INC. |
11010023 | Color selection, coordination and purchase system | BEHR PROCESS CORPORATION |
11010024 | Intelligent user interface and application rendering | CERNER INNOVATION, INC. |
11010025 | Multiple portable control panels in aircraft cargo handling system | GOODRICH CORPORATION |
11010026 | Facilitating interactive content presentation in online computing environment | CARDLYTICS, INC. |
11010027 | Device, method, and graphical user interface for manipulating framed graphical objects | APPLE INC. |
11010028 | Always-on display applications and apparatus | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11010029 | Display apparatus and method of displaying image by display apparatus | SAMSUNG ELECTRONICS CO., LTD. |
11010030 | Electronic apparatus capable of performing display control based on display mode, control method thereof, and non-transitory computer readable medium | CANON KABUSHIKI KAISHA |
11010031 | Creating and/or editing interactions between user interface elements with selections rather than coding | SALESFORCE.COM, INC. |
11010032 | Navigating a hierarchical data set | CITRIX SYSTEMS, INC. |
11010033 | Display control apparatus and methods for generating and displaying a related-item plate which includes setting items whose functions are related to a designated setting item | OLYMPUS CORPORATION |
11010034 | Automatic presentation of slide design suggestions | MICROSOFT TECHNOLOGY LICENSING, LLC |
11010035 | Information processing device, information processing method, and recording medium stored program | SHARP KABUSHIKI KAISHA |
11010036 | Edit experience for transformation of digital content | ADOBE INC. |
11010037 | Display device and operating method thereof | LG ELECTRONICS INC. |
11010038 | Information processing apparatus, information processing method and program for displaying an image during overdrag | SONY CORPORATION |
11010039 | Display control apparatus and non-transitory computer readable medium | FUJIFILM BUSINESS INNOVATION CORP. |
11010040 | Scrollable annotations associated with a subset of content in an electronic document | MICROSOFT TECHNOLOGY LICENSING, LLC |
11010041 | Method and apparatus for displaying interface | BAIDU ONLINE NETWORK TECHNOLOGY (BEIJING) CO., LTD. |
11010042 | Display of different versions of user interface element | LENOVO (SINGAPORE) PTE. LTD. |
11010043 | Information handling system totem with frictional tethering | DELL PRODUCTS L.P. |
11010044 | Swipe-based PIN entry | SHOPIFY INC. |
11010045 | Control apparatus, control method, and non-transitory computer readable medium | CANON KABUSHIKI KAISHA |
11010046 | Method and apparatus for executing function on a plurality of items on list | SAMSUNG SDS CO., LTD. |
11010047 | Methods and systems for presenting windows on a mobile device using gestures | Z124 |
11010048 | Accessing system user interfaces on an electronic device | APPLE INC. |
11010049 | System and method to perform a numerical input using a continuous swipe gesture | THE TORONTO-DOMINION BANK |
11010050 | Systems and methods for swipe-to-like | TWITTER, INC. |
11010051 | Virtual sound mixing environment | NOKIA TECHNOLOGIES OY |
11010052 | Computing devices having dynamically configurable user input devices, and methods of operating the same | GOOGLE LLC |
11010053 | Memory-access-resource management | VMWARE, INC. |
11010054 | Exabyte-scale data processing system | EMC IP HOLDING COMPANY LLC |
11010055 | Command processing for a storage system | MICRON TECHNOLOGY, INC. |
11010056 | Data operating method, device, and system | HUAWEI TECHNOLOGIES CO., LTD. |
11010057 | Storage system and method for storage system calibration | WESTERN DIGITAL TECHNOLOGIES, INC. |
11010058 | Solid state memory component | INTEL CORPORATION |
11010059 | Techniques for obtaining metadata and user data | EMC IP HOLDING COMPANY LLC |
11010060 | High performance logical device | EMC IP HOLDING COMPANY LLC |
11010061 | Scalable bandwidth non-volatile memory | INTEL CORPORATION |
11010062 | Method for providing read data flow control or error reporting using a read data strobe | CYPRESS SEMICONDUCTOR CORPORATION |
11010063 | High-temperature protection method for solid state drive and implementation device thereof | CHENGDU UNIVERSITY OF INFORMATION TECHNOLOGY |
11010064 | Data system with flush views | AMAZON TECHNOLOGIES, INC. |
11010065 | Read retry method for solid state storage device | -- |
11010066 | Identifying processor attributes based on detecting a guarded storage event | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11010067 | Defense against speculative side-channel analysis of a computer system | INTEL CORPORATION |
11010068 | GPT-based multi-location data security system | DELL PRODUCTS L.P. |
11010069 | Information processing apparatus and method for managing memory using address conversion | FUJITSU LIMITED |
11010070 | Methods for aligned, MPU region, and very small heap block allocations | -- |
11010071 | Solid state drive that allocates stream data to super blocks based on stream information and a memory allocation method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11010072 | Data storage, distribution, reconstruction and recovery methods and devices, and data processing system | HANGZHOU HIKVISION DIGITAL TECHNOLOGY CO., LTD. |
11010073 | Resource sharing in a telecommunications environment | TQ DELTA, LLC |
11010074 | Adjustable performance parameters for SSDs | WESTERN DIGITAL TECHNOLOGIES, INC. |
11010075 | Apparatus and method for using fields in N-space translation of storage requests | WESTERN DIGITAL TECHNOLOGIES, INC. |
11010076 | Memory system with multiple striping of raid groups and method for performing the same | VIOLIN SYSTEMS LLC |
11010077 | Reducing duplicate data | LIVERAMP, INC. |
11010078 | Inline deduplication | NETAPP INC. |
11010079 | Concept for storing file system metadata within solid-stage storage devices | INTEL CORPORATION |
11010080 | Layout based memory writes | PURE STORAGE, INC. |
11010081 | Information processing apparatus and storage control method therefor | FUJITSU LIMITED |
11010082 | Mechanisms for performing accurate space accounting for volume families | EMC IP HOLDING COMPANY LLC |
11010083 | Method and device of managing storage system | EMC IP HOLDING COMPANY LLC |
11010084 | Virtual machine migration system | DELL PRODUCTS L.P. |
11010085 | Apparatuses and methods for data movement | MICRON TECHNOLOGY, INC. |
11010086 | Data synchronization method and out-of-band management device | HUAWEI TECHNOLOGIES CO., LTD. |
11010087 | System and method for consistent mirroring in a cluster | ATLASSIAN PTY LTD. |
11010088 | Dynamically determined difference regions apparatuses, methods and systems | DATTO, INC |
11010089 | Approximating replication lag in cross-zone replicated block storage devices | AMAZON TECHNOLOGIES, INC. |
11010090 | Method and distributed computer system for processing data | YANDEX EUROPE AG |
11010091 | Multi-tier storage | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11010092 | Prefetch signaling in memory system or sub-system | MICRON TECHNOLOGY, INC. |
11010093 | Delegating an access request to address load imbalances in a dispersed storage network | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11010094 | Task management method and host for electronic storage device | MEDIATEK SINGAPORE PTE. LTD. |
11010095 | Dynamic and adaptive data read request scheduling | WESTERN DIGITAL TECHNOLOGIES, INC. |
11010096 | Probabilistically selecting storage units based on latency or throughput in a dispersed storage network | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11010097 | Apparatus, systems, and methods for offloading data operations to a storage system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11010098 | Memory systems, modules, and methods for improved capacity | RAMBUS INC. |
11010099 | Data storage device executing access commands based on leapfrog sort | WESTERN DIGITAL TECHNOLOGIES, INC. |
11010100 | Systems and methods for asynchronous writing of synchronous write requests based on a dynamic write threshold | OPEN DRIVES LLC |
11010101 | Object storage subsystems | EMC IP HOLDING COMPANY LLC |
11010102 | Caching of metadata for deduplicated luns | VIOLIN SYSTEMS LLC |
11010103 | Distributed batch processing of non-uniform data objects | WESTERN DIGITAL TECHNOLOGIES, INC. |
11010104 | Optimized tape drive unmounting | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11010105 | Print system, printing apparatus and method of controlling the same, and storage medium | CANON KABUSHIKI KAISHA |
11010106 | Printing apparatus that registers to a printing service in response to receipt of an instruction from an administrator when a login function of the printing apparatus is enabled, and related control method and program | CANON KABUSHIKI KAISHA |
11010107 | Method for controlling image-processing devices and facility for carrying out such method | NUBE PRINT, S.L. |
11010109 | Information processing apparatus and non-transitory computer readable medium for evaluating page images using attribute information | FUJI XEROX CO., LTD.. |
11010110 | Image forming apparatus and computer-readable storage medium | BROTHER KOGYO KABUSHIKI KAISHA |
11010111 | Image forming apparatus including a constant log storage | RICOH COMPANY, LTD. |
11010112 | Image processing apparatus for displaying user message and method controlling the same | CANON KABUSHIKI KAISHA |
11010113 | Method and apparatus for printing relational graph | ALIBABA GROUP HOLDING LIMITED |
11010114 | Read/write direction-based memory bank control for imaging | KYOCERA DOCUMENT SOLUTIONS INC. |
11010115 | Image processing apparatus capable of exclusively setting multiple settings, method therefor, and storage medium | CANON KABUSHIKI KAISHA |
11010116 | Print job backup | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11010117 | Printing apparatus and control method of printing apparatus | SEIKO EPSON CORPORATION |
11010118 | Information processing system, information processing apparatus, and server comprising: processing circuitry configured to: store, in a memory of the server, a print job received from an information processing apparatus that creates and stores the print job locally so that the print job is stored both in the memory of the server and in the information processing apparatus at a same time | RICOH COMPANY, LTD. |
11010119 | Printing apparatus, printing system, and printing processing method | SEIKO EPSON CORPORATION |
11010120 | Graphical display assembly for depicting vehicle shifter position | KUSTER NORTH AMERICA, INC. |
11010121 | User interfaces for audio media control | APPLE INC. |
11010122 | Audio digital signal processor utilizing a hybrid network architecture | CRESTRON ELECTRONICS, INC. |
11010123 | Computer system providing enhanced audio playback control for audio files associated with really simple syndication (RSS) feeds and related methods | PODUCTIVITY LTD. |
11010124 | Method and device for focusing sound source | LG ELECTRONICS INC. |
11010125 | Reducing audio-based distractions in an information handling system | DELL PRODUCTS L.P. |
11010126 | Headset, control module and method for automatic adjustment of volume of headset, and storage medium | MERRY ELECTRONICS (SUZHOU) CO., LTD. |
11010127 | Virtual assistant for media playback | APPLE INC. |
11010128 | Method for adjusting touch sensitivity and mobile device utilizing the same | -- |
11010129 | Augmented reality user interface | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11010140 | Integration of workflow and logical data objects using visual programming | SAP SE |
11010141 | Graphical interface to generate instructions to control a representation by an output interface of one or more objects | INTUILAB |
11010142 | User interface | WELLS FARGO BANK, N.A. |
11010147 | Method and apparatus for running mobile device software | IRON GAMING LIMITED |
11010158 | Determining the availability of memory optimizations by analyzing a running binary | FACEBOOK, INC. |
11010174 | Information processing apparatus, information processing system, and information processing method | FUJITSU LIMITED |
11010177 | Combining computer applications | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11010179 | Aggregating semantic information for improved understanding of users | FACEBOOK, INC. |
11010180 | Method and system for providing real-time guidance to users during troubleshooting of devices | WIPRO LIMITED |
11010181 | Pop-up free protected operations | DIGITAL.AI SOFTWARE, INC. |
11010188 | Simulated data object storage using on-demand computation of data objects | AMAZON TECHNOLOGIES, INC. |
11010211 | Content processing across applications | MICROSOFT TECHNOLOGY LICENSING, LLC |
11010218 | Declarative streamlining of dependency consumption | SAP SE |
11010245 | Memory storage apparatus with dynamic data repair mechanism and method of dynamic data repair thereof | -- |
11010246 | Multiple writes using inter-site storage unit relationship | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11010247 | Dynamic memory management system | VIOLIN SYSTEMS LLC |
11010248 | Reuse of resources in a storage controller for executing write commands over a plurality of interfaces | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11010263 | High performance persistent memory | RAMBUS INC. |
11010266 | Dual isolation recovery for primary-secondary server architectures | AMAZON TECHNOLOGIES, INC. |
11010290 | Method for reading management information according to updating data reflecting both of host write and data merge, memory storage device and memory control circuit unit | -- |
11010304 | Memory with reduced exposure to manufacturing related data corruption errors | INTEL CORPORATION |
11010314 | Artificial intelligence-enabled management of storage media access | MARVELL ASIA PTE. LTD. |
11010315 | Flexible hardware for high throughput vector dequantization with dynamic vector length and codebook size | MICROSOFT TECHNOLOGY LICENSING, LLC |
11010317 | Method for remotely triggered reset of a baseboard management controller of a computer system | -- |
11010329 | Electronic device, measurement mode setting method, and recording medium | CASIO COMPUTER CO., LTD. |
11010371 | Tag management system | PALANTIR TECHNOLOGIES INC. |
11010382 | Computing device with multiple operating systems and operations thereof | OCIENT HOLDINGS LLC |
11010390 | Using an electron process to determine a primary indexer for responding to search queries including generation identifiers | SPLUNK INC. |
11010396 | Data visualization user interface using cohesion of sequential natural language commands | TABLEAU SOFTWARE, INC. |
11010397 | Method and system for displaying applications | SHENZHEN TCL DIGITAL TECHNOLOGY LTD. |
11010398 | Metadata extraction and management | DISNEY ENTERPRISES, INC. |
11010400 | Computer file copy systems and methods | CITIGROUP TECHNOLOGY, INC. |
11010402 | Updating a remote tree for a client synchronization service | DROPBOX, INC. |
11010421 | Techniques for modifying a query image | MICROSOFT TECHNOLOGY LICENSING, LLC |
11010422 | Image display system, image display method, and image display program | RAKUTEN, INC. |
11010463 | Terminal device password unlocking method and device, and terminal device using the same | SMART ELECTRONIC INDUSTRIAL (DONG GUAN) CO., LTD. |
11010467 | Multifactor-based password authentication | BLUE POPCON CO.LTD |
11010497 | Electronic device, audio device, electronic device control method and storage medium | CASIO COMPUTER CO., LTD. |
11010499 | User-selected dynamic dimensions in computer-aided design | BRICSYS NV |
11010512 | Improving predictive information for free space gesture control and communication | ULTRAHAPTICS IP TWO LIMITED |
11010536 | Systems and methods for dynamic web user interface generation | AINS, INC. |
11010539 | State-specific commands in collaboration services | MICROSOFT TECHNOLOGY LICENSING, LLC |
11010541 | Enterprise web application constructor system and method | ORACLE INTERNATIONAL CORPORATION |
11010542 | Interactive electronic whiteboard appliance with adaptive application management | RICOH COMPANY, LTD. |
11010544 | Grid data management | INTERJECT DATA SYSTEMS, INC. |
11010551 | Method and apparatus for displaying candidate word, and graphical user interface | HUAWEI TECHNOLOGIES CO., LTD. |
11010555 | Systems and methods for automated question response | CONVERSICA, INC. |
11010563 | Natural language processing and machine learning for personalized tasks experience | MICROSOFT TECHNOLOGY LICENSING, LLC |
11010567 | Enabling sponsored solutions for technical instruction augmentation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11010584 | Display device and sensing element substrate capable of increasing ratio between photo current and dark current | -- |
11010586 | Method for fingerprint collection and related products | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
11010591 | Automatic protein crystallization trial analysis system | MERCK SHARP & DOHME CORP. |
11010594 | Apparatus and method for controlling vehicle | HYUNDAI MOTOR COMPANY |
11010601 | Intelligent assistant device communicating non-verbal cues | MICROSOFT TECHNOLOGY LICENSING, LLC |
11010611 | VR content shooting method, related device and system | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
11010612 | Information generation device, information generation method, computer program, and in-vehicle device | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11010632 | Optimizing 360-degree video streaming with video content analysis | AT&T INTELLECTUAL PROPERTY I, L.P. |
11010646 | Object tracking assisted with hand or eye tracking | FACEBOOK TECHNOLOGIES, LLC |
11010664 | Augmenting neural networks with hierarchical external memory | DEEPMIND TECHNOLOGIES LIMITED |
11010677 | Event management system | ORACLE INTERNATIONAL CORPORATION |
11010690 | Machine learning for determining confidence for reclamation of storage volumes | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11010699 | Electronic calendar with animated day detail view for work shift swapping | ADP, LLC |
11010703 | Productivity measurement, modeling and illustration system | ACCENTURE GLOBAL SOLUTIONS LIMITED |
11010719 | Systems and methods for detecting errors of asynchronously enqueued requests | COUPANG CORP. |
11010727 | Presenting previously hidden user interface options within a graphical user interface | PAYPAL, INC. |
11010738 | Invoice analytics system | -- |
11010761 | Multi-factor automated teller machine (ATM) personal identification number(PIN) | CAPITAL ONE SERVICES, LLC |
11010762 | Systems and methods for authentication code entry in touch-sensitive screen enabled devices | VERIFONE, INC. |
11010815 | Systems and methods for vehicle recommendations based on user gestures | CAPITAL ONE SERVICES, LLC |
11010821 | Recording medium, management server, and label producing system | BROTHER KOGYO KABUSHIKI KAISHA |
11010830 | Loan selection interface for a vehicle transfer transaction | AUTOFI, INC. |
11010843 | Systems and methods for encouragement of data submission in online communities | PATIENTSLIKEME, INC. |
11010856 | Method and apparatus for deterrence and detection of leakage during remote interactive sessions | CITRIX SYSTEMS, INC. |
11010864 | Image capturing apparatus, control method, and storage medium | CANON KABUSHIKI KAISHA |
11010868 | Information processing apparatus and non-transitory computer readable medium | FUJI XEROX CO., LTD. |
11010921 | Distributed pose estimation | QUALCOMM INCORPORATED |
11010933 | Method and system for presentation of risks | INBARIO AS |
11010936 | System for supporting flexible color assignment in complex documents | WIX.COM LTD. |
11010942 | Graphical display with integrated recent period zoom and historical period context data | TRADING TECHNOLOGIES INTERNATIONAL, INC. |
11010949 | Augmented reality z-stack prioritization | WAYFAIR LLC |
11010951 | Explicit eye model for avatar | FACEBOOK TECHNOLOGIES, LLC |
11010956 | Foveated rendering | IMAGINATION TECHNOLOGIES LIMITED |
11010959 | Graphics processing systems | ARM LIMITED |
11010972 | Context sensitive user interface activation in an augmented and/or virtual reality environment | GOOGLE LLC |
11010974 | Augmented reality in-application advertisements | VUNGLE, INC. |
11010978 | Method and system for generating augmented reality interactive content | KYOCERA DOCUMENT SOLUTIONS INC. |
11010980 | Augmented interface distraction reduction | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11010984 | Three-dimensional conversion of a digital file spatially positioned in a three-dimensional virtual environment | SAGAN WORKS, INC. |
11010993 | Customizable reporting with a wearable device | FORD GLOBAL TECHNOLOGIES, LLC |
11011032 | Method of supplying tactile information | CK MATERIALS LAB CO., LTD. |
11011033 | Haptic vibrotactile actuators on textiles and related systems and methods | FACEBOOK TECHNOLOGIES, LLC |
11011035 | Methods and systems for detecting persons in a smart home environment | GOOGLE LLC |
11011044 | Information processing system, information processing apparatus, and information processing method | SONY CORPORATION |
11011049 | Safety assistance on vehicle test ride | CARRIER CORPORATION |
11011073 | Weight-loss service that supports multiple remote users in losing weight | MILES BRAMWELL EXECUTIVE SERVICES LIMITED |
11011075 | Calibration of haptic device using sensor harness | FACEBOOK, INC. |
11011076 | Display module for visually impaired persons | SENSEE, INC. |
11011078 | System and method for three-dimensional augmented reality guidance for use of medical equipment | TIENOVIX, LLC |
11011095 | Display panel, and image control device and method thereof | CHONGQING HKC OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11011102 | Display apparatus and control method therefor | CANON KABUSHIKI KAISHA |
11011116 | External compensation for a display device using varying gate pulse timing | LG DISPLAY CO., LTD. |
11011118 | Pixel-driving circuit and a compensation method thereof, a display panel, and a display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11011124 | Method and device for adjusting current of backlight, and storage medium | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11011133 | Information handling system display and charger management in multiple device scenarios | DELL PRODUCTS L.P. |
11011138 | Mobile terminal and control method thereof | LG ELECTRONICS INC. |
11011140 | Image rendering method and apparatus, and VR device | HUAWEI TECHNOLOGIES CO., LTD. |
11011141 | Method for controlling display of screen of mobile terminal, and mobile terminal | ADVANCED NEW TECHNOLOGIES CO., LTD. |
11011142 | Information processing system and goggle apparatus | NINTENDO CO., LTD. |
11011162 | Custom acoustic models | SOUNDHOUND, INC. |
11011163 | Method and apparatus for recognizing voice | BAIDU ONLINE NETWORK TECHNOLOGY (BEIJING) CO., LTD. |
11011165 | Voice input device, non-transitory computer readable medium storing voice input program, and voice input system | KYOCERA DOCUMENT SOLUTIONS INC. |
11011166 | Voice message categorization and tagging | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011167 | Communication system, communication method, and computer-readable storage medium | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11011171 | Pre-emptively initializing an automated assistant routine and/or dismissing a scheduled alarm | GOOGLE LLC |
11011183 | Extracting knowledge from collaborative support sessions | CISCO TECHNOLOGY, INC. |
11011187 | Apparatus for generating relations between feature amounts of audio and scene types and method therefor | YAMAHA CORPORATION |
11011233 | Nonvolatile memory device, storage device including nonvolatile memory device, and method of accessing nonvolatile memory device | SAMSUNG ELECTRONICS CO., LTD. |
11011239 | Semiconductor memory | KIOXIA CORPORATION |
11011243 | Storage device that uses a host memory buffer and a memory management method including the same | SAMSUNG ELECTRONICS CO., LTD. |
11011257 | Multi-label heat map display system | ENLITIC, INC. |
11011276 | Method for establishing computer-aided data interpretation model for immune diseases by immunomarkers and visualization | -- |
11011281 | Conductive sheet and conductive pattern | DONGWOO FINE-CHEM CO., LTD. |
11011396 | Customized smart devices and touchscreen devices and cleanspace manufacturing methods to make them | -- |
11011554 | Array substrate, method for fabricating the same, and display panel | HEFEI BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11011587 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11011667 | Display panel with photo sensor and display device using the same | LG DISPLAY CO., LTD. |
11011694 | Vibrating device and tactile sense presenting device | MURATA MANUFACTURING CO., LTD. |
11011715 | Display panel and display device | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
11011932 | Mouse pad comprising wireless power transmission apparatus and mouse | LG INNOTEK CO., LTD. |
11012069 | Keyboard key with capacitive switch having mechanical and proximity switching functions | TACTUAL LABS CO. |
11012318 | Systems and methods for network analysis and reporting | CATBIRD NETWORKS, INC. |
11012319 | Entity selection in a visualization of a network graph | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11012320 | Interactive graphical model-based monitoring and control of networked physical assets | OASISWORKS INC. |
11012387 | Apparatus and method for matching individuals during an ephemeral time period based upon voting and matching criteria | AMI HOLDINGS LIMITED |
11012389 | Modifying images with supplemental content for messaging | APPLE INC. |
11012390 | Media content response in a messaging system | SNAP INC. |
11012392 | Content delivery control | MICROSOFT TECHNOLOGY LICENSING, LLC |
11012398 | Ephemeral message gallery user interface with screenshot messages | SNAP INC. |
11012400 | Triggering event notifications based on messages to application users | CITRIX SYSTEMS, INC. |
11012402 | System and method for recipe sharing and management | CGS, INC. |
11012406 | Automatic IP range selection | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11012522 | Modifying application functionality based on usage patterns of other users | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11012548 | System and method for providing test scenario reproduction for a device under test | MARVELL ASIA PTE. LTD. |
11012575 | Selecting meetings based on input requests | AMAZON TECHNOLOGIES, INC. |
11012579 | Image processing apparatus, information processing method, and non-transitory computer readable storage medium | CANON KABUSHIKI KAISHA |
11012580 | Image processing apparatus and an information processing system for changing a processing request | RICOH COMPANY, LTD. |
11012581 | System and method for automated device service call initiation | TOSHIBA TEC KABUSHIKI KAISHA |
11012582 | Image processing apparatus and information management apparatus providing customizable operation screen | KABUSHIKI KAISHA TOSHIBA |
11012625 | Electronic equipment having a touch operating face | CANON KABUSHIKI KAISHA |
11012637 | Systems and methods for live video freeze detection | FORD GLOBAL TECHNOLOGIES, LLC |
11012664 | Remote controlled video messaging session | VIEWABO, INC. |
11012696 | Reducing an amount of storage used to store surveillance videos | DELL PRODUCTS L.P. |
11012729 | Authenticated content discovery | MICROSOFT TECHNOLOGY LICENSING, LLC |
11012734 | Interactive video distribution system and video player utilizing a client server architecture | SCORPCAST, LLC |
11012753 | Computerized system and method for determining media based on selected motion video inputs | VERIZON MEDIA INC. |
11012776 | Volume adjustment model development | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11012780 | Speaker system with customized audio experiences | BOSE CORPORATION |
11012815 | System and method for providing traffic notifications to mobile devices | BLACKBERRY LIMITED |
11012842 | Wireless stereo bluetooth communication mechanism capable of effectively reducing number of audio packet retransmission | -- |
11012843 | Communication device | BROTHER KOGYO KABUSHIKI KAISHA |
11012927 | Rogue base station router detection with configurable threshold algorithms | -- |
11013045 | Mobile device and method of pairing the same with electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11013108 | Flexible substrate with bubble-prevention layer and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11013109 | Display unit, electronic apparatus including the same, and method of manufacturing the electronic apparatus | SAMSUNG DISPLAY CO., LTD. |
11013116 | Flexible assembly for display device and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11013418 | Identification of device location in healthcare facility | HILL-ROM SERVICES, INC. |
11013435 | Electronic device and method for measuring biometric information | SAMSUNG ELECTRONICS CO., LTD. |
11013445 | Wireless earpiece with transcranial stimulation | BRAGI GMBH |
11013559 | Virtual reality laparoscopic tools | VERB SURGICAL INC. |
11013573 | Head-mounted illuminator with capacitive proximity control | GENERAL SCIENTIFIC/SURGITEL |
11013951 | Platform for enabling omnidirectional movement of an exercising machine | -- |
11013989 | Independent game and chat volume control | VOYETRA TURTLE BEACH, INC. |
11013990 | Vibration control apparatus | SONY INTERACTIVE ENTERTAINMENT INC. |
11013999 | Computer-readable non-transitory storage medium having stored game program, information processing system, information processing apparatus, and information processing method for generating a game image having at least an image of a virtual space and a map image | NINTENDO CO., LTD. |
11014242 | Puppeteering in augmented reality | MICROSOFT TECHNOLOGY LICENSING, LLC |
11014243 | System and method for instructing a device | VECNA ROBOTICS, INC. |
11014391 | Security marks based on print job image with uniform printed background | XEROX CORPORATION |
11014449 | Method and device for displaying information, in particular in a vehicle | VOLKSWAGEN AG |
11014723 | Container and/or container lid with recordable audio player | NOBLEIQ LLC |
11014843 | Field remote sensing system for real-time quantification of total suspended solids (TSS) in surface waters from above-water spectral measurements | -- |
11015321 | Operational data storage device | HITACHI CONSTRUCTION MACHINERY CO., LTD. |
11015835 | Management device, display switch system, and air-conditioning system | MITSUBISHI ELECTRIC CORPORATION |
11015859 | Refrigerator | SAMSUNG ELECTRONICS CO., LTD. |
11015946 | Distributed data processing systems for processing remotely captured sensor data | ALLSTATE INSURANCE COMPANY |
11015949 | System having automated route generation and optimization | RUBICON TECHNOLOGIES, LLC |
11015951 | Feature selection in transit mode | APPLE INC. |
11015992 | Load sensor unit and input device | ALPS ALPINE CO., LTD. |
11016116 | Correction of accumulated errors in inertial measurement units attached to a user | FINCH TECHNOLOGIES LTD. |
11016167 | Three dimensional object-localization and tracking using ultrasonic pulses | CHIRP MICROSYSTEMS |
11016191 | Network-based ultrasound imaging system | MAUI IMAGING, INC. |
11016223 | Hardcoat film and application thereof | FUJIFILM CORPORATION |
11016234 | Electronic device stack assembly | AMAZON TECHNOLOGIES, INC. |
11016293 | Virtual reality head-mounted apparatus | ADVANCED NEW TECHNOLOGIES CO., LTD. |
11016295 | Eyeglasses wearable device, method of controlling the eyeglasses wearable device and data management server | KABUSHIKI KAISHA TOSHIBA |
11016296 | Terminal device | SUNCORPORATION |
11016297 | Image generation apparatus and image generation method | SONY INTERACTIVE ENTERTAINMENT INC. |
11016298 | Microlens collimator for scanning optical fiber in virtual/augmented reality system | MAGIC LEAP, INC. |
11016302 | Wearable image manipulation and control system with high resolution micro-displays and dynamic opacity augmentation in augmented reality glasses | RAYTRX, LLC |
11016303 | Camera mute indication for headset user | FACEBOOK TECHNOLOGIES, LLC |
11016305 | Sensor fusion for electromagnetic tracking | MAGIC LEAP, INC. |
11016329 | Display panel, data processor, and method for manufacturing display panel | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11016375 | Control of color primaries and white point in a laser-phosphor projector | BARCO N.V. |
11016380 | Projection video display apparatus | MAXELL, LTD. |
11016498 | Control device, processing apparatus system, and recording medium | FUJI XEROX CO., LTD. |
11016522 | Waveform generation | NORDIC SEMICONDUCTOR ASA |
11016533 | Tablet PC case and electronic apparatus | LENOVO (SINGAPORE) PTE. LTD. |
11016534 | System, method, and recording medium for predicting cognitive states of a sender of an electronic message | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11016535 | Electronic device capable of detecting wearing state or touching state | -- |
11016537 | Mobile terminal and control method therof | LG ELECTRONICS INC. |
11016542 | Touch pad sensors operative as antennas | FACEBOOK, INC. |
11016555 | Control of performance levels of different types of processors via a user interface | ADVANCED MICRO DEVICES, INC. |
11016557 | Method and system for creating a personalized experience in connection with a stored value token | HOME DEPOT PRODUCT AUTHORITY, LLC |
11016558 | Information processing apparatus, and information processing method to determine a user intention | SONY CORPORATION |
11016559 | Display system and display control method of display system | HITACHI-LG DATA STORAGE, INC. |
11016560 | Video timewarp for mixed reality and cloud rendering applications | ROCKWELL COLLINS, INC. |
11016561 | Multimodal eye tracking | MAGIC LEAP, INC. |
11016562 | Methods and apparatus for controlling a viewpoint within displayed content based on user motion | SONY INTERACTIVE ENTERTAINMENT INC. |
11016563 | Image changes based on voice | BELLEVUE |
11016564 | System and method for providing information | -- |
11016565 | Postponing the state change of an information affecting the graphical user interface until during the condition of inattentiveness | APPLE INC. |
11016566 | Controllers with asymmetric tracking patterns | FACEBOOK TECHNOLOGIES, LLC |
11016567 | Letter and number recognition system using EEG-fNIRS for speech impaired people | KING ABDULAZIZ UNIVERSITY |
11016568 | Methods and apparatus to monitor haptic vibrations of touchscreens | THE NIELSEN COMPANY (US), LLC |
11016569 | Wearable device and method for providing feedback of wearable device | SAMSUNG ELECTRONICS CO., LTD. |
11016570 | Input device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11016571 | Operator control unit for a device | BEHR-HELLA THERMOCONTROL GMBH |
11016572 | Efficient detection of human machine interface interaction using a resonant phase sensing system | CIRRUS LOGIC, INC. |
11016573 | Vehicular input apparatus | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11016574 | Millimeter-wave-radar-based electromagnetic apparatus | ROGERS CORPORATION |
11016575 | Input tool | LENOVO (SINGAPORE) PTE. LTD. |
11016576 | Reverse keyboard assembly | FINETUNE TECHNOLOGIES LTD. |
11016577 | Keyboard for live streaming and method thereof | SHENZHEN AJAZZ TONGCHUANG ELECTRONIC TECHNOLOGY CO., LTD. |
11016578 | Method, electronic device and system for remote text input | SWISSCOM AG |
11016579 | Method and apparatus for 3D viewing of images on a head display unit | D3D TECHNOLOGIES, INC. |
11016580 | Mouse | -- |
11016581 | Base station for use with digital pens | MICROSOFT TECHNOLOGY LICENSING, LLC |
11016582 | Position detecting device, position detecting system, and controlling method of position detecting device | SEIKO EPSON CORPORATION |
11016583 | Digital pen to adjust a 3D object | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11016584 | Electronic device having a curved display and control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11016585 | Electronic pen including waterproof structure and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11016586 | Method using active stylus and sensor controller, sensor controller, and active stylus | WACOM CO., LTD. |
11016587 | Watch crown haptic support to provide detent-like operation | APPLE INC. |
11016588 | Method and device and system with dual mouse support | INVENTRANS BV |
11016589 | Discrete high impedance implementation on push-pull outputs | MICROSOFT TECHNOLOGY LICENSING, LLC |
11016590 | Vehicle interior systems having a curved cover glass and display or touch panel and methods for forming the same | CORNING INCORPORATED |
11016591 | Touch-sensitive display device and display panel | LG DISPLAY CO., LTD. |
11016592 | Display substrate, in cell touch panel and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11016593 | Sensor-equipped display device and sensor device | JAPAN DISPLAY INC. |
11016594 | Touch sensing type display device and method of fabricating the same | LG DISPLAY CO., LTD. |
11016595 | Film sensor and touch display including the same | TPK ADVANCED SOLUTIONS INC. |
11016596 | Input device and image processing apparatus | KYOCERA DOCUMENT SOLUTIONS INC. |
11016597 | Haptic device with indirect haptic feedback | NORTHWESTERN UNIVERSITY |
11016598 | Artificial finger tip sliding touch sensor | SOUTHEAST UNIVERSITY |
11016599 | Light distribution controllable touch panel device and display device | TIANMA MICROELECTRONICS CO., LTD. |
11016600 | Latency reduction in touch sensitive systems | BEECHROCK LIMITED |
11016601 | Sensor system with drive-sense circuit | SIGMASENSE, LLC. |
11016602 | Method for locating at least one movable magnetic object and associated system | ISKN |
11016603 | Electronic device and operation method therefor | SAMSUNG ELECTRONICS CO., LTD. |
11016604 | Display device | JAPAN DISPLAY INC. |
11016605 | Pen differentiation for touch displays | FLATFROG LABORATORIES AB |
11016606 | Method and device for controlling touch screen, and electronic device | FUZHOU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11016607 | Controlling audio volume using touch input force | SENTONS INC. |
11016608 | Touch display control apparatus and touch display apparatus | CHIPONE TECHNOLOGY (BEIJING) CO., LTD. |
11016609 | Distance-time based hit-testing for displayed target graphical elements | MICROSOFT TECHNOLOGY LICENSING, LLC |
11016610 | Dynamic adjustment of a click threshold corresponding to a force-based tactile sensor | SENSEL, INC. |
11016611 | Touch processing method and electronic device for supporting the same | SAMSUNG ELECTRONICS CO., LTD. |
11016612 | Decoration film, cover panel comprising decoration film and method for manufacturing decoration film | SAMSUNG DISPLAY CO., LTD. |
11016613 | Image recognition device, image recognition method and image recognition unit | SEIKO EPSON CORPORATION |
11016615 | Touch sensor panel | HIDEEP INC. |
11016616 | Multi-domain touch sensing with touch and display circuitry operable in guarded power domain | APPLE INC. |
11016617 | Touch sensitive processing method and apparatus and touch sensitive system | -- |
11016618 | Sensor device for detecting pen signal transmitted from pen | WACOM CO., LTD. |
11016619 | Touch panel, method of manufacturing the same and touch display panel | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11016620 | Touchscreen panel and method of producing the same | SHARP KABUSHIKI KAISHA |
11016621 | Capacitive touch screen capable of reducing side light reflection | -- |
11016622 | Display device | SAMSUNG DISPLAY CO., LTD. |
11016623 | Touch sensor panel | HIDEEP INC. |
11016624 | Touch display panel and manufacturing method thereof, display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11016625 | Display panel and deformation sensing method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11016626 | Input device | ALPS ALPINE CO., LTD. |
11016627 | Multi-touch detection | MICROSOFT TECHNOLOGY LICENSING, LLC |
11016628 | Mobile device applications | AMAZON TECHNOLOGIES, INC. |
11016629 | Display device, method for controlling display device, and display system | SEIKO EPSON CORPORATION |
11016630 | Virtual view-window | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11016631 | Method and apparatus for ego-centric 3D human computer interface | ATHEER, INC. |
11016632 | Method and apparatus for managing notification bar message | HUAWEI DEVICE (DONGGUAN) CO., LTD. |
11016633 | Intelligent support recommendations for snap-ins | SALESFORCE.COM, INC. |
11016634 | Refrigerator storage system having a display | SAMSUNG ELECTRONICS CO., LTD. |
11016635 | Layout system for devices with variable display screen sizes and orientations | KEYSIGHT TECHNOLOGIES, INC. |
11016636 | Methods and apparatuses for selecting a function of an infotainment system of a transportation vehicle | VOLKSWAGEN AKTIENGESELLSCHAFT |
11016637 | Method of and device for managing applications | SAMSUNG ELECTRONICS CO., LTD. |
11016638 | Interactive answer boxes for user search queries | GOOGLE LLC |
11016639 | Multiple outlet shower control | KOHLER MIRA LIMITED |
11016640 | Contextual user profile photo selection | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11016641 | Method and apparatus for taking screenshot of screen of application in mobile terminal | HONOR DEVICE CO., LTD. |
11016642 | Optical encoder-controlled circular infotainment interface | PANASONIC AUTOMOTIVE SYSTEMS COMPANY OF AMERICA, DIVISION OF PANASONIC CORPORATION OF NORTH AMERICA |
11016643 | Movement of user interface object with user-specified content | APPLE INC. |
11016644 | Suspend button display method and terminal device | HUAWEI TECHNOLOGIES CO., LTD. |
11016645 | Window split screen display method, device and equipment | BEIJING BYTEDANCE NETWORK TECHNOLOGY CO., LTD. |
11016646 | Method and mechanism for context driven alteration of UI artifacts instances behavior using expression based pluggable UI aspects | OPEN TEXT CORPORATION |
11016647 | Web-based client-server geometric design methods | CADONIX LTD |
11016648 | Systems and methods for entity visualization and management with an entity node editor | JOHNSON CONTROLS TECHNOLOGY COMPANY |
11016649 | Presentation of multivariate data on a graphical user interface of a computing system | PALANTIR TECHNOLOGIES INC. |
11016650 | Building data metric objects through user interactions with data marks of displayed visual representations of data sources | TABLEAU SOFTWARE, INC. |
11016651 | System and method for minimizing text collisions in project timelines | CHRONICLE GRAPHICS, INC. |
11016652 | Apparatus, method, and medium for scrolling text | CANON KABUSHIKI KAISHA |
11016653 | Methods and system for locally generated gesture and transition graphics interaction with terminal control services | CITRIX SYSTEMS, INC. |
11016654 | Systems and methods for generating and providing intelligent time to leave reminders | APPLE INC. |
11016655 | Reconfiguring a user interface according to interface device deterioration | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11016656 | Fault recognition self-learning graphical user interface | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11016657 | Electronic device for interacting with custom user interface elements | AMAZON TECHNOLOGIES, INC. |
11016658 | Managing real-time handwriting recognition | APPLE INC. |
11016659 | User interface tap selection on touchscreen device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11016660 | Method and apparatus for responding to gesture operation and storage medium | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11016661 | Device and method for displaying changeable icons on a plurality of display zones of a reverse keyboard assembly | FINETUNE TECHNOLOGIES LTD. |
11016662 | Keyboard trading system | BGC PARTNERS, INC. |
11016663 | Memory system | KIOXIA CORPORATION |
11016664 | Management of file system requests in a distributed storage system | WEKA, IO LTD. |
11016665 | Event-based dynamic memory allocation in a data storage device | SEAGATE TECHNOLOGY LLC |
11016666 | Memory system and operating method thereof | SK HYNIX INC. |
11016667 | Efficient mapping for LUNs in storage memory with holes in address space | PURE STORAGE, INC. |
11016668 | Hypervisor deduplication page comparison speedup | RED HAT, INC. |
11016669 | Persistent write data for energy-backed memory | QUALCOMM INCORPORATED |
11016670 | Nonvolatile semiconductor memory device | TOSHIBA MEMORY CORPORATION |
11016671 | Snapshot block storage path structure wherein identification of blocks that are identical between two snapshots are determined without performing block by block comparison between the two snapshots | AMAZON TECHNOLOGIES, INC. |
11016672 | Memory card and host device thereof | TOSHIBA MEMORY COIPORATION |
11016673 | Optimizing serverless computing using a distributed computing framework | CISCO TECHNOLOGY, INC. |
11016674 | Method, device, and computer program product for reading data | EMC IP HOLDING COMPANY LLC |
11016675 | Optimizing timing for data migration from old generation tapes to new generation tapes | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11016676 | Spot coalescing of distributed data concurrent with storage I/O operations | NUTANIX, INC. |
11016677 | Dual-splitter for high performance replication | EMC IP HOLDING COMPANY LLC |
11016678 | Channel optimized storage modules | MEMORY TECHNOLOGIES LLC |
11016679 | Balanced die set execution in a data storage system | SEAGATE TECHNOLOGY LLC |
11016680 | Reflective, colored, or color-shifting scratch resistant coatings and articles | CORNING INCORPORATED |
11016681 | Multi-threshold parameter adaptation | SEAGATE TECHNOLOGY LLC |
11016682 | Multi-mode NVMe over fabrics device for supporting CAN (controller area network) bus or SMBus interface | SAMSUNG ELECTRONICS CO., LTD. |
11016683 | Serializing access to fault tolerant memory | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11016684 | System and method for managing data and metadata where respective backing block devices are accessed based on whether request indicator indicates the data or the metadata and accessing the backing block devices without file system when the request indicator is not included in request | VIRTUOZZO INTERNATIONAL GMBH |
11016685 | Method and defragmentation module for defragmenting resources | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11016686 | Apparatus and method of bad location management for storage class memory using distributed pointers | WOLLY INC. |
11016687 | Writing file information for tape file systems | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11016688 | Real-time localized data access in a distributed data storage system | OPEN DRIVES LLC |
11016689 | Data storage system | SAMSUNG ELECTRONICS CO., LTD. |
11016690 | Partition splitting method and apparatus, electronic device, and readable storage medium | ANT FINANCIAL (HANG ZHOU) NETWORK TECHNOLOGY CO., LTD. |
11016691 | Migrating data from a large extent pool to a small extent pool | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11016692 | Dynamically switching between memory copy and memory mapping to optimize I/O performance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11016693 | Block health estimation for wear leveling in non-volatile memories | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11016694 | Storage drivers for remote replication management | EMC IP HOLDING COMPANY LLC |
11016695 | Methods and apparatus to perform memory copy operations | INTEL CORPORATION |
11016696 | Redundant distributed data storage system | COMMVAULT SYSTEMS, INC. |
11016697 | Prefetching data blocks from a primary storage to a secondary storage system while data is being synchronized between the primary storage and secondary storage | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11016698 | Storage system that copies write data to another storage system | HITACHI, LTD. |
11016699 | Host device with controlled cloning of input-output operations | EMC IP HOLDING COMPANY LLC |
11016700 | Analyzing application-specific consumption of storage system resources | PURE STORAGE, INC. |
11016701 | Oscillator circuitry to facilitate in-memory computation | INTEL CORPORATION |
11016702 | Hierarchical event tree | PURE STORAGE, INC. |
11016703 | Memory controller, memory system, information system, and memory control method | SONY CORPORATION |
11016704 | Semiconductor system including various memory devices capable of processing data | SK HYNIX INC. |
11016705 | Electronic apparatus and method of managing read levels of flash memory | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11016706 | Apparatuses for in-memory operations | MICRON TECHNOLOGY, INC. |
11016707 | Control device, control method, and recording medium to detect a state of access to table data and set a writing destination | NIPPON TELEGRAPH AND TELEPHONE CORPORATION |
11016708 | Reliable non-volatile memory programming interface and method therefor | SILICON LABORATORIES INC. |
11016709 | Printing apparatus and control method of printing apparatus | SEIKO EPSON CORPORATION |
11016710 | Image forming apparatus that receives job data from host apparatuses via switching hub and calculates communication speed for the host apparatuses based on the job data and reception required time | KYOCERA DOCUMENT SOLUTIONS INC. |
11016711 | Image processing apparatus, method for controlling the same, and storage medium | CANON KABUSHIKI KAISHA |
11016712 | Systems and methods for generating a customized display in a vehicle | FORD GLOBAL TECHNOLOGIES, LLC |
11016713 | Advertising placement based on viewer movement | AT&T INTELLECTUAL PROPERTY I, L.P. |
11016714 | Multi-screen splicing structure and display device having transceivers for detecting approaching of other displays | -- |
11016715 | Electronic device and method for controlling the same to mirror a screen of the electronic device on an external device | SAMSUNG ELECTRONICS CO., LTD. |
11016716 | Picture interface display method and apparatus according to jump protocol | BEIJING JINGDONG SHANGKE INFORMATION TECHNOLOGY CO., LTD. |
11016717 | Selective electronic content casting | MICROSOFT TECHNOLOGY LICENSING, LLC |
11016718 | Conforming local and remote media characteristics data to target media presentation profiles | JAWB ACQUISITION LLC |
11016719 | Systems and methods for aggregating content | DISH TECHNOLOGIES L.L.C. |
11016720 | Audio system | BOSE CORPORATION |
11016721 | Media-compensated pass-through and mode-switching | DOLBY LABORATORIES LICENSING CORPORATION |
11016722 | Database systems and methods for conversation-driven dynamic updates | SALESFORCE.COM, INC. |
11016723 | Multi-application control of augmented reality audio | BOSE CORPORATION |
11016724 | Method for changing audio signal path according to external electronic device transmitting EM signal and electronic device therefor | SAMSUNG ELECTRONICS CO., LTD. |
11016725 | System and method connected to a casket to play audio file over a network | -- |
11016726 | Information processing apparatus and information processing method | SONY CORPORATION |
11016727 | Audio track selection and playback | SONOS, INC. |
11016728 | Enhancing presentation content delivery associated with a presentation event | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11016729 | Sensor fusion service to enhance human computer interactions | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11016739 | Reducing memory usage in software applications | SAP SE |
11016755 | System and method to secure embedded controller flashing process | DELL PRODUCTS L.P. |
11016781 | Methods and memory modules for enabling vendor specific functionalities | SAMSUNG ELECTRONICS CO., LTD. |
11016782 | Information processing apparatus, information processing method, and storage medium | CANON KABUSHIKI KAISHA |
11016783 | Secure storage access utilizing multi-path layer of host device to identify processes executed on the host device with authorization to access data of a storage system | EMC IP HOLDING COMPANY LLC |
11016788 | Application launching method and display device | HISENSE VISUAL TECHNOLOGY CO., LTD. |
11016790 | Overflow detection and correction in state machine engines | MICRON TECHNOLOGY, INC. |
11016791 | Method and system for declarative configuration of user self-registration pages and processes for a service provider and automatic deployment of the same | SALESFORCE.COM, INC. |
11016792 | Remote seamless windows | AMAZON TECHNOLOGIES, INC. |
11016801 | Architecture to support color scheme-based synchronization for machine learning | MARVELL ASIA PTE, LTD. |
11016817 | Multi root I/O virtualization system | HUAWEI TECHNOLOGIES CO., LTD. |
11016821 | Resegmenting chunks of data for efficient load balancing across indexers | SPLUNK INC. |
11016829 | Two-layered deterministic interprocess communication scheduler for input output determinism in solid state drives | TOSHIBA MEMORY CORPORATION |
11016841 | Methods and apparatuses for proactive ECC failure handling | -- |
11016846 | Storage device using host memory and operating method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11016879 | Determining costs based on computer memory usage | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11016882 | System and method of direct write and mapping of data in a non-volatile memory having multiple sub-drives | WESTERN DIGITAL TECHNOLOGIES, INC. |
11016884 | Virtual block redirection clean-up | EMC IP HOLDING COMPANY LLC |
11016887 | Converged memory device and operation method thereof | SK HYNIX INC. |
11016889 | Storage device with enhanced time to ready performance | SEAGATE TECHNOLOGY LLC |
11016890 | CPU cache flushing to persistent memory | MICRON TECHNOLOGY, INC. |
11016905 | Storage class memory access | WESTERN DIGITAL TECHNOLOGIES, INC. |
11016911 | Non-volatile memory express over fabric messages between a host and a target using a burst mode | SAMSUNG ELECTRONICS CO., LTD. |
11016913 | Inter cluster snoop latency reduction | APPLE INC. |
11016914 | Data processing system including memory systems coupled through internal channel | SK HYNIX INC. |
11016915 | Method for sending by an upstream device to a downstream device data from a virtual channel sharing a same input buffer memory of the downstream device, corresponding computer program and system | BULL SAS |
11016917 | Data storage system and method for multiple communication protocols and direct memory access | WESTERN DIGITAL TECHNOLOGIES, INC. |
11016918 | Flash-DRAM hybrid memory module | NETLIST, INC. |
11016919 | Intelligent switching peripheral connector | SEAGATE TECHNOLOGY LLC |
11016923 | Configuring hot-inserted device via management controller | DELL PRODUCTS L.P. |
11016924 | System and method for supporting multi-mode and/or multi-speed non-volatile memory (NVM) express (NVMe) over fabrics (NVMe-oF) devices | SAMSUNG ELECTRONICS CO., LTD. |
11016935 | Centralized multi-cloud workload protection with platform agnostic centralized file browse and file retrieval time machine | RUBRIK, INC. |
11016937 | Updateable distributed file framework | MICROSOFT TECHNOLOGY LICENSING, LLC |
11016938 | Consolidating information relating to duplicate images | APPLE INC. |
11016940 | Techniques for improving deduplication efficiency in a storage system with multiple storage nodes | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11016945 | Identifying and utilizing synchronized content | AMAZON TECHNOLOGIES, INC. |
11016957 | Sensor data based query results | MICROSOFT TECHNOLOGY LICENSING, LLC |
11016965 | Graphical user interface for defining atomic query for querying knowledge graph databases | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11016979 | Systems and method for domain separation of service catalog | SERVICENOW, INC. |
11016987 | Shared folder backed integrated workspaces | DROPBOX, INC. |
11016991 | Efficient filename storage and retrieval | DROPBOX, INC. |
11016992 | Systems and methods for seamless access to remotely managed documents using synchronization of locally stored documents | OPEN TEXT SA ULC |
11017005 | Filtering communications | -- |
11017010 | Intelligent playing method and apparatus based on preference feedback | BAIDU ONLINE NETWORK TECHNOLOGY (BEIJING) CO., LTD. |
11017012 | Dynamically ranking media effects based on user and device characteristics | FACEBOOK, INC. |
11017017 | Real-time vision assistance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11017019 | Style classification for authentic content search | SHUTTERSTOCK, INC. |
11017020 | Method and apparatus for managing digital files | MEMORYWEB, LLC |
11017021 | Generating and distributing playlists with music and stories having related moods | GRACENOTE, INC. |
11017037 | Obtaining responsive information from multiple corpora | GOOGLE LLC |
11017045 | Personalized user experience and search-based recommendations | MICROSOFT TECHNOLOGY LICENSING, LLC |
11017052 | Electronic forms interaction framework for a consistent user experience | STATE FARM MUTUAL AUTOMOBILE INSURANCE COMPANY |
11017053 | Intelligence centers | CALLIDUS SOFTWARE, INC. |
11017068 | Optical sensing performance of under-screen optical sensor module for on-screen fingerprint sensing | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
11017073 | Information processing apparatus, information processing system, and method of processing information | RICOH COMPANY, LTD. |
11017098 | Collection of uncorrelated entropy during a power down sequence | SEAGATE TECHNOLOGY LLC |
11017115 | Privacy controls for virtual assistants | WELLS FARGO BANK, N.A. |
11017121 | Information authentication method, apparatus, storage medium and virtual reality device based on virtual reality scenario | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
11017125 | Uniquified FPGA virtualization approach to hardware security | UNIVERSITY OF FLORIDA RESEARCH FOUNDATION, INCORPORATED |
11017126 | Apparatus and method of detecting potential security violations of direct access non-volatile memory device | WESTERN DIGITAL TECHNOLOGIES, INC. |
11017127 | Storage compute appliance with internal data encryption | SEAGATE TECHNOLOGY LLC |
11017145 | System and method for repeating a synchronized set of layout geometries | CADENCE DESIGN SYSTEMS, INC. |
11017158 | Live document detection in a captured video stream | DROPBOX, INC. |
11017159 | Enhancing documents portrayed in digital images | DROPBOX, INC. |
11017160 | Systems and methods for publishing and/or sharing media presentations over a network | EBAY INC. |
11017162 | Annotation editor with graph | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11017164 | Systems and methods for collecting multiple forms of digital content using a single landing screen | FACEBOOK, INC. |
11017179 | Real-time in-context smart summarizer | OPEN TEXT SA ULC |
11017195 | Ultrasonic fingerprint scanning by means of photoacoustic excitation | QUALCOMM INCORPORATED |
11017217 | System and method for controlling appliances using motion gestures | MIDEA GROUP CO., LTD. |
11017233 | Contextual media filter search | SNAP INC. |
11017234 | Dynamic contextual media filter | SNAP INC. |
11017249 | Primary preview region and gaze based driver distraction detection | FUTUREWEI TECHNOLOGIES, INC. |
11017254 | Image data retrieving method and image data retrieving device | -- |
11017257 | Information processing device, information processing method, and program | SONY CORPORATION |
11017258 | Alignment of user input on a screen | MICROSOFT TECHNOLOGY LICENSING, LLC |
11017282 | Employee card, employee information management method and system | BOE TECHNOLOGY GROUP CO., LTD. |
11017299 | Providing contextual actions for mobile onscreen content | GOOGLE LLC |
11017308 | Graphical interactive model specification guidelines for structural equation modeling designs | SAS INSTITUTE INC. |
11017321 | Machine learning systems for automated event analysis and categorization, equipment status and maintenance action recommendation | ACCENTURE GLOBAL SOLUTIONS LIMITED |
11017353 | Multi-user software-impemented audio collaboration method | -- |
11017378 | Electronic device for displaying information and method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11017458 | User terminal device for providing electronic shopping service and methods thereof | SAMSUNG ELECTRONICS CO., LTD. |
11017460 | Electronic shelf system | -- |
11017461 | Systems and methods for displaying a personalized outfit | BONOBOS, INC. |
11017474 | Systems and methods for developing an automated life planner | UNITED SERVICES AUTOMOBILE ASSOCIATION (USAA) |
11017486 | Electronic device and control method therefor | SAMSUNG ELECTRONICS CO., LTD. |
11017488 | Systems, methods, and user interface for navigating media playback using scrollable text | -- |
11017496 | Display driving circuit and method of partial image data | SAMSUNG ELECTRONICS CO., LTD. |
11017547 | Method and system for postural analysis and measuring anatomical dimensions from a digital image using machine learning | POSTURE CO., INC. |
11017551 | System and method for identifying a point of interest based on intersecting visual trajectories | DMAI, INC. |
11017569 | Methods and devices for displaying data mark information | TABLEAU SOFTWARE, INC. |
11017571 | Systems and tagging interfaces for identification of space objects | EXOANALYTIC SOLUTIONS, INC. |
11017578 | Display control system to control a display based on detecting wind | RICOH COMPANY, LTD. |
11017579 | Animating digital graphics overlaid on visual media items based on dynamic attributes | WHATSAPP LLC |
11017590 | System and method for lighting design and real time visualization using intuitive user interphase and controls in composition with realistic images | DURACOMM CORPORATION |
11017592 | Centralized rendering | MAGIC LEAP, INC. |
11017593 | Automated registration of three-dimensional vectors to three-dimensional linear features in remotely-sensed data | DIGITALGLOBE, INC. |
11017599 | Systems and methods to provide narrative experiences for users of a virtual space | DISNEY ENTERPRISES, INC. |
11017603 | Method and system for user interaction | AUGUMENTA LTD. |
11017604 | Augmented reality employee recognition | DELL PRODUCTS L.P. |
11017605 | Method and system for addressing and segmenting portions of the real world for visual digital authoring in a mixed reality environment | UNITY IPR APS |
11017606 | Co-viewing in virtual and augmented reality environments | AMAZON TECHNOLOGIES, INC. |
11017608 | Devices, methods, and graphical user interfaces for displaying objects in 3D context | APPLE INC. |
11017610 | System and method for fault detection and recovery for concurrent odometry and mapping | GOOGLE LLC |
11017643 | Methods and systems for augmentative and alternative communication | UNIVERSITY OF IOWA RESEARCH FOUNDATION |
11017683 | Electronic video ev-Book using flexible video screens | -- |
11017694 | System and method for three-dimensional augmented reality guidance for use of equipment | TIENOVIX, LLC |
11017695 | Method for developing a machine learning model of a neural network for classifying medical images | TIENOVIX, LLC |
11017698 | Health monitoring device and large area display including the same | -- |
11017702 | Display device and driving method thereof | SAMSUNG DISPLAY CO., LTD. |
11017712 | Optimized display image rendering | INTEL CORPORATION |
11017733 | Electronic device for compensating color of display | SAMSUNG ELECTRONICS CO., LTD. |
11017735 | Field-sequential display panel, display module, and driving method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
11017739 | Method for supporting user input and electronic device supporting the same | SAMSUNG ELECTRONICS CO., LTD. |
11017742 | Information handling system multiple display viewing angle brightness adjustment | DELL PRODUCTS L.P. |
11017746 | Auxiliary display scaling factor | LENOVO (SINGAPORE) PTE. LTD. |
11017752 | Attachment for mouth actuated touch screens | -- |
11017757 | Apparatus and method for mounting a sound masking device in a hotel room | 777388 ONTARIO LIMITED |
11017765 | Intelligent assistant with intent-based information resolution | MICROSOFT TECHNOLOGY LICENSING, LLC |
11017768 | Systems and methods for dispensing consumable products with voice interface | PEPSICO, INC. |
11017770 | Vehicle having dialogue system and control method thereof | HYUNDAI MOTOR COMPANY |
11017813 | Storyline experience | GOOGLE LLC |
11017815 | Editing method and recording and reproducing device | MAXELL, LTD. |
11017834 | Refresh command management | MICRON TECHNOLOGY, INC. |
11017864 | Preemptive mitigation of cross-temperature effects in a non-volatile memory (NVM) | SEAGATE TECHNOLOGY LLC |
11017874 | Data and memory reorganization | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11017895 | Irecon: intelligent image reconstruction system with anticipatory execution | KONINKLIJKE PHILIPS N.V. |
11018165 | Manufacturing method of array substrate and array substrate | -- |
11018203 | Display panel including touch sensor, display panel comprising the same and method for detecting defect thereof | LG DISPLAY CO., LTD. |
11018205 | Organic light emitting display module and organic light emitting display device having the same | SAMSUNG DISPLAY CO., LTD. |
11018206 | Display panel and information processing device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11018212 | Electronic apparatus | SAMSUNG DISPLAY CO., LTD. |
11018273 | Photosensitive reflector, laser induced touch device and laser touch detection method | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
11018320 | Display device | JAPAN DISPLAY INC. |
11018420 | Display device and communication system | SHARP KABUSHIKI KAISHA |
11018884 | Interactive timeline that displays representations of notable events based on a filter or a search | MICROSOFT TECHNOLOGY LICENSING, LLC |
11018954 | Queue prioritization system for managing language interpretation services | LANGUAGE LINE SERVICES, INC. |
11019006 | Method and apparatus for sharing booking information and ticket | KAKAO CORP. |
11019011 | Messaging system with discard user interface | SNAP INC. |
11019039 | Systems and methods for encoded communications | CAPITAL ONE SERVICES, LLC |
11019105 | Communication apparatus, method of controlling the same, program, and printing apparatus | CANON KABUSHIKI KAISHA |
11019115 | Object life cycle management in a publish-subscribe environment | INTERDIGITAL CE PATENT HOLDINGS |
11019116 | Conference system, conference server, and program based on voice data or illumination light | KONICA MINOLTA, INC. |
11019146 | Segmenting and merging data in a dispersed storage network | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11019156 | Automatic discovery and registration of service applications for files introduced to a user interface | PALANTIR TECHNOLOGIES INC. |
11019162 | System and method for provisioning a user interface for sharing | DELL PRODUCTS L.P. |
11019175 | Method and real-time data acquisition and retrieval using a pass-through scalable architecture | DATAZOOM, INC. |
11019176 | Information processing apparatus, information processing system, information processing method and recording medium | RICOH COMPANY, LTD. |
11019195 | Ranging between mobile devices | APPLE INC. |
11019224 | Image forming apparatus for forming image on recording sheet | KYOCERA DOCUMENT SOLUTIONS INC. |
11019228 | Information processing apparatus and non-transitory computer readable medium for combining video contents and prestored image | FUJI XEROX CO., LTD. |
11019229 | Information processing apparatus that determines whether a format of near field communication tag data is appropriate, method of controlling the same, and non-transitory computer-readable medium | CANON KABUSHIKI KAISHA |
11019232 | Image forming apparatus and non-transitory computer readable storage medium | KYOCERA DOCUMENT SOLUTIONS INC. |
11019239 | Electronic device having a vision system assembly held by a self-aligning bracket assembly | APPLE INC. |
11019246 | Estimating and using relative head pose and camera field-of-view | SNAP-AID PATENTS LTD. |
11019255 | Depth imaging system and method of rendering a processed image to include in-focus and out-of-focus regions of one or more objects based on user selection of an object | SZ DJI TECHNOLOGY CO., LTD. |
11019263 | Systems and methods for 360 video capture and display based on eye tracking including gaze based warnings and eye accommodation matching | INTEL CORPORATION |
11019369 | Supplemental audio content for group communications | ORION LABS, INC. |
11019378 | Methods and apparatuses for editing videos from multiple video streams | RAZER (ASIA-PACIFIC) PTE. LTD. |
11019388 | Display device and controlling method of display device | SAMSUNG ELECTRONICS CO., LTD. |
11019389 | Determination of enhanced viewing experiences based on viewer engagement | COMCAST CABLE COMMUNICATIONS, LLC |
11019400 | Broadcast receiving apparatus | MAXELL, LTD. |
11019403 | Transcoder enabled cloud of remotely controlled devices | INTEL CORPORATION |
11019405 | Networks, systems and methods for enhanced auto racing | PIT RHO CORPORATION |
11019406 | Receiving device, receiving method, program, and information processing system | SATURN LICENSING LLC |
11019410 | Method and apparatus for providing contents controlled or synthesized based on interaction of user | NAVER WEBTOON LTD. |
11019422 | Earphones with activity controlled output | SAMSUNG ELECTRONICS CO., LTD. |
11019426 | Millimeter wave sensor used to optimize performance of a beamforming microphone array | CRESTRON ELECTRONICS, INC. |
11019440 | Methods and devices for managing transmission of synchronized audio based on user location | LENOVO (SINGAPORE) PTE. LTD. |
11019448 | Providing audio signals in a virtual environment | NOKIA TECHNOLOGIES OY |
11019449 | Six degrees of freedom and three degrees of freedom backward compatibility | QUALCOMM INCORPORATED |
11019464 | Integrated systems and methods providing situational awareness of operations in an organization | INTREPID NETWORKS, LLC |
11019744 | Insert molding around glass members for portable electronic devices | APPLE INC. |
11019864 | Wearable adaptive electroactive polymer fabric | INTEL CORPORATION |
11019959 | Timer transfer system and method for food holding devices | CLEVELAND RANGE, LLC |
11020034 | Concealed information testing using gaze dynamics | YISSUM RESEARCH DEVELOPMENTCOMPANY |
11020041 | Individual-characteristic-based transcranial brain atlas generation method, navigation method, and navigation system | BEIJING NORMAL UNIVERSITY |
11020064 | Voice controlled assistance for monitoring adverse events of a user and/or coordinating emergency actions such as caregiver communication | LIFEPOD SOLUTIONS, INC. |
11020090 | Method and ultrasound apparatus for setting preset | SAMSUNG MEDISON CO., LTD. |
11020165 | Touch free operation of ablator workstation by use of depth sensors | BIOSENSE WEBSTER (ISRAEL) LTD. |
11020654 | Systems and methods for interaction with an application | SUZHOU YAOXINYAN TECHNOLOGY DEVELOPMENT CO., LTD. |
11020656 | Handheld tactile simulator system for enhancing the motion picture viewing experience | -- |
11020664 | Method and apparatus for obtaining virtual resource of virtual object | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
11020665 | Information processing method and apparatus, storage medium, and electronic device for custom model editing | NETEASE (HANGZHOU) NETWORK CO., LTD. |
11020678 | Comfort-inducing proxy | -- |
11020680 | System and method for providing a toy operable for receiving and selectively vocalizing various electronic communications from authorized parties, and for providing a configurable platform independent interactive infrastructure for facilitating optimal utilization thereof | -- |
11020855 | Storage device, mobile robot, storage method, and storage program | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11020858 | Lifting robot systems | TOYOTA RESEARCHING INSTITUTE, INC. |
11020860 | Systems and methods to control an autonomous mobile robot | IROBOT CORPORATION |
11020861 | Intelligent device system and intelligent device control method | SHENZHEN FLY RODENT DYNAMICS INTELLIGENT TECHNOLOGY CO., LTD. |
11020987 | Method for ink pressure modulation in a printer for axially symmetric objects | LSINC CORPORATION |
11021059 | Vehicular display assembly having a foldable flexible display device with storage box | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11021099 | Touch module for vehicle interior trim and interior trim comprising such touch module and vehicle | FAURECIA (CHINA) HOLDING CO., LTD. |
11021147 | Vehicles and methods for determining objects of driver focus | TOYOTA RESEARCH INSTITUTE, INC. |
11021173 | System and method for automated execution of a maneuver or behavior of a system | HONDA RESEARCH INSTITUTE EUROPE GMBH |
11021949 | Timeline visualization of events for monitoring well site drilling operations | HALLIBURTON ENERGY SERVICES, INC. |
11022322 | Cooking appliance with an imaging device | -- |
11022438 | Distance measuring device, distance measuring system and distance measuring method | BOE TECHNOLOGY GROUP CO., LTD. |
11022456 | Method of audio processing and audio processing apparatus | NOKIA TECHNOLOGIES OY |
11022794 | Visual indicators of user attention in AR/VR environment | FACEBOOK TECHNOLOGIES, LLC |
11022795 | Vehicle display control device | DENSO CORPORATION |
11022799 | Projector-combiner display with beam replication | FACEBOOK TECHNOLOGIES, LLC |
11022804 | Head-mounted display and method of controlling the same | LG ELECTRONICS INC. |
11022808 | Modular systems for head-worn computers | MENTOR ACQUISITION ONE, LLC |
11022809 | Display devices with wavelength-dependent reflectors for eye tracking | FACEBOOK TECHNOLOGIES, LLC |
11022810 | Content presentation in head worn computing | MENTOR ACQUISITION ONE, LLC |
11022820 | Device, system and method for detecting overlap of an ophthalmic device by an eyelid | VERILY LIFE SCIENCES LLC |
11022831 | Display apparatus | KYOCERA CORPORATION |
11022835 | Optical system using segmented phase profile liquid crystal lenses | FACEBOOK TECHNOLOGIES, LLC |
11022851 | Display device | JAPAN DISPLAY INC. |
11022963 | Interactive petrochemical plant diagnostic system and method for chemical process model analysis | UOP LLC |
11022964 | Method and system for controlling body-shop processing | AKZO NOBEL COATINGS INTERNATIONAL B.V. |
11023001 | Display device | SAMSUNG DISPLAY CO., LTD. |
11023002 | Stylus coupling system | PIONEER SQUARE BRANDS, INC. |
11023003 | Head mounted display | HITACHI-LG DATA STORAGE, INC. |
11023005 | TWS earphone, wristband-type AI voice interaction device, and system | SHENZHEN AUKEY SMART INFORMATION TECHNOLOGY CO., LTD. |
11023010 | Panel bottom sheet and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11023028 | Systems and methods for generating a graphical representation of audio-file playback during playback manipulation | INMUSIC BRANDS, INC. |
11023032 | Mobile terminal and controlling method thereof | LG ELECTRONICS INC. |
11023033 | Adapting a display of interface elements on a touch-based device to improve visibility | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11023034 | Method and apparatus for multiuser interaction and accompanying robot | SHENZHEN ROYOLE TECHNOLOGIES CO., LTD. |
11023035 | Virtual pinboard interaction using a peripheral device in artificial reality environments | FACEBOOK TECHNOLOGIES, LLC |
11023036 | Virtual drawing surface interaction using a peripheral device in artificial reality environments | FACEBOOK TECHNOLOGIES, LLC |
11023037 | Advanced communication method and apparatus | -- |
11023038 | Line of sight detection adjustment unit and control method | SONY CORPORATION |
11023039 | Visual line detection apparatus and visual line detection method | JVC KENWOOD CORPORATION |
11023040 | Systems and methods for interacting with a computing device using gaze information | TOBII AB |
11023041 | System and method for producing images based on gaze direction and field of view | VARJO TECHNOLOGIES OY |
11023042 | Method for inputting gaze for display and devices performing the same | KOREA ADVANCED INSTITUTE OF SCIENCE AND TECHNOLOGY |
11023043 | Motion and gesture input from a wearable device | APPLE INC. |
11023044 | Compliant multi-region angular displacement and strain sensors | BEND LABS, INC. |
11023045 | System for recognizing user gestures according to mechanomyogram detected from user's wrist and method thereof | -- |
11023046 | System and method for continual decoding of brain states to multi-degree-of-freedom control signals in hands free devices | HRL LABORATORIES, LLC |
11023047 | Electrostatic slide clutch with bidirectional drive circuit | MICROSOFT TECHNOLOGY LICENSING, LLC |
11023048 | System and method for modulating a light-emitting peripheral device based on an unscripted feed using computer vision | WHIRLWIND VR, INC. |
11023049 | Methods and systems for enabling gesture control for a vehicle feature | FORD GLOBAL TECHNOLOGIES, LLC |
11023050 | Display control device, display control method, and computer program | SONY CORPORATION |
11023051 | Selective detection of visual cues for automated assistants | GOOGLE LLC |
11023052 | Method for outputting command by detecting object movement and system thereof | -- |
11023053 | Inner-sensor pointing device system | CONTOUR INNOVATIONS LLC |
11023054 | Device case computer mouse | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11023055 | Devices, methods, and graphical user interfaces for an electronic device interacting with a stylus | APPLE INC. |
11023056 | Antenna structure and stylus using same | -- |
11023057 | Stylus structure having a barrier unit for contacting with a trigger component | -- |
11023058 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11023059 | Touch display panel and touch display device | LG DISPLAY CO., LTD. |
11023060 | Display device | LG DISPLAY CO., LTD. |
11023061 | Panel with multiple conductive patterns | -- |
11023062 | Bezel-less touch screen apparatus and method for manufacturing same | TOVIS CO., LTD. |
11023063 | Touch sensor and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11023064 | Display device having at least one pressure sensor | SAMSUNG DISPLAY CO., LTD. |
11023065 | Touch sensor | HIDEEP INC. |
11023066 | Display device including touch sensor and driving method thereof | SAMSUNG DISPLAY CO., LTD. |
11023067 | Input control using fingerprints | INTEL CORPORATION |
11023068 | Electronic device | LG ELECTRONICS INC. |
11023069 | Pressure sensor and electronic device | MURATA MANUFACTURING CO., LTD. |
11023070 | Touch input hover | MICROSOFT TECHNOLOGY LICENSING, LLC |
11023071 | Driving system and method of touch display panel | -- |
11023072 | Electronic device for providing handwriting input function and method of operating the same | SAMSUNG ELECTRONICS CO., LTD. |
11023073 | Touch panel, manufacturing method thereof, and touch display device | BOE TECHNOLOGY GROUP CO., LTD. |
11023074 | Display device having touch sensor | LG DISPLAY CO., LTD. |
11023075 | Method and device for sensing operating conditions of a touch screen, corresponding apparatus and computer program product | STMICROELECTRONICS S.R.L. |
11023076 | Touch panel input item correction in accordance with angle of deviation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11023077 | System and method of controlling an electropermanent magnet at a trackpad | DELL PRODUCTS L.P. |
11023078 | Inputter, display apparatus having the same, and control method of the inputter | SAMSUNG ELECTRONICS CO., LTD. |
11023079 | Infrared touch device, touch detection method and touch display device | BOE TECHNOLOGY GROUP CO., LTD. |
11023080 | Apparatus and method for detecting an input to a terminal | SAMSUNG ELECTRONICS CO., LTD. |
11023081 | Multi-functional keyboard assemblies | APPLE INC. |
11023082 | Touch sensor and method for manufacturing touch sensor | FUJIFILM CORPORATION |
11023083 | Touch panel and display apparatus including the same | SAMSUNG DISPLAY CO., LTD. |
11023084 | Touch sensor, display device including the same, and method of manufacturing touch sensor | SAMSUNG DISPLAY CO., LTD. |
11023085 | Touch panel and control method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11023086 | Foldable mobile terminal extracting a touch input coordinate from horizontal electrodes overlapped by the touch input | LG ELECTRONICS INC. |
11023087 | Display apparatus and control method for determining touch position on bezel | SAMSUNG ELECTRONICS CO., LTD. |
11023088 | Composing the display of a virtualized web browser | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11023089 | View port resolution independent network pages | AMAZON TECHNOLOGIES, INC. |
11023090 | Method and smart watch for displaying schedule tags | SAMSUNG ELECTRONICS CO., LTD. |
11023091 | Device, method, and graphical user interface for improving visibility of affordances | APPLE INC. |
11023092 | Shared virtual area communication environment based apparatus and methods | SOCOCO, INC. |
11023093 | Human-computer interface for computationally efficient placement and sizing of virtual objects in a three-dimensional representation of a real-world environment | MICROSOFT TECHNOLOGY LICENSING, LLC |
11023094 | Collaborative, multi-user system for viewing, rendering, and editing 3D assets | AUTODESK, INC. |
11023095 | Providing a first person view in a virtual world using a lens | CINEMOI NORTH AMERICA, LLC |
11023096 | Visual editor for designing augmented-reality effects and configuring rendering parameters | FACEBOOK, INC. |
11023097 | Mobile terminal and message-based conversation operation method for grouping messages | SAMSUNG ELECTRONICS CO., LTD. |
11023098 | Rendering on a mobile device | SAP SE |
11023099 | Identification of a set of objects based on a focal object | MICRO FOCUS LLC |
11023100 | Methods, systems, and media for creating and updating a group of media content items | GOOGLE LLC |
11023101 | System and method for implementing a self service machine learning framework | JPMORGAN CHASE BANK, N.A. |
11023102 | Digital experiences using touchpoint-based prompts | QUALTRICS, LLC |
11023103 | User interface for displaying selectable software functionality controls that are relevant to a selected object | MICROSOFT TECHNOLOGY LICENSING, LLC |
11023104 | Interactive interfaces as computerized tools to present summarization data of dataset attributes for collaborative datasets | DATA.WORLD,INC. |
11023105 | Systems and methods for composable analytics | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11023106 | Digital supplement association and retrieval for visual search | GOOGLE LLC |
11023107 | Virtual teller systems and methods | NANT HOLDINGS IP, LLC |
11023108 | Systems and methods of creative work collaborative systems | -- |
11023109 | Annotation using a multi-device mixed interactivity system | MICROSOFT TECHNOLOGY LICENSING, LLC |
11023110 | Creating an axis for data-bound objects | ADOBE INC. |
11023111 | System, apparatus, and related method for generating a geospatial interactive composite web-based image map | MICRON TECHNOLOGY, INC. |
11023112 | System and method for displaying published electronic documents | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11023113 | Visual manipulation of a digital object | ADOBE INC. |
11023114 | Measurement apparatus and measurement method | ROHDE & SCHWARZ GMBH & CO. KG |
11023115 | Circular video player controls | THE DIRECTV GROUP, INC. |
11023116 | Device, method, and graphical user interface for moving a user interface object based on an intensity of a press input | APPLE INC. |
11023117 | System and method for monitoring variations in a target web page | -- |
11023118 | Motor configuration selection device, motor configuration selection method, and computer-readable medium | FANUC CORPORATION |
11023119 | Application program, terminal device controlling method, terminal device and server | DWANGO, CO., LTD. |
11023120 | User interface mechanics | TWITTER, INC. |
11023121 | Method of transversally aligning information elements along axes | 9224-5489 QUEBEC INC. |
11023122 | Video manager for portable multifunction device | APPLE INC. |
11023123 | Reconfiguring a user interface according to interface device deterioration | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11023124 | Processing user input received during a display orientation change of a mobile device | MOTOROLA MOBILITY LLC |
11023125 | Mobile terminal and method for controlling the same | LG ELECTRONICS INC. |
11023126 | Touch gesture confirmation | SAMSUNG ELECTRONICS CO., LTD. |
11023127 | Method and system for ink data generation, ink data rendering, ink data manipulation and ink data communication | WACOM CO., LTD. |
11023128 | On-demand elastic storage infrastructure | PORTWORX, INC. |
11023129 | Hybrid intra-cluster migration of data between storage devices using chunk usage efficiency | EMC IP HOLDING COMPANY LLC |
11023130 | Deleting data in a geographically diverse storage construct | EMC IP HOLDING COMPANY LLC |
11023132 | Electronic device, computer system, and control method | TOSHIBA MEMORY CORPORATION |
11023133 | Systems and methods for modifying storage system configuration using artificial intelligence | ACRONIS INTERNATIONAL GMBH |
11023134 | Addition of data services to an operating system running a native multi-path input-output architecture | EMC IP HOLDING COMPANY LLC |
11023135 | Handling frequently accessed pages | TIDALSCALE, INC. |
11023136 | Storage device and control method | TOSHIBA MEMORY CORPORATION |
11023137 | Computer system for performing adaptive interrupt control and method for controlling interrupt thereof | SAMSUNG ELECTRONICS CO., LTD. |
11023138 | Management operations in predictable latency mode | WESTERN DIGITAL TECHNOLOGIES, INC. |
11023139 | System for speculative block IO aggregation to reduce uneven wearing of SCMs in virtualized compute node by offloading intensive block IOs | DELL PRODUCTS L.P. |
11023140 | NVDIMM with removable storage | MICRON TECHNOLOGY, INC. |
11023141 | Resiliency schemes for distributed storage systems | VAST DATA LTD. |
11023142 | Channel optimized storage modules | MEMORY TECHNOLOGIES LLC |
11023143 | Node interconnection apparatus, resource control node, and server system | HUAWEI TECHNOLOGIES CO., LTD. |
11023144 | Method and system for dynamically allocating front end ports in a storage device | WIPRO LIMITED |
11023145 | Hybrid mapped clusters for data storage | EMC IP HOLDING COMPANY LLC |
11023146 | System and method for managing buffers in a computer system | YELLOWBRICK DATA, INC. |
11023147 | Mapping storage extents into resiliency groups | EMC IP HOLDING COMPANY LLC |
11023148 | Predictive forecasting and data growth trend in cloud services | ORACLE INTERNATIONAL CORPORATION |
11023149 | Doubly mapped cluster contraction | EMC IP HOLDING COMPANY LLC |
11023150 | Block mode toggling using hybrid controllers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11023151 | Systems and methods for file management by mobile computing devices | -- |
11023152 | Methods and apparatus for storing data in memory in data processing systems | ARM LIMITED |
11023153 | Installation of operating system | NEW H3C INFORMATION TECHNOLOGIES CO., LTD. |
11023154 | Asymmetric data striping for uneven NAND defect distribution | PETAIO INC. |
11023155 | Processing event messages for changed data objects to determine a storage pool to store the changed data objects | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11023156 | Dynamic API allocation based on data-tagging | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11023157 | Intermediary duplication to facilitate copy requests in distributed storage systems | AMAZON TECHNOLOGIES, INC. |
11023158 | Constraining placement of replica segment pairs among device pairs based on coding segment count | EMC IP HOLDING COMPANY LLC |
11023159 | Method for fast recovering of data on a failed storage device | LENOVO ENTERPRISE SOLUTIONS (SINGAPORE) PTE. LTD |
11023160 | Controller and operating method thereof | SK HYNIX INC. |
11023161 | Host device with multi-path layer implementing efficient load balancing for active-active configuration | EMC IP HOLDING COMPANY LLC |
11023162 | Cache memory with transient storage for cache lines | APPLE INC. |
11023163 | Systems and methods for providing multiple configurable management controller management interfaces | DELL PRODUCTS L.P. |
11023164 | Managed NAND data tagging | MICRON TECHNOLOGY, INC. |
11023165 | Memory control circuit unit, storage device and method including selectively performing or ignoring commands in a command queue after a power glitch | -- |
11023166 | Quality of service control for read operations in memory systems | MICRON TECHNOLOGY, INC. |
11023167 | Methods and apparatuses for executing a plurality of queued tasks in a memory | MICRON TECHNOLOGY, INC. |
11023168 | Oblivious RAM with logarithmic overhead | GOOGLE LLC |
11023169 | Identifying performance impact events in data storage equipment based on queue depth metrics | EMC IP HOLDING COMPANY LLC |
11023170 | Writing method for multi-stream write solid state drive | -- |
11023171 | Performing a refresh operation based on a write to read time difference | MICRON TECHNOLOGY, INC. |
11023172 | Selecting read voltage using write transaction data | MICRON TECHNOLOGY, INC. |
11023173 | Apparatuses and methods to mask write operations for a mode of operation using ECC circuitry | MICRON TECHNOLOGY, INC. |
11023174 | Combining of move commands to improve the performance of an automated data storage library | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11023175 | Semiconductor memory device including program operation status flag cells | SK HYNIX INC. |
11023176 | Storage interface, timing control method, and storage system | HUAWEI TECHNOLOGIES CO., LTD. |
11023177 | Temperature correction in memory sub-systems | MICRON TECHNOLOGY, INC. |
11023178 | Implementing coherency and page cache support for a storage system spread across multiple data centers | WEKA, IO LTD |
11023179 | Cloud-based storage system storage management | PURE STORAGE, INC. |
11023180 | Method, equipment and system for managing the file system | MOORE |
11023181 | Systems and methods for operating multiple client printing systems | PRINTERLOGIC, INC. |
11023182 | Document processing system for processing a printjob including a production route simulation | CANON PRODUCTION PRINTING HOLDING B.V. |
11023183 | Information processing apparatus and method for controlling the same | CANON KABUSHIKI KAISHA |
11023184 | Image forming system and program between a portable terminal and an image forming device with confirmation feature | KONICA MINOLTA, INC. |
11023185 | Collective awareness of supplies | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11023186 | Secure mobile cloud printing using printing device groups | RICOH COMPANY, LTD. |
11023187 | Printing apparatus, control method for printing apparatus, and printing system | CANON KABUSHIKI KAISHA |
11023188 | Information processing apparatus and non-transitory computer readable medium for presenting a schedule of plural print jobs | FUJI XEROX CO., LTD. |
11023189 | Image processing apparatus, control method for image processing apparatus, and storage medium | CANON KABUSHIKI KAISHA |
11023190 | Image forming apparatus | KONICA MINOLTA, INC. |
11023191 | Communication terminal, control method of communication terminal, and storage medium | CANON KABUSHIKI KAISHA |
11023192 | Operation terminal, method, and non-transitory computer-readable recording medium for requesting output of a file | RICOH COMPANY, LTD. |
11023193 | Processing apparatus, medium storing program executable by processing apparatus, and printing material ordering system | BROTHER KOGYO KABUSHIKI KAISHA |
11023194 | Modular module | OSRAM OLED GMBH |
11023195 | Display apparatus including a plurality of display modules | SAMSUNG ELECTRONICS CO., LTD. |
11023196 | Display system and methods | NANOLUMENS ACQUISTION, INC. |
11023197 | Method and apparatus for mirroring screen | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11023198 | Electronic sticky note processing system and apparatus | FUJI XEROX CO., LTD. |
11023199 | Playback zone representations | SONOS, INC. |
11023200 | Systems, devices and methods for delivering audible alerts | THE TORONTO-DOMINION BANK |
11023201 | Electronic device for processing multi-modal input, method for processing multi-modal input and server for processing multi-modal input | SAMSUNG ELECTRONICS CO., LTD. |
11023202 | Enhanced autocorrect features using audio interface | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11023211 | Methods for generating a semantic description of a composite interaction | SIEMENS AKTIENGESELLSCHAFT |
11023213 | User interface common components and scalable integrable reusable isolated user interface | CAPITAL ONE SERVICES, LLC |
11023261 | 3<sup>RD </sup>party application management | SNAP INC. |
11023262 | System and method for content-backed user interface snippets | SAMSUNG ELECTRONICS CO., LTD. |
11023268 | Computer system and computer | HITACHI, LTD. |
11023313 | Look-aside RAID controller storage-device-assisted data update system | DELL PRODUCTS L.P. |
11023315 | Techniques for supporting erasure coding with flash memory controller | RADIAN MEMORY SYSTEMS, INC. |
11023319 | Maintaining a consistent logical data size with variable protection stripe size in an array of independent disks system | EMC IP HOLDING COMPANY LLC |
11023320 | Technologies for providing multiple levels of error correction | INTEL CORPORATION |
11023323 | Protecting data memory in a signal processing system | TEXAS INSTRUMENTS INCORPORATED |
11023328 | Redo log for append only storage scheme | ROBIN SYSTEMS, INC. |
11023332 | System and method for efficient backup system aware direct data migration between cloud storages | EMC IP HOLDING COMPANY LLC |
11023339 | Asynchronous remote mirror cloud archival | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11023340 | Layering a distributed storage system into storage groups and virtual chunk spaces for efficient data recovery | NETAPP, INC. |
11023347 | Screen control method and electronic device supporting the same | SAMSUNG ELECTRONICS CO., LTD. |
11023352 | Wear mitigation in a data storage system through data migration | SEAGATE TECHNOLOGY LLC |
11023354 | Hyper-converged infrastructure (HCI) log system | DELL PRODUCTS L.P. |
11023370 | Memory system having a plurality of memory chips and method for controlling power supplied to the memory chips | TOSHIBA MEMORY CORPORATION |
11023371 | Memory system and method for controlling nonvolatile memory | TOSHIBA MEMORY CORPORATION |
11023384 | Cloud-native global file system with reshapable caching | NASUNI CORPORATION |
11023386 | Nonvolatile memory controller with configurable address assignment parameters per namespace | RADIAN MEMORY SYSTEMS, INC. |
11023387 | Nonvolatile/persistent memory with namespaces configured across channels and/or dies | RADIAN MEMORY SYSTEMS, INC. |
11023389 | Hub device, display device and operation method thereof | -- |
11023391 | Apparatus for data processing, artificial intelligence chip and electronic device | BEIJING BAIDU NETCOM SCIENCE AND TECHNOLOGY CO., LTD. |
11023406 | Preservation of port control block information related to logins and states of remote ports during a code load in an embedded port | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11023411 | Programmed input/output mode | XILINX, INC. |
11023412 | RDMA data sending and receiving methods, electronic device, and readable storage medium | ADVANCED NEW TECHNOLOGIES CO., LTD. |
11023418 | Keyword-based data management system and method | -- |
11023437 | Data storage management based on indicated storage levels and other criteria for multi-level storage systems | TERADATA US, INC. |
11023447 | Adaptive timestamp access controller | SAP SE |
11023462 | Single input graphical user interface control element and method | DEEPHAVEN DATA LABS, LLC |
11023467 | Graph database for outbreak tracking and management | BAXTER INTERNATIONAL INC. |
11023481 | Navigation platform for performing search queries | COMMOS INC. |
11023482 | Authoring of data visualizations and maps | MICROSOFT TECHNOLOGY LICENSING, LLC |
11023489 | Asset registration and detection system with closed-loop feedback channel | ACCENTURE GLOBAL SOLUTIONS LIMITED |
11023508 | Determining a key performance indicator state from machine data with time varying static thresholds | SPLUNK, INC. |
11023511 | Mobile device composite interface for dual-sourced incident management and monitoring system | SPLUNK INC. |
11023515 | Infotainment based on vehicle navigation data | FARADAY & FUTURE INC. |
11023541 | Methods and systems for providing media recommendations based on user location | ROVI GUIDES, INC. |
11023547 | Systems and methods for tethering devices | ROVI GUIDES, INC. |
11023554 | Generating contexts based on intent description of content provider | AMAZON TECHNOLOGIES, INC. |
11023563 | Geographic population health information system | BLUE CROSS BLUE SHIELD INSTITUTE, INC. |
11023568 | Image processing apparatus, system related to image processing apparatus, and method | CANON KABUSHIKI KAISHA |
11023571 | Method for registering and authenticating biometric data and electronic device thereof | SAMSUNG ELECTRONICS CO., LTD. |
11023605 | Data access threat detection and prevention | EMC IP HOLDING COMPANY LLC |
11023609 | Fault prevention shell for preventing system disruption | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11023652 | Presentation system and method | SMARTSUITE TECH INC. |
11023653 | Simplified formatting for variable data production with vertical resolution of dependencies | KYOCERA DOCUMENT SOLUTIONS INC. |
11023655 | Accessibility detection of content properties through tactile interactions | MICROSOFT TECHNOLOGY LICENSING, LLC |
11023656 | Method and system for dynamically configuring a user interface for a specified document review task | HERETIK INC. |
11023658 | Image forming apparatus, image forming method, and non-transitory computer readable recording medium | KYOCERA DOCUMENT SOLUTIONS INC. |
11023661 | Visually enhanced digital ink | MICROSOFT TECHNOLOGY LICENSING, LLC |
11023663 | Persisting annotations applied to an electronic hosted whiteboard | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11023664 | Persisting annotations applied to an electronic hosted whiteboard | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11023666 | Narrative-based media organizing system for transforming and merging graphical representations of digital media within a work area | GOOGLE LLC |
11023667 | System and method for generating and managing pseudo data fields in CRM | VEEVA SYSTEMS INC. |
11023668 | Enriched compound data objects | MICROSOFT TECHNOLOGY LICENSING, LLC |
11023677 | Interactive feature selection for training a machine learning system and displaying discrepancies within the context of the document | MICROSOFT TECHNOLOGY LICENSING, LLC |
11023678 | User interface for a handheld device | BLACKBERRY LIMITED |
11023697 | Object storing apparatus and object access method | -- |
11023700 | Cover member and display device | JAPAN DISPLAY INC. |
11023703 | Ultrasonic sensor, ultrasonic sensing device, display device and biometric data sensing method | LG DISPLAY CO., LTD. |
11023704 | Interactive biometric touch scanner | THE BOARD OF TRUSTEES OF THE LELAND STANFORD JUNIOR UNIVERSITY |
11023709 | System, method and apparatus for multi-modal biometric authentication and liveness detection | ID R&D, INC. |
11023729 | Providing visual guidance for presenting visual content in a venue | MSG ENTERTAINMENT GROUP, LLC |
11023736 | Methods and systems of spatiotemporal pattern recognition for video content development | SECOND SPECTRUM, INC. |
11023790 | System, method for controlling the same, and method for controlling server | CANON KABUSHIKI KAISHA |
11023793 | Communication apparatus, method of controlling the same, and storage medium | CANON KABUSHIKI KAISHA |
11023812 | Event prediction and impact mitigation system | BANK OF AMERICA CORPORATION |
11023826 | System and method for data visualization using machine learning and automatic insight of facts associated with a set of data | ORACLE INTERNATIONAL CORPORATION |
11023834 | Collaborative design systems, apparatuses, and methods | K2 SOFTWARE, INC. |
11023836 | Vehicle dispatch system, vehicle dispatch method, server, user terminal, and storage medium | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11023843 | Activity tracker data transformer | ADP, LLC |
11023858 | System and method for generating desktop focus work areas | DROPBOX, INC. |
11023861 | System and method for implementation and administration of an employer-sponsored retirement plan | BIDMONI, INC. |
11023899 | No point-of-sale terminal exchange item redemption | RAISE MARKETPLACE INC. |
11023959 | System and method for ordering items from a vehicle | TOYOTA CONNECTED NORTH AMERICA, INC. |
11023961 | Virtual reality visualization system with object recommendation engine | ALLSTATE INSURANCE COMPANY |
11023964 | Systems, devices, and methods for interactions with an account | ASB BANK LIMITED |
11023977 | Financial future visualization and training | WELLS FARGO BANK, N.A. |
11024003 | Method and mobile device for displaying image | SAMSUNG ELECTRONICS CO., LTD. |
11024007 | Apparatus and method for non-uniform frame buffer rasterization | INTEL CORPORATION |
11024014 | Sharp text rendering with reprojection | MICROSOFT TECHNOLOGY LICENSING, LLC |
11024040 | Dynamic object tracking | SEIKO EPSON CORPORATION |
11024064 | Augmented reality system for displaying patient data | MASIMO CORPORATION |
11024070 | Device and method of managing user information based on image | SAMSUNG ELECTRONICS CO., LTD. |
11024074 | Virtual spaces, mixed reality spaces, and combined mixed reality spaces for improved interaction and collaboration | FACEBOOK TECHNOLOGIES, LLC |
11024078 | Systems and methods compression, transfer, and reconstruction of three-dimensional (3D) data meshes | VERIZON PATENT AND LICENSING INC. |
11024083 | Server, user terminal device, and control method therefor | SAMSUNG ELECTRONICS CO., LTD. |
11024084 | Systems and methods for providing medical information and for performing a medically-related process using augmented reality technology | VARIAN MEDICAL SYSTEMS INTERNATIONAL AG |
11024085 | Electronic system and method for three-dimensional mixed-reality space and experience construction and sharing | DOUBLEME, INC. |
11024087 | Contextual local image recognition dataset | RPX CORPORATION |
11024089 | Machine learning curated virtualized personal space | WORMHOLE LABS, INC. |
11024090 | Virtual frame for guided image composition | ADOBE INC. |
11024091 | System and method for placement of augmented reality information for users based on their activity | PCMS HOLDINGS, INC. |
11024094 | Methods and apparatus to map a virtual environment to a physical environment | INTEL CORPORATION |
11024095 | Viewpoint dependent brick selection for fast volumetric reconstruction | MAGIC LEAP, INC. |
11024097 | System and method for using augmented reality to visualize network service quality | PCMS HOLDINGS, INC. |
11024098 | Augmenting a physical object with virtual components | DISNEY ENTERPRISES, INC. |
11024114 | Gaming system with pre-calibrated sensor for detecting chip and finger placement | AGS LLC |
11024192 | Vehicle trainable transceiver for allowing cloud-based transfer of data between vehicles | GENTEX CORPORATION |
11024196 | Control device, control method, information processing device, information processing method, and program | VIVITA JAPAN, INC. |
11024207 | User interface systems for sterile fields and other working environments | MEDOS INTERNATIONAL SARL |
11024212 | System, apparatus and method for dynamically adjusting a video presentation based upon age | SG GAMING, INC. |
11024223 | Device with information displayed in a power-off mode | MICROSOFT TECHNOLOGY LICENSING, LLC |
11024224 | Information handling system flexible display operating condition monitoring and management | DELL PRODUCTS L.P. |
11024253 | Techniques for statically tuning retro-directive wireless power transmission systems | OSSIA INC. |
11024257 | Android platform based display device and image display method thereof | -- |
11024263 | Method and apparatus for adjusting augmented reality content | SAMSUNG ELECTRONICS CO., LTD. |
11024264 | Controlling field of view | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11024265 | Image processing apparatus and image processing method for simulating a display installation | CANON KABUSHIKI KAISHA |
11024267 | Display system | TEXAS INSTRUMENTS INCORPORATED |
11024292 | Building system with entity graph storing events | JOHNSON CONTROLS TECHNOLOGY COMPANY |
11024300 | Electronic device and control method therefor | SAMSUNG ELECTRONICS CO., LTD. |
11024303 | Communicating announcements | AMAZON TECHNOLOGIES, INC. |
11024304 | Virtual assistant companion devices and uses thereof | ZYUS LIFE SCIENCES US LTD. |
11024305 | Systems and methods for using image searching with voice recognition commands | DOLBEY & COMPANY, INC. |
11024308 | Systems and methods for adaptive proper name entity recognition and understanding | PROMPTU SYSTEMS CORPORATION |
11024309 | Portable audio device with voice capabilities | HARMAN INTERNATIONAL INDUSTRIES, INCORPORATED |
11024314 | Method and apparatus for acquiring and processing an operation instruction | BANMA ZHIXING NETWORK (HONGKONG) CO., LIMITED |
11024317 | Microphone authentication | CIRRUS LOGIC, INC. |
11024340 | Audio sample playback unit | SYNESTHESIA CORPORATION |
11024360 | Nonvolatile semiconductor memory device | KIOXIA CORPORATION |
11024383 | Memory device, memory controller, and storage device including memory device and memory controller | SK HYNIX INC. |
11024390 | Overlapping RAID groups | PURE STORAGE, INC. |
11024396 | Reduction or elimination of a latency penalty associated with adjusting read thresholds for non-volatile memory | SEAGATE TECHNOLOGY LLC |
11024411 | Method and system for brain activity signal-based treatment and/or control of user devices | UNIVERSITY HEALTH NETWORK |
11024414 | Method and system for simulating surgical procedures | SURGICAL THEATER, INC. |
11024417 | Integrated medical image visualization and exploration | SIEMENS HEALTHCARE GMBH |
11024418 | Systems and methods for intelligent radiology work allocation | GENERAL ELECTRIC COMPANY |
11024653 | Display device | SAMSUNG DISPLAY CO., LTD. |
11024680 | OLED display panel and fabrication method of the same | BOE TECHNOLOGY GROUP CO., LTD. |
11024693 | Flexible display and electronic device including same | SAMSUNG ELECTRONICS CO., LTD. |
11024830 | Display device | SAMSUNG DISPLAY CO., LTD. |
11024885 | Electronic apparatus and control method thereof | MITSUMI ELECTRIC CO., LTD. |
11025274 | Memory controller and method of data bus inversion using an error detection correction code | RAMBUS INC. |
11025305 | Systems and methods for a wirelessly powered interactive guest device | UNIVERSAL CITY STUDIOS LLC |
11025411 | Technologies for providing streamlined provisioning of accelerated functions in a disaggregated architecture | INTEL CORPORATION |
11025504 | Intent design tool for wireless communication networks | AT&T INTELLECTUAL PROPERTY I, L.P. |
11025509 | Playback device connection | SONOS, INC. |
11025569 | Shared content presentation with integrated messaging | APPLE INC. |
11025573 | Method and apparatus for data sharing | GINKO LLC |
11025581 | System and method for location and time based social networking | TU ORBIT INC. |
11025633 | System and method for network access point installation and access control | BRK BRANDS, INC. |
11025678 | AXI interconnect module communication network platform | SEAGATE TECHNOLOGY LLC |
11025681 | Processing video including a physical writing surface | DOLBY LABORATORIES LICENSING CORPORATION |
11025684 | User electronic device and non-transitory computer readable medium for real-time interaction between user and device | THROUGHTEK TECHNOLOGY (SHENZHEN) CO., LTD. |
11025686 | Network call method and apparatus, terminal, and server | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
11025697 | Customizing media items for playback on alternative playback devices paired with a user device | GOOGLE LLC |
11025717 | Method and system for backing up and restoring data | EMC IP HOLDING COMPANY LLC |
11025725 | Providing safety related contextual information in a personal protective equipment system | 3M INNOVATIVE PROPERTIES COMPANY |
11025734 | Information processing method, terminal, server, and computer storage medium | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
11025743 | Systems and methods for initiating processing actions utilizing automatically generated data of a group-based communication system | SLACK TECHNOLOGIES, INC. |
11025764 | Method and system for reducing current noise of touch terminal | SHANGHAI AVIC OPTO ELECTRONICS CO., LTD. |
11025766 | Method, system, and device for process triggering | ADVANCED NEW TECHNOLOGIES CO., LTD. |
11025767 | Mobile terminal and control method therefor | LG ELECTRONICS INC. |
11025768 | Information displaying method and terminal | HUAWEI TECHNOLOGIES CO., LTD. |
11025770 | Mobile terminal and electronic device having the same | LG ELECTRONICS INC. |
11025785 | Apparatus, control method, and non-transitory computer readable storage medium that cause a device to print an image based on a state of the apparatus and a user operation | CANON KABUSHIKI KAISHA |
11025789 | Ordering system, ordering server apparatus, and non-volatile computer readable recording medium | KYOCERA DOCUMENT SOLUTIONS INC. |
11025791 | Information processing apparatus, printing apparatus, information processing method, and program, providing accurate identification of the type of a printing medium by increasing the opportunity to improve the accuracy of determining the type of printing medium based on measurement results | CANON KABUSHIKI KAISHA |
11025794 | Method of controlling a multifunction peripheral via a network with use of an information processing apparatus | SHARP KABUSHIKI KAISHA |
11025797 | Predicting detectability and grading prior to printing | DIGIMARC CORPORATION |
11025820 | Photographing apparatus for photographing panoramic image using visual elements on a display, and method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11025826 | Display system, display device, and control method for display device | SEIKO EPSON CORPORATION |
11025827 | Digital image capture session and metadata association | EBAY INC. |
11025836 | Driving assistance device, driving assistance method, and driving assistance program | FUJIFILM CORPORATION |
11025855 | Controlling a display apparatus using a virtual UI provided by an electronic apparatus | SAMSUNG ELECTRONICS CO., LTD. |
11025860 | Configuring output on a communication device | FACEBOOK, INC. |
11025861 | Establishing a video conference during a phone call | APPLE INC. |
11025879 | Image processing apparatus, image processing method, and storage medium storing program | CANON KABUSHIKI KAISHA |
11025974 | System and method for geographically associated or geographically disassociated enticing gift or video drop | GIFTDROP LLC |
11025980 | User terminal apparatus, electronic apparatus, system, and control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11026007 | Display device | SAMSUNG DISPLAY CO., LTD. |
11026020 | Electronic device for forcing liquid out of space in housing to the outside using vibration plate included in speaker and control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11026035 | Transducer electrical characteristic and state sensing using multiple voice coils | CIRRUS LOGIC, INC. |
11026036 | Generating an audio signal from multiple microphones based on uncorrelated noise detection | GOPRO, INC. |
11026045 | Mobile application and system for associating actions with independent geographic locations | -- |
11026046 | Apparatus, systems and methods for visually connecting people | -- |
11026054 | Information processing apparatus, information processing method, and program for determining a position of a target in relation to a reference region | SONY CORPORATION |
11026064 | Collaborative digital story system | FACEBOOK, INC. |
11026153 | Methods and apparatuses for beacon assisted low power localization | -- |
11026277 | Assistive listening system that uses sound waves for device pairing | GALAXY NEXT GENERATION, INC. |
11026461 | Substituting an existing collection in an apparel management system | LEVI STRAUSS & CO. |
11026462 | Virtual storefront with garment previews | LEVI STRAUSS & CO. |
11026469 | Footwear having sensor system | NIKE, INC. |
11026637 | Systems and methods for selecting, activating, or selecting and activating transducers | KARDIUM INC. |
11026638 | Systems and methods for selecting, activating, or selecting and activating transducers | KARDIUM INC. |
11026680 | Surgical instrument configured to operate in different states | CILAG GMBH INTERNATIONAL |
11026712 | Surgical instruments comprising a shifting mechanism | CILAG GMBH INTERNATIONAL |
11026713 | Surgical clip applier configured to store clips in a stored state | CILAG GMBH INTERNATIONAL |
11026751 | Display of alignment of staple cartridge to prior linear staple line | CILAG GMBH INTERNATIONAL |
11026755 | Systems and methods for operating an end effector | INTUITIVE SURGICAL OPERATIONS, INC. |
11026762 | Medical observation device, processing method, and medical observation system | SONY OLYMPUS MEDICAL SOLUTIONS INC. |
11027189 | Entertainment method for self-driving vehicle | HYUNDAI MOTOR COMPANY |
11027194 | Force feedback to improve gameplay | SONY INTERACTIVE ENTERTAINMENT INC. |
11027195 | Information processing apparatus, information processing method, and program | SONY CORPORATION |
11027425 | Space extrapolation for robot task performance | X DEVELOPMENT LLC |
11027428 | Simulation apparatus and robot control apparatus | SEIKO EPSON CORPORATION |
11027609 | Steering wheel | -- |
11027611 | Sensor device for a vehicle and method for operating such a sensor device | VALEO SCHALTER UND SENSOREN GMBH |
11027643 | Mobile unit and system for mobile unit | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11027745 | Indirect vehicle parametrization via user profiles | BAYERISCHE MOTOREN WERKE AKTIENGESELLSCHAFT |
11027822 | Control system for touchless operation of mechanical input devices | ROCKWELL COLLINS, INC. |
11029053 | Building automation system with live memory management | JOHNSON CONTROLS TECHNOLOGY COMPANY |
11029147 | Method and system for facilitating surgery using an augmented reality system | MAGIC LEAP, INC. |
11029173 | Venues map application and system | APPLE INC. |
11029206 | Methods and apparatus for waveguide metrology | APPLIED MATERIALS, INC. |
11029221 | Sensor, input device, and electronic apparatus | SONY CORPORATION |
11029242 | Index sorting systems and methods | BECTON, DICKINSON AND COMPANY |
11029328 | Smartphone motion classifier | QUALCOMM INCORPORATED |
11029349 | Capacitive sensing active electromagnetic emission cancellation | SYNAPTICS INCORPORATED |
11029358 | Noise source monitoring apparatus and noise source monitoring method | FANUC CORPORATION |
11029408 | Distance-imaging system and method of distance imaging | VARJO TECHNOLOGIES OY |
11029442 | Self-mixing optical proximity sensors for electronic devices | APPLE INC. |
11029522 | Method and bendable device for constructing 3D data item | SAMSUNG ELECTRONICS CO., LTD. |
11029523 | Near-eye display with self-emitting microdisplay engine | VUZIX CORPORATION |
11029524 | Display device | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11029527 | Optical mouse and light pipe thereof, and optical component of optical navigation device | -- |
11029548 | In-flight entertainment systems and monitor assemblies for in-flight entertainment systems | PANASONIC AVIONICS CORPORATION |
11029549 | Liquid crystal writing device | WICUE, INC. |
11029634 | Image forming apparatus | BROTHER KOGYO KABUSHIKI KAISHA |
11029661 | Remote user interface actuation using a piezoelectric grid | AVAYA INC. |
11029671 | Integrated wire harness batch production using augmented reality | AUTOMATED WIRING SYSTEMS, LLC |
11029676 | Safety monitoring method and apparatus for an industrial control system | SIEMENS AKTIENGESELLSCHAFT |
11029694 | Self-aware visual-textual co-grounded navigation agent | SALESFORCE.COM, INC. |
11029719 | Control arrangement consisting of an actuating element with an input surface and rotary actuator arranged on said input surface | PREH GMBH |
11029723 | Keyboard device | LENOVO (SINGAPORE) PTE. LTD. |
11029724 | Display device with pressure sensor | SAMSUNG DISPLAY CO., LTD. |
11029730 | System for discharging heat out of head-mounted display based on hybrid fan | FACEBOOK TECHNOLOGIES, LLC |
11029732 | Display device and operating method thereof | SAMSUNG DISPLAY CO., LTD. |
11029741 | Deactivating a display of a smart display device based on a vision-based mechanism | BAIDU USA LLC |
11029751 | Electronic system capable of sharing peripheral device | -- |
11029753 | Human computer interaction system and human computer interaction method | -- |
11029754 | Calibration method, portable device, and computer-readable storage medium | ALPS ALPINE CO., LTD. |
11029755 | Using prediction information with light fields | SHOPIFY INC. |
11029756 | Display with pixel level eye tracking | INTEL CORPORATION |
11029757 | Detecting positions of magnetic flux sensors having particular locations on a device relative to a magnetic field generator located at a predetermined position on the device | FACEBOOK TECHNOLOGIES, LLC |
11029758 | Dynamic command remapping for human-computer interface | BOSTON |
11029759 | Haptic movable display for spatial correlation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11029760 | Simulating touch in a virtual environment | EBAY INC. |
11029761 | Context based gesture control | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11029762 | Adjusting dimensioning results using augmented reality | HAND HELD PRODUCTS, INC. |
11029763 | Input device and electronic device | LENOVO (BEIJING) CO., LTD. |
11029764 | Optical detection device and related turntable watch | -- |
11029765 | Lift detection method for mouse and apparatus thereof | -- |
11029766 | Information processing apparatus, control method, and storage medium | SONY CORPORATION |
11029767 | System and method for determining 3D orientation of a pointing device | MICROSOFT TECHNOLOGY LICENSING, LLC |
11029768 | Position pointer with connector that electrically connects first circuitry and second circuitry while first housing and second housing are mated to each other | WACOM CO., LTD. |
11029769 | Pen for use with a touch screen | SIGMASENSE, LLC. |
11029770 | Image display apparatus and method, image display system, and program | SONY CORPORATION |
11029771 | Managing peripherals of a dual-body information handling system | DELL PRODUCTS L.P. |
11029772 | Transparent conductive laminated structure including a first conductive film and first adhesive layer disposed on the first conductive film and touch panel | CAMBRIOS FILM SOLUTIONS CORPORATION |
11029774 | Touch panel in which cathodes serve as touch sense electrodes and a touch screen formed using the touch panel | BOE TECHNOLOGY GROUP CO., LTD. |
11029775 | Pointer display device, pointer display detection method, pointer display detection program and information apparatus | SONY CORPORATION |
11029776 | Touch panel and manufacturing method therefor, and roll sheet of touch sensor | -- |
11029777 | Touch sensing device and display apparatus including the same | SILICON WORKS CO., LTD. |
11029778 | Device and method for processing user input | HUAWEI TECHNOLOGIES CO., LTD. |
11029779 | Capacitive sensor and input device | CAPITAL ONE SERVICES, LLC |
11029780 | Dynamic rescan to reduce landing artifacts | SYNAPTICS INCORPORATED |
11029781 | System and method for detecting imperfections in a screen | ESW HOLDINGS, INC. |
11029782 | Light emitting diode (LED) touch display circuit | SIGMASENSE, LLC. |
11029783 | Optical touch system comprising means for projecting and detecting light beams above and inside a transmissive panel | FLATFROG LABORATORIES AB |
11029784 | Methods and apparatuses for applying free space inputs for surface constrained controls | ATHEER, INC. |
11029785 | Method for improving accuracy of touch screen event analysis by use of spatiotemporal touch patterns | QEEXO, CO. |
11029786 | Touch sensitive method, apparatus and electronic system for reducing interference from pixel refreshing | -- |
11029787 | Haptic feedback system | GOOGLE LLC |
11029788 | Display device including a force sensor | SAMSUNG DISPLAY CO., LTD. |
11029789 | Touch structure and method of manufacturing the same, touch substrate and touch display device | WUHAN BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11029790 | Touch display device, pen, touch system, touch circuit, and pen recognition method | LG DISPLAY CO., LTD. |
11029791 | Touch panel including a layered structure with first and second mesh terminal layers directly overlaid on each other and touch panel production method | JAPAN AVIATION ELECTRONICS INDUSTRY, LIMITED |
11029792 | Sensor and touch screen display | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
11029793 | Touch detecting device and touch-detection capable display device | JAPAN DISPLAY INC. |
11029794 | Touch panel, display panel, and display unit | JAPAN DISPLAY INC. |
11029795 | System and method to measure capacitance of capacitive sensor array | CYPRESS SEMICONDUCTOR CORPORATION |
11029796 | Touch panel | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11029797 | Electronic device and method for controlling pressure input | SAMSUNG ELECTRONICS CO., LTD. |
11029798 | Display apparatus and method of controlling the same | SAMSUNG ELECTRONICS CO., LTD. |
11029799 | Visualized item based systems | -- |
11029800 | Language and security aware search for user navigable entry points | MICROSOFT TECHNOLOGY LICENSING, LLC |
11029801 | Methods, systems, and media for presenting messages | GOOGLE LLC |
11029802 | Automated command-line interface | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11029803 | Robot | LG ELECTRONICS INC. |
11029805 | Real-time preview of connectable objects in a physically-modeled virtual space | MAGIC LEAP, INC. |
11029806 | Digital product navigation tool | NATIONWIDE MUTUAL INSURANCE COMPANY |
11029807 | Thermostat with an interactive twisted nematic display | CARRIER CORPORATION |
11029808 | Systems and methods for generating a dynamically adjustable dial pad | PAG FINANCIAL INTERNATIONAL LLC |
11029809 | System for displaying electronic mail metadata and related methods | CITRIX SYSTEMS, INC. |
11029810 | Equipment service graphical interface | OTIS ELEVATOR COMPANY |
11029811 | Adjusting appearance of icons in an electronic device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11029812 | Apparatus containing color coded group and member icons and method of grouping and degrouping members icons in lighting applications | LEDVANCE LLC |
11029813 | System and method for providing an interactive vehicle diagnostic display | SNAP-ON INCORPORATED |
11029814 | Visualization of a machine learning confidence score and rationale | BOTTOMLINE TECHNOLOGIES INC. |
11029815 | Modular systems and methods for selectively enabling cloud-based assistive technologies | AUDIOEYE, INC. |
11029816 | Mobile device and method for executing particular function through touch event on communication related list | SAMSUNG ELECTRONICS CO., LTD. |
11029817 | Intelligent media queue | APPLE INC. |
11029818 | Graphical user interface management for different applications | SALESFORCE.COM, INC. |
11029819 | Systems and methods for semi-automated data transformation and presentation of content through adapted user interface | MICROSOFT TECHNOLOGY LICENSING, LLC |
11029820 | Information processing apparatus, non-transitory computer readable recording medium that records a dashboard application program, and image forming apparatus management system | KYOCERA DOCUMENT SOLUTIONS INC. |
11029821 | Systems and interactive user interfaces for automatic generation of temporal representation of data objects | PALANTIR TECHNOLOGIES INC. |
11029822 | Data transmission method, device and mobile terminal | BEIJING BYTEDANCE NETWORK TECHNOLOGY CO., LTD. |
11029823 | Jukebox with customizable avatar | TOUCHTUNES MUSIC CORPORATION |
11029824 | Method and apparatus for moving input field | SAMSUNG SDS CO., LTD. |
11029825 | Remote location monitoring | LA CROSSE TECHNOLOGY LTD. |
11029826 | Digital flash cards including links to digital content | BARNES & NOBLE COLLEGE BOOKSELLERS, LLC |
11029827 | Text selection using a touch sensitive screen of a handheld mobile communication device | BLACKBERRY LIMITED |
11029828 | Object connection breaking system and method | SIEMENS INDUSTRY SOFTWARE INC. |
11029829 | Information processing apparatus and method for display control based on magnification | FUJIFILM BUSINESS INNOVATION CORP. |
11029830 | Display control apparatus, display controlling method and display control program for providing guidance using a generated image | CASIO COMPUTER CO., LTD. |
11029831 | Providing complications on an electronic watch | APPLE INC. |
11029832 | Dynamic linear control interface for spatial-temporal coordinate tracking | GAMETIME |
11029833 | Numerical value determination method, numerical value determination apparatus, electronic device and storage medium | NETEASE (HANGZHOU) NETWORK CO., LTD. |
11029834 | Utilizing biometric feedback to allow users to scroll content into a viewable display area | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11029835 | Systems and methods for delayed content overlay | GUMGUM, INC. |
11029836 | Cross-platform interactivity architecture | MICROSOFT TECHNOLOGY LICENSING, LLC |
11029837 | System and method to alter a user interface of a self-driving vehicle in cases of perceived emergency based on accelerations of a wearable user device | ROVI GUIDES, INC. |
11029838 | Touch screen device, method, and graphical user interface for customizing display of content category icons | APPLE INC. |
11029839 | Application display method and terminal | HUAWEI DEVICE (DONGGUAN) CO., LTD. |
11029840 | Vehicle manipulation device, vehicle system, vehicle manipulation method, and storage medium | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11029841 | Electronic device for generating augmented reality emoji and method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11029842 | Creating and manipulating layers on a user device using touch gestures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11029843 | Touch sensitive keyboard | TACTUAL LABS CO. |
11029845 | Virtual keyboard engagement | MICROSOFT TECHNOLOGY LICENSING, LLC |
11029846 | Method and apparatus for a dynamic search keyboard | CHARTER COMMUNICATIONS OPERATING, LLC |
11029847 | Method and system for shared direct access storage | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11029848 | File management method, distributed storage system, and management node | HUAWEI TECHNOLOGIES CO., LTD. |
11029849 | Handling cache and non-volatile storage (NVS) out of sync writes | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11029850 | System of controlling data rebalance and its method | HITACHI, LTD. |
11029851 | Sub-block modifications for block-level snapshots | AMAZON TECHNOLOGIES, INC. |
11029852 | Dedicated interface for coupling flash memory and dynamic random access memory | ADVANCED MICRO DEVICES, INC. |
11029853 | Dynamic segment allocation for write requests by a storage system | PURE STORAGE, INC. |
11029854 | Memory controller for concurrently writing host data and garbage collected data and operating method thereof | SK HYNIX INC. |
11029855 | Containerized storage stream microservice | DATACORE SOFTWARE CORPORATION |
11029856 | Flash memory device with data fragment function | QUALCOMM INCORPORATED |
11029857 | Offloading device maintenance to an external processor in low-latency, non-volatile memory | EMC IP HOLDING COMPANY LLC |
11029858 | Systems and method for enhancing computer security and redundancy | KARA PARTNERS LLC |
11029859 | Credit based command scheduling | TOSHIBA MEMORY CORPORATION |
11029860 | Control device, display device, and method for controlling memory power-saving state | SEIKO EPSON CORPORATION |
11029861 | Sense flags in a memory device | MICRON TECHNOLOGY, INC. |
11029862 | Systems and methods for reducing write tax, memory usage, and trapped capacity in metadata storage | NETAPP, INC. |
11029863 | Using non-volatile random access memory as volatile random access memory | VMWARE, INC. |
11029864 | Method and system for dynamic backup policy handshaking | EMC IP HOLDING COMPANY LLC |
11029865 | Affinity sensitive storage of data corresponding to a mapped redundant array of independent nodes | EMC IP HOLDING COMPANY LLC |
11029866 | Methods, devices, and computer program products for processing data | EMC IP HOLDING COMPANY LLC |
11029867 | Apparatus and method for transmitting map information and read count in memory system | SK HYNIX INC. |
11029868 | Initialization code/data memory mapping system | DELL PRODUCTS L.P. |
11029869 | System and method for multiqueued access to cloud storage | VIRTUOZZO INTERNATIONAL GMBH |
11029870 | Technologies for dividing work across accelerator devices | INTEL CORPORATION |
11029871 | Deduplication using nearest neighbor cluster | EMC IP HOLDING COMPANY LLC |
11029872 | Non-volatile storage system with data shaping memory partitions | WESTERN DIGITAL TECHNOLOGIES, INC. |
11029873 | Storage device with expandable logical address space and operating method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11029874 | Rolling XOR protection in efficient pipeline | WESTERN DIGITAL TECHNOLOGIES, INC. |
11029875 | System and method for data storage in distributed system across multiple fault domains | DELL PRODUCTS L.P. |
11029876 | Determining an age category for an object stored in a heap | ORACLE INTERNATIONAL CORPORATION |
11029877 | Efficient primal computing system | -- |
11029878 | Information processing system | FUJITSU LIMITED |
11029879 | Page size synchronization and page size aware scheduling method for non-volatile memory dual in-line memory module (NVDIMM) over memory channel | SAMSUNG ELECTRONICS CO., LTD. |
11029880 | Processing data access requests in accordance with a storage unit memory pressure level | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11029881 | Memory controller, memory system, and information processing system | SONY CORPORATION |
11029882 | Secure multiple server access to a non-volatile storage device | LENOVO ENTERPRISE SOLUTIONS (SINGAPORE) PTE. LTD |
11029883 | Reduce system active power based on memory usage patterns | MICRON TECHNOLOGY, INC. |
11029884 | Storage handling guidance for host input/output operations | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11029885 | Memory controller for controlling multiple types of flash memories, and memory system | TDK CORPORATION |
11029886 | Memory system and method of operating memory system | SK HYNIX INC. |
11029887 | Data process execution device, storage medium, and data process execution system | FUJITSU LIMITED |
11029888 | Memory system and method of controlling operations on bad memory block based on temperature | SK HYNIX INC. |
11029889 | Soft bit read mode selection for non-volatile memory | WESTERN DIGITAL TECHNOLOGIES, INC. |
11029890 | Compound feature generation in classification of error rate of data retrieved from memory cells | MICRON TECHNOLOGY, INC. |
11029891 | Hybrid distributed storage system to dynamically modify storage overhead and improve access performance | CISCO TECHNOLOGY, INC. |
11029892 | Memory control apparatus and memory control method for swapping data based on data characteristics | FUJITSU LIMITED |
11029893 | Storage device including nonvolatile memory device and controller, controller and operating method of nonvolatile memory device | SAMSUNG ELECTRONICS CO., LTD. |
11029894 | Image forming system capable of reducing processing load of print server, server system, method of controlling image forming system, and storage medium | CANON KABUSHIKI KAISHA |
11029895 | Technologies for positioning designs on virtual surfaces associated with product customization | CIMPRESS SCHWEIZ GMBH |
11029896 | System, method, and program product for digital production management | AUTHENTISE INC. |
11029897 | Communication device, non-transitory computer-readable medium storing computer-readable instructions for communication device and method executed by communication device | BROTHER KOGYO KABUSHIKI KAISHA |
11029898 | Electronic apparatus | KYOCERA DOCUMENT SOLUTIONS INC. |
11029899 | Maintenance system including information processing device that performs maintenance operation on image forming device | BROTHER KOGYO KABUSHIKI KAISHA |
11029900 | Printing apparatus, control method, and storage medium | CANON KABUSHIKI KAISHA |
11029901 | Information processing apparatus, system, display method, and non-transitory computer-readable storage medium storing program | CANON KABUSHIKI KAISHA |
11029902 | Printing apparatus, print control device, and method of controlling printing apparatus | SEIKO EPSON CORPORATION |
11029903 | Output systems, such as television controllers, televisions, display devices, or audio output devices, operable for playing digital content wirelessly received either from a digital content service over the internet or wirelessly received from a client device that is in the same network as the output system | FLEXIWORLD TECHNOLOGIES, INC. |
11029904 | Printing apparatus capable of converting image data | BROTHER KOGYO KABUSHIKI KAISHA |
11029905 | Integrated learning using multiple devices | SAP SE |
11029906 | Content management across overlapping displays | INTEL CORPORATION |
11029907 | Electronic device having double-sided display and method for controlling application | SAMSUNG ELECTRONICS CO., LTD. |
11029908 | Head mounted display apparatus | -- |
11029909 | Information processor, information processing method, and program | SONY CORPORATION |
11029910 | Terminal screen and terminal | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11029911 | Synchronized display of screen content on networked devices | ICU MEDICAL, INC. |
11029912 | Display apparatus dividing the display into a plurality of regions and uniform light control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11029913 | Customizable real-time electronic whiteboard system | C/HCA, INC. |
11029914 | Multi-core audio processor with phase coherency | KNOWLES ELECTRONICS, LLC |
11029915 | Optimizing audio signal networks using partitioning and mixer processing graph recomposition | AVID TECHNOLOGY, INC. |
11029916 | Electronic device and control method and storage medium | CANON KABUSHIKI KAISHA |
11029917 | Audio processing algorithms | SONOS, INC. |
11029918 | Conversational virtual healthcare assistant | VERINT AMERICAS INC. |
11029925 | System and method for serving multiple data objects and formatting functions in a single request | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11029932 | Hydration of applications | MICROSOFT TECHNOLOGY LICENSING, LLC |
11029942 | System, method, and computer program product for device coordination | MAJEN TECH, LLC |
11029961 | Calculating wait time for batch scheduler jobs | FLEXERA SOFTWARE LLC |
11029965 | Booting firmware from expansion block storage devices | INTEL CORPORATION |
11029979 | Dynamically generating custom application onboarding tutorials | GOOGLE LLC |
11029990 | Delivering a single end user experience to a client from multiple servers | MICROSOFT TECHNOLOGY LICENSING, LLC |
11030019 | Deletion of events based on a plurality of factors in a connected car computing environment | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11030028 | Failure detection apparatus, failure detection method, and non-transitory computer readable recording medium | YOKOGAWA ELECTRIC CORPORATION |
11030038 | Fault prediction and detection using time-based distributed data | MICROSOFT TECHNOLOGY LICENSING, LLC |
11030040 | Memory device detecting an error in write data during a write operation, memory system including the same, and operating method of memory system | SK HYNIX INC. |
11030053 | Efficient disaster rollback across heterogeneous storage systems | NUTANIX, INC. |
11030058 | Restoring archived object-level database data | COMMVAULT SYSTEMS, INC. |
11030060 | Data validation during data recovery in a log-structured array storage system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11030061 | Single and double chip spare | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11030062 | Chunk allocation | RUBRIK, INC. |
11030090 | Adaptive data migration | PURE STORAGE, INC. |
11030091 | Semiconductor storage device for improved page reliability | -- |
11030092 | Access request processing method and apparatus, and computer system | HUAWEI TECHNOLOGIES CO., LTD. |
11030096 | Method of identifying and preparing a key block in a flash memory system and memory controller therefor | -- |
11030105 | Variable handles | ORACLE INTERNATIONAL CORPORATION |
11030110 | Integrated circuit and data processing system supporting address aliasing in an accelerator | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11030111 | Representing an address space of unequal granularity and alignment | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11030114 | Shared volume based centralized logging | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11030118 | Data-locking memory module | RAMBUS INC. |
11030126 | Techniques for managing access to hardware accelerator memory | INTEL CORPORATION |
11030129 | Systems and methods for message tunneling | SAMSUNG ELECTRONICS CO., LTD. |
11030130 | Storage device, access method and system utilizing the same | -- |
11030131 | Data processing performance enhancement for neural networks using a virtualized data iterator | MICROSOFT TECHNOLOGY LICENSING, LLC |
11030135 | Method and apparatus for power reduction for data movement | ADVANCED MICRO DEVICES, INC. |
11030154 | File management method for selecting files to process a file management instruction simultaneously | NHN ENTERTAINMENT CORPORATION |
11030157 | Template based data reduction for commercial data mining | NEC CORPORATION |
11030158 | Improving performance of asynchronous replication in HSM integrated storage systems | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11030159 | System and methods for implementing a server-based hierarchical mass storage system | MICROSOFT TECHNOLOGY LICENSING, LLC |
11030160 | Projecting the effects of implementing various actions on a storage system | PURE STORAGE, INC. |
11030163 | System for tracking and displaying changes in a set of related electronic documents | WORKSHARE, LTD. |
11030179 | External data access with split index | MICROSOFT TECHNOLOGY LICENSING, LLC |
11030181 | Systems and methods for multi-brand experience in enterprise computing environment | OPEN TEXT SA ULC |
11030182 | Timestamp block iterator | SAP SE |
11030191 | Querying over external tables in database systems | SNOWFLAKE INC. |
11030192 | Updates to access permissions of sub-queries at run time | SPLUNK INC. |
11030207 | Updating displayed data visualizations according to identified conversation centers in natural language commands | TABLEAU SOFTWARE, INC. |
11030211 | Migrating page layout representations of database entries | SALESFORCE.COM, INC. |
11030216 | Replicating non-supported data types using an existing supported replication format | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11030218 | Computer system and data processing method | HITACHI, LTD. |
11030223 | Collaboration activity summaries | BOX, INC. |
11030225 | Golf course management tool | -- |
11030233 | Auto-citing references to other parts of presentation materials | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11030237 | Method and apparatus for identifying input features for later recognition | ATHEER, INC. |
11030240 | Systems and methods for efficiently sending video metadata | HONEYWELL INTERNATIONAL INC. |
11030248 | Resource dependency system and graphical user interface | PALANTIR TECHNOLOGIES INC. |
11030255 | Methods and systems for inferring intent and utilizing context for natural language expressions to generate data visualizations in a data visualization interface | TABLEAU SOFTWARE, LLC |
11030256 | Methods and user interfaces for visually analyzing data visualizations with multi-row calculations | TABLEAU SOFTWARE, INC. |
11030262 | Recyclable private memory heaps for dynamic search indexes | VERIZON MEDIA INC. |
11030270 | Data visualization platform for use in a network environment | SSB LEGAL TECHNOLOGIES, LLC |
11030272 | System for automating the creation and evaluation of website variations to improve user engagement | CAPITAL ONE SERVICES, LLC |
11030283 | Media content management | TUNEGO, INC. |
11030288 | Electronic device for authenticating using biometric information and method of operating electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11030291 | Methods and systems for user authentication | COMCAST CABLE COMMUNICATIONS, LLC |
11030292 | Authentication using sound based monitor detection | ADVANCED NEW TECHNOLOGIES CO., LTD. |
11030301 | Hacking-resistant computer design | -- |
11030314 | Storage system with snapshot-based detection and remediation of ransomware attacks | EMC IP HOLDING COMPANY LLC |
11030349 | Secure data display | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11030351 | Secure data display | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11030365 | Systems and methods for determining finite elements in physics simulation systems for modeling physical systems using common geometry shape function spaces | COMSOL AB |
11030385 | Enhanced preview technology for application add-ins | MICROSOFT TECHNOLOGY LICENSING, LLC |
11030386 | Constraints-based layout system for efficient layout and control of user interface elements | GOOGLE LLC |
11030388 | Live text glyph modifications | ADOBE INC. |
11030390 | Sharing content between electronic documents | MICROSOFT TECHNOLOGY LICENSING, LLC |
11030395 | Top-align comments: just-in-time highlights and automatic scrolling | MICROSOFT TECHNOLOGY LICENSING, LLC |
11030396 | Annotating documents on a mobile device | OPEN TEXT HOLDINGS, INC. |
11030397 | Methods and systems for populating application-specific information using overlay applications | CAPITAL ONE SERVICES, LLC |
11030399 | Information processing apparatus and non-transitory computer readable medium | FUJIFILM BUSINESS INNOVATION CORP. |
11030405 | Method and device for generating statement | BEIJING BYTEDANCE NETWORK TECHNOLOGY CO., LTD. |
11030410 | Community-based reporting and analysis system and method | ARCHITECTURE TECHNOLOGY CORPORATION |
11030418 | Translation device and system with utterance reinput request notification | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11030420 | Translating language characters in media content | MICROSOFT TECHNOLOGY LICENSING, LLC |
11030438 | Devices having system for reducing the impact of near distance viewing on myopia onset and/or myopia progression | JOHNSON & JOHNSON VISION CARE, INC. |
11030445 | Sorting and displaying digital notes on a digital whiteboard | LENOVO (SINGAPORE) PTE. LTD. |
11030448 | Method for recommending one or more actions and an electronic device thereof | SAMSUNG ELECTRONICS CO., LTD. |
11030459 | Methods and apparatus for projecting augmented reality enhancements to real objects in response to user gestures detected in a real environment | INTEL CORPORATION |
11030473 | Information processing apparatus and non-transitory computer readable medium storing information processing program | FUJIFILM BUSINESS INNOVATION CORP. |
11030482 | Annotation device and annotation method | OM DIGITAL SOLUTIONS |
11030496 | Smart document format system | KYOCERA DOCUMENT SOLUTIONS INC. |
11030556 | Digital processing systems and methods for dynamic object display of tabular information in collaborative work systems | MONDAY.COM |
11030560 | Dispatch system | BRANDT VX LLC |
11030585 | Person detection, person identification and meeting start for interactive whiteboard appliances | RICOH COMPANY, LTD. |
11030586 | Displaying a plurality of calendar entries | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11030660 | Commercial breaks for live videos | FACEBOOK, INC. |
11030662 | Visualization of reputation ratings | EBAY INC. |
11030678 | User-adaptive restaurant management system | TOAST, INC. |
11030771 | Information processing apparatus and image generating method | SONY INTERACTIVE ENTERTAINMENT INC. |
11030773 | Hand tracking based on articulated distance field | GOOGLE LLC |
11030784 | Method and system for presenting a digital information related to a real object | APPLE INC. |
11030787 | Mobile-based cartographic control of display content | SNAP INC. |
11030788 | Virtual reality presentation of body postures of avatars | LINDEN RESEARCH, INC. |
11030789 | Animated chat presence | SNAP INC. |
11030796 | Interfaces and techniques to retarget 2D screencast videos into 3D tutorials in virtual reality | ADOBE INC. |
11030806 | Combined virtual and physical environment | VR EXIT LLC |
11030810 | Shared mixed-reality environments responsive to motion-capture data | LUCASFILM ENTERTAINMENT COMPANY LTD. |
11030813 | Video clip object tracking | SNAP INC. |
11030815 | Method and system for rendering virtual reality content | WIPRO LIMITED |
11030817 | Display system and method of using environment map to generate extended-reality images | VARJO TECHNOLOGIES OY |
11030819 | Product build assistance and verification | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11030820 | Systems and methods for surface detection | FACEBOOK TECHNOLOGIES, LLC |
11030821 | Image display control apparatus and image display control program | ALPHA CODE INC. |
11030822 | Content indicators in a 3D environment authoring application | MICROSOFT TECHNOLOGY LICENSING, LLC |
11030824 | Automatic color harmonization | COLORO CO., LTD |
11030826 | Hanger generation in computer-aided design programs | APPLIED SOFTWARE TECHNOLOGY, INC. |
11030864 | Apparatus and method for modifying a haptic output of a haptic device | INTEL CORPORATION |
11030865 | Systems and methods for delivering a plurality of haptic effects | FACEBOOK TECHNOLOGIES, LLC |
11030872 | Real-time event communication and management system, method and computer program product | IODINE SOFTWARE, LLC |
11030909 | Method and system for target aircraft and target obstacle alertness and awareness | BEEPER AVIONICS INC. |
11030921 | Change data driven tactile response | WELLS FARGO BANK, N.A. |
11030973 | Wearable heads-up displays with ambient light detection and adjustable display brightness | GOOGLE LLC |
11030978 | Location-based display of pixel history | EBAY INC. |
11030980 | Information processing apparatus, information processing system, control method, and program | NEC CORPORATION |
11031002 | Recognizing speech in the presence of additional audio | GOOGLE LLC |
11031004 | System for communicating with devices and organisms | FUJI XEROX CO., LTD. |
11031005 | Continuous topic detection and adaption in audio environments | INTEL CORPORATION |
11031006 | Information processing apparatus, information processing method, and program | SONY CORPORATION |
11031010 | Speech recognition system providing seclusion for private speech transcription and private data retrieval | MOTOROLA MOBILITY LLC |
11031012 | System and method of correlating mouth images to input commands | JOYSON SAFETY SYSTEMS ACQUISITION LLC |
11031015 | Method and system for implementing voice monitoring and tracking of participants in group settings | -- |
11031109 | Contextual EMR based dashboard graphical user interface elements | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11031111 | Systems and methods for optimizing clinical workflow using a prioritization engine | WELLSHEET, INC. |
11031118 | Mixed electroanatomical map coloring tool having draggable geodesic overlay | BIOSENSE WEBSTER (ISRAEL) LTD. |
11031128 | Augmented reality-based training and troubleshooting for medical devices | FRESENIUS MEDICAL CARE HOLDINGS, INC. |
11031129 | Systems, methods, user interfaces and analysis tools for supporting user-definable rules and smart rules and smart alerts notification engine | BERNOULLI ENTERPRISE, INC. |
11031139 | Systems and methods for conversational flexible data presentation | GENERAL ELECTRIC COMPANY |
11031164 | Attachment devices for inductive interconnection systems | APPLE INC. |
11031193 | Method for manufacturing a touch panel | FUJITSU COMPONENT LIMITED |
11031195 | Laser processing of fabric for electronic devices | APPLE INC. |
11031330 | Electroconductive substrate, electronic device and display device | TDK CORPORATION |
11031451 | Organic EL display device | JAPAN DISPLAY INC. |
11031788 | Charging control method for battery based on time and electronic device supporting the same | SAMSUNG ELECTRONICS CO., LTD. |
11031854 | Electronic device | MINEBEA MITSUMI INC. |
11031956 | Generalized concatenated error correction coding scheme with locality | SAMSUNG ELECTRONICS CO., LTD. |
11032090 | Method, system, and device for changing the collaboration state for omni-workspaces | MITEL NETWORKS (INT'L) LIMITED |
11032123 | Hierarchical storage system management | PURE STORAGE, INC. |
11032137 | Wearable electronic device, main electronic device, system and control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11032152 | Machine-learning based self-populating dashboard for resource utilization monitoring in hyper-converged information technology environments | DELL PRODUCTS L.P. |
11032154 | Graphical user interface for displaying a hierarchical network topology in a single site view | CISCO TECHNOLOGY, INC. |
11032177 | Network activity validation | ALARM.COM INCORPORATED |
11032227 | Stacked chat conversations | SNAP INC. |
11032329 | Managing engagements in interactive multimedia sessions | FANMIO, INC. |
11032333 | Systems and methods for providing one-way video calls | T-MOBILE USA, INC. |
11032351 | Updates and support channel through mobile | NCR CORPORATION |
11032356 | Groupware management | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11032368 | Data processing method, apparatus, and system | HUAWEI TECHNOLOGIES CO., LTD. |
11032374 | Electronic device, server and recording medium supporting task execution using external device | SAMSUNG ELECTRONICS CO., LTD. |
11032390 | Digital card management | ALTAIR ENGINEERING, INC. |
11032403 | Method to aid the walking-while-texting smart phone user navigate around obstacles in the forward path | -- |
11032406 | Smartphone case with separate computer hardware for recording private health-related data | MYMEE INC. |
11032409 | Methods for geographic gesturing using a mobile device for interactions with nearby other mobile devices | YELLCAST, INC |
11032410 | Mobile data insight platforms for data analysis | MICROSOFT TECHNOLOGY LICENSING, LLC |
11032412 | Electronic glasses that display a virtual image for a telephone call | -- |
11032418 | Generating and/or prioritizing pre-call content for rendering when awaiting acceptance of an incoming call | GOOGLE LLC |
11032436 | Information processing apparatus, information processing system, and non-transitory computer readable medium storing program for workflow generation | FUJIFILM BUSINESS INNOVATION CORP. |
11032441 | Watermark printed on matching color media forming metameric pair | XEROX CORPORATION |
11032445 | Information processing apparatus and system and non-transitory computer readable medium | FUJI XEROX CO., LTD. |
11032449 | Glove-like device with ergonomically advantageously activatable camera integrated therein | -- |
11032471 | Method and apparatus for providing a visual indication of a point of interest outside of a user's view | NOKIA TECHNOLOGIES OY |
11032478 | Smart camera user interface | GOOGLE LLC |
11032485 | Optical assembly for superimposing images from two or more sources | MARANON, INC. |
11032490 | Camera array including camera modules | VERIZON PATENT AND LICENSING INC. |
11032514 | Method and apparatus for providing image service | SAMSUNG ELECTRONICS CO., LTD. |
11032517 | Interactive videoconference apparatus | -- |
11032526 | Projection device, projection method and projection system | -- |
11032532 | Electronic device and method for providing virtual device via at least portion of content | SAMSUNG ELECTRONICS CO., LTD. |
11032535 | Generating a three-dimensional preview of a three-dimensional video | VERIZON PATENT AND LICENSING INC. |
11032536 | Generating a three-dimensional preview from a two-dimensional selectable icon of a three-dimensional reality video | VERIZON PATENT AND LICENSING INC. |
11032537 | Movable display for viewing and interacting with computer generated environments | ATHANOS, INC. |
11032600 | System and method for interacting with a program guide displayed on a portable electronic device | UNIVERSAL ELECTRONICS INC. |
11032601 | Electronic apparatus and controlling method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11032617 | Multiple household management | SONOS, INC. |
11032630 | Capturing and processing sound signals for voice recognition and noise/echo cancelling | XMOS LTD |
11032632 | Earphones with activity controlled output | SAMSUNG ELECTRONICS CO., LTD. |
11032661 | Music collection navigation device and method | III HOLDINGS 1, LLC |
11032670 | Destination sharing in location sharing system | SNAP INC. |
11032675 | Electronic accessory incorporating dynamic user-controlled audio muting capabilities, related methods and communications terminal | -- |
11032698 | Gesture based smart download | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11032754 | Managing iOS-based mobile communication devices by creative use of callkit API protocols | CELLCONTROL, INC. |
11032894 | Intelligent lighting control system line voltage detection apparatuses, systems, and methods | RACEPOINT ENERGY, LLC |
11032922 | Cumulative sensor in a foldable device | MOTOROLA MOBILITY LLC |
11033204 | Method for determining an ophthalmological parameter | SURICOG |
11033216 | Augmenting questionnaires | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11033228 | Wearable fatigue alert devices for monitoring the fatigue status of vehicle operators | CENTENARY UNIVERSITY |
11033443 | Electronic wheelchair having voice-recognition operating system | -- |
11033453 | Neurocognitive training system for improving visual motor responses | BERTEC CORPORATION |
11033708 | Breathing sequence user interface | APPLE INC. |
11033732 | Methods for programming a deep brain stimulation system and a clinician programmer device | ADVANCED NEUROMODULATION SYSTEMS, INC. |
11033809 | Using finger presence to activate a motion control feature for a handheld controller | VALVE CORPORATION |
11033811 | Controller and cover member | HORI CO., LTD. |
11033816 | Information processing apparatus and inputting apparatus for sharing image data | SONY INTERACTIVE ENTERTAINMENT INC. |
11033817 | Information processing method and apparatus, storage medium and electronic device | NETEASE (HANGZHOU) NETWORK CO., LTD. |
11033829 | Resistance control systems and methods for amusement attractions | UNIVERSAL STUDIOS LLC |
11034294 | Driving notification method and driving notification system | -- |
11034537 | Positioning module and driving control device of carrier unit, including same | OMOROBOT INC. |
11034563 | Apparatus and method of monitoring product placement within a shopping facility | WALMART APOLLO, LLC |
11035217 | Graphical indexing for life cycle management of drilling system assets | HYDRIL USA DISTRIBUTION LLC |
11035530 | Colorful light beads for a light string | SHANDONG NEON KING ELECTRONICS CO., LTD. |
11035665 | System and method for enhancing data processing throughput using less effective pixel while maintaining wafer warp coverage | KLA CORPORATION |
11035688 | Map application with improved search tools | APPLE INC. |
11035908 | Display device including flexible printed circuit board and for detecting separation of the flexible printed circuit board | SAMSUNG DISPLAY CO., LTD. |
11036048 | Virtual reality system and method for displaying on a real-world display a viewable portion of a source file projected on an inverse spherical virtual screen | PROJECT WHITECARD DIGITAL INC. |
11036049 | Systems and methods for manipulating light from ambient light sources | MAGIC LEAP, INC. |
11036052 | Head-mounted display systems with audio delivery conduits | FACEBOOK TECHNOLOGIES, LLC |
11036053 | Program, information processing method, information processing system, head-mounted display device, and information processing device | CYGAMES, INC. |
11036054 | Head-mounted display with adjustment mechanism | APPLE INC. |
11036055 | Modular and detachable wearable devices for AR/VR/MR | DOLBY LABORATORIES LICENSING CORPORATION |
11036099 | Array substrate comprising a plurality of touch signal lines having multiple non-touch-signal-transmitting lines comprising a curved section curving and detouring around a through hole | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11036205 | Control device and communication device | OMRON CORPORATION |
11036216 | Voice-controllable unmanned aerial vehicle for object retrieval and delivery | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11036218 | Interaction apparatus, interaction method, recording medium storing interaction program, and robot | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11036254 | Portable electronic device and foldable electronic input device | -- |
11036257 | Electronic device and method for controlling display | SAMSUNG ELECTRONICS CO., LTD. |
11036258 | Image display apparatus | LG ELECTRONICS INC. |
11036267 | Field replaceable touch display module | MICROSOFT TECHNOLOGY LICENSING, LLC |
11036272 | Method and apparatus for adjusting display interface of screen, user interface, electronic device, and storage medium | HUAWEI TECHNOLOGIES CO., LTD. |
11036273 | Memory system and operating method thereof | SK HYNIX INC. |
11036281 | Method and system for dynamic selection of application dialog layout design | OPEN TEXT CORPORATION |
11036282 | Proximity detector in handheld device | APPLE INC. |
11036283 | Navigation controller | -- |
11036284 | Tracking and drift correction | APPLE INC. |
11036285 | Systems and methods for mixed reality interactions with avatar | -- |
11036286 | Information processing apparatus, information processing method, and computer-readable recording medium | SONY CORPORATION |
11036287 | Electronic device, control method for electronic device, and non-transitory computer readable medium | CANON KABUSHIKI KAISHA |
11036288 | Head-mounted virtual reality display device, method for measuring position and posture of the same and virtual reality display apparatus | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11036289 | Systems and methods to present information in a virtual environment | FACEBOOK, INC. |
11036290 | Pupil steering: flexure guidance systems | FACEBOOK TECHNOLOGIES, LLC |
11036291 | Polarization-stabilized beam-shaping illuminator | FACEBOOK TECHNOLOGIES, LLC |
11036292 | Menu navigation in a head-mounted display | SONY INTERACTIVE ENTERTAINMENT LLC |
11036293 | Method for using fingers to interact with a smart glove worn on a hand | FLEX LTD. |
11036294 | Wireless power and data transmission system for wearable and implantable devices | THE GOVERNING COUNCIL OF THE UNIVERSITY OF TORONTO |
11036295 | Electrostatic slide clutch | MICROSOFT TECHNOLOGY LICENSING, LLC |
11036296 | Electronic device and control method thereof | LENOVO (BEIJING) CO., LTD. |
11036297 | Tactile feedback device | KOREA INSTITUTE OF SCIENCE AND TECHNOLOGY |
11036298 | Display device which generates a different vibration according to the position where a force is applied by a user | SAMSUNG DISPLAY CO., LTD. |
11036299 | Grabity: A virtual reality haptic controller for creating gravity and stiffness during grasping motions through asymmetric vibrations | THE BOARD OF TRUSTEES OF THE LELAND STANFORD JUNIOR UNIVERSITY |
11036300 | Mobile device interfaces | AMAZON TECHNOLOGIES, INC. |
11036301 | Input device for motion operating graphical user interface | MAXELL, LTD. |
11036302 | Wearable devices and methods for improved speech recognition | FACEBOOK TECHNOLOGIES, LLC |
11036303 | Systems and methods for three-dimensional (3D) reconstruction of human gestures from radar based measurements | TATA CONSULTANCY SERVICES LLC |
11036304 | Mode switching for integrated gestural interaction and multi-user collaboration in immersive virtual reality environments | ULTRAHAPTICS IP TWO LIMITED |
11036305 | Signal processing apparatus and signal processing method | SONY CORPORATION |
11036306 | Backlight module and input device | LITE-ON ELECTRONICS (GUANGZHOU) LIMITED |
11036307 | Touch sensitive mechanical keyboard | APPLE INC. |
11036308 | Automated keyboard mapping for virtual desktops | CITRIX SYSTEMS, INC. |
11036309 | Micro-optical orientation sensor and related methods | AMS SENSORS SINGAPORE PTE. LTD. |
11036310 | Flexible display apparatus and display method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11036311 | Method and apparatus for 3D viewing of images on a head display unit | D3D TECHNOLOGIES, INC. |
11036312 | Position pointer and replacable electronic ink cartridge including a pressure sensor | WACOM CO., LTD. |
11036313 | Stylus, circuit system, control circuit and method thereof for power saving | -- |
11036314 | Cover member for input pen device, and input pen device | NIPPON ELECTRIC GLASS CO., LTD. |
11036315 | Pen-type input device, input control method, computer-readable recording medium storing program, and information processing system | SHARP KABUSHIKI KAISHA |
11036316 | Touch module | -- |
11036317 | Roller input device | -- |
11036318 | Capacitive touch or proximity detection for crown | APPLE INC. |
11036319 | Flexible touch screen panel and method of manufacturing the same | LG DISPLAY CO., LTD. |
11036320 | Organic light emitting diode folding display | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11036321 | Light control film and display apparatus including the same | LG DISPLAY CO., LTD. |
11036322 | Array substrate and method of manufacturing same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11036323 | Display device | PANASONIC LIQUID CRYSTAL DISPLAY CO., LTD. |
11036324 | Semiconductor device and touch panel | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11036325 | Touch display device | LG DISPLAY CO., LTD. |
11036326 | Haptic interaction via magnetic force | MICROSOFT TECHNOLOGY LICENSING, LLC |
11036327 | 3D touch | APPLE INC. |
11036328 | Touch input lock | SCHLAGE LOCK COMPANY LLC |
11036329 | Touch sensing signal processing method, system and device, and electronic device | GUANGZHOU SHIYUAN ELECTRONICS CO., LTD |
11036330 | Switchable input modes for external display operation | INTEL CORPORATION |
11036331 | Touch display device | LG DISPLAY CO., LTD. |
11036332 | Cover member and display apparatus | JAPAN DISPLAY INC. |
11036333 | Distinguishing and tracking multiple objects when placed on capacitive touchscreen | -- |
11036334 | Method of determining touch detection mode and touch controller | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
11036335 | Method, apparatus and storage medium for calibrating capacitive touch module | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11036336 | Display input device and image forming apparatus | KYOCERA DOCUMENT SOLUTIONS INC. |
11036337 | Method of switching operation mode of touch panel | -- |
11036338 | Touch object discrimination by characterizing and classifying touch events | BEECHROCK LIMITED |
11036339 | Touch sensor integrated display device and method for driving the same | LG DISPLAY CO., LTD. |
11036340 | Display device | SAMSUNG DISPLAY CO., LTD. |
11036341 | Conductive components in an insulator layer of a touch sensor stackup | APPLE INC. |
11036342 | Touch display device and touchscreen panel | LG DISPLAY CO., LTD. |
11036343 | Input-sensing circuit and display module including the same | SAMSUNG DISPLAY CO., LTD. |
11036344 | Managing application windows of applications from different servers within a same browser window on a user device | PARALLELS INTERNATIONAL GMBH |
11036345 | System and method for on-screen graphical user interface encapsulation and reproduction | -- |
11036346 | Method for operating an operating system and operating system | VOLKSWAGEN AKTIENGESELLSCHAFT |
11036347 | Standardizing user interface elements | EBAY INC. |
11036348 | User interaction determination within a webinar system | ADOBE INC. |
11036349 | Stateful, contextual, and draggable embedded widget | SALESFORCE.COM, INC. |
11036350 | Graphical user interface for specifying 3D position | DTS, INC. |
11036351 | Information processing device and information processing method | SONY CORPORATION |
11036352 | Information processing apparatus and information processing method with display of relationship icon | CANON KABUSHIKI KAISHA |
11036353 | Home screen agent and insurance card widget | STATE FARM MUTUAL AUTOMOBILE INSURANCE COMPANY |
11036354 | Integrating desktop and mobile devices | ORACLE INTERNATIONAL CORPORATION |
11036355 | Electronic device, server and method for filtering, blocking and replacing web objects | SAMSUNG ELECTRONICS CO., LTD. |
11036356 | Service backed digital ruled paper templates | MICROSOFT TECHNOLOGY LICENSING, LLC |
11036357 | Generating or updating cross-community streams | SALESFORCE.COM, INC. |
11036358 | Configurable feed for display with a web page | ETERNAL STRATEGIES, LLC |
11036359 | Intelligent content queuing from a secondary device | NAGRAVISION S.A.. |
11036360 | Graphical user interface object matching | SALESFORCE.COM, INC. |
11036361 | Timeline-video relationship presentation for alert events | GOOGLE LLC |
11036362 | Graphic flow having unlimited number of connections between shapes | MICROSOFT TECHNOLOGY LICENSING, LLC |
11036363 | Display control apparatus and display control method | SONY CORPORATION |
11036364 | Browser for mixed reality systems | MAGIC LEAP, INC. |
11036365 | Hang condition mitigation for browser applications | MICROSOFT TECHNOLOGY LICENSING, LLC |
11036366 | Interface display method and device for hiboard, and storage medium | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11036367 | Method for controlling external device by electronic device, and electronic device therefor | SAMSUNG ELECTRONICS CO., LTD. |
11036368 | Messaging system with message transmission user interface | SNAP INC. |
11036369 | Visual presentation of multi-dimensional data sets | QUEST DIAGNOSTICS INVESTMENTS INCORPORATED |
11036370 | Computer-assisted or autonomous driving vehicles social network | INTEL CORPORATION |
11036371 | Methods and apparatus for managing and exchanging information using information objects | CAMBRIDGE |
11036372 | Interface scanning for disabled users | APPLE INC. |
11036373 | Mobile device transparent screen overlay | SPRINT COMMUNICATIONS COMPANY L.P. |
11036374 | Aggregated adaptive purchase process and interface | HOLLYWOOD.COM LLC |
11036375 | Dynamic zoom based on media | LENOVO (SINGAPORE) PTE. LTD. |
11036376 | Ultrasound diagnosis apparatus and method of controlling ultrasound diagnosis apparatus | FUJIFILM CORPORATION |
11036377 | Systems and methods for enabling efficient commissioning of lights using a mobile device | SYNAPSE WIRELESS, INC. |
11036378 | Image processing apparatus, image processing method, image processing program, and recording medium storing program | FUJIFILM CORPORATION |
11036380 | Display apparatus for performing function of user selected menu item on a user interface and method for controlling display apparatus | SAMSUNG ELECTRONICS CO., LTD. |
11036381 | Flexible device and operation method of flexible device | SAMSUNG ELECTRONICS CO., LTD. |
11036382 | Control of display of content with dragging inputs on a touch input surface | GOOGLE LLC |
11036383 | Electronic apparatus displaying representative information and control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11036384 | Pressure-sensitive degree control method and system for touchscreen-enabled mobile terminal | SAMSUNG ELECTRONICS CO., LTD. |
11036385 | Hiding portions of display content | GOOGLE LLC |
11036386 | Application switching on mobile devices | LENOVO (SINGAPORE) PTE. LTD. |
11036387 | Devices, methods, and graphical user interfaces for navigating between user interfaces and interacting with control objects | APPLE INC. |
11036388 | Sensor device scanning techniques to determine fast and/or slow motions | SYNAPTICS INCORPORATED |
11036389 | Electronic device with gesture-based task management | GOOGLE TECHNOLOGY HOLDINGS LLC |
11036390 | Display method of display apparatus | -- |
11036391 | Haptic feedback systems and methods for an amusement park ride | UNIVERSAL STUDIOS LLC |
11036392 | Determining when to use convergent encryption | PURE STORAGE, INC. |
11036393 | Migrating data between volumes using virtual copy operation | PURE STORAGE, INC. |
11036394 | Data deduplication cache comprising solid state drive storage and the like | FALCONSTOR, INC. |
11036395 | Secure and transparent pruning for blockchains | NEC CORPORATION |
11036396 | Media controller and data storage apparatus including the same | SK HYNIX INC. |
11036397 | Unified addressing and hierarchical heterogeneous storage and memory | SAMSUNG ELECTRONICS CO., LTD. |
11036398 | High-throughput low-latency hybrid memory module | RAMBUS, INC. |
11036399 | Memory system and operating method of the memory system | SK HYNIX INC. |
11036400 | System and method for limiting restoration access | EMC IP HOLDING COMPANY LLC |
11036401 | Method, apparatus, and system for controlling user access to a data storage system | HITACHI VANTARA LLC |
11036402 | Control device, control method and recording medium | OMRON CORPORATION |
11036403 | Shared memory block configuration | MARVELL ASIA PTE, LTD. |
11036404 | Devices, systems, and methods for reconfiguring storage devices with applications | SMART IOPS, INC. |
11036405 | Runtime information transfer between kernel modules | VMWARE, INC. |
11036406 | Thermally aware memory management | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11036407 | Storage system and method for smart folding | WESTERN DIGITAL TECHNOLOGIES, INC. |
11036408 | Rule-based modifications in a data storage appliance monitor | ORACLE INTERNATIONAL CORPORATION |
11036409 | Non-volatile memory using a reduced number of interconnect terminals | INTEL CORPORATION |
11036410 | Clock characteristic determination | MICRON TECHNOLOGY, INC. |
11036411 | Yield improvement through block budget optimization by using a transient pool of multi-level blocks | WESTERN DIGITAL TECHNOLOGIES, INC. |
11036412 | Dynamically changing between latency-focused read operation and bandwidth-focused read operation | INTEL CORPORATION |
11036413 | Memory sub-system temperature regulation | MICRON TECHNOLOGY, INC. |
11036414 | Data storage device and control method for non-volatile memory with high-efficiency garbage collection | -- |
11036415 | Managing memory block calibration based on priority levels | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11036416 | Deduplicated storage with multiple storage domains | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11036417 | Methods and systems for object level de-duplication for solid state devices | WESTERN DIGITAL TECHNOLOGIES, INC. |
11036418 | Fully replacing an existing RAID group of devices with a new RAID group of devices | INTELLIFLASH BY DDN, INC. |
11036419 | Distributed storage resource reservation for replication, disaster recovery and data protection across multiple sites | CISCO TECHNOLOGY, INC. |
11036420 | Object store mirroring and resync, during garbage collection operation, first bucket (with deleted first object) with second bucket | NETAPP, INC. |
11036421 | Apparatus and method for retaining firmware in memory system | SK HYNIX INC. |
11036422 | Prioritization and source-nonspecific based virtual machine recovery apparatuses, methods and systems | DATTO, INC. |
11036423 | Dynamic recycling algorithm to handle overlapping writes during synchronous replication of application workloads with large number of files | NETAPP, INC. |
11036424 | Garbage collection in a distributed storage system | THE SILK TECHNOLOGIES ILC LTD |
11036425 | Storage devices, data storage systems and methods of operating storage devices | SAMSUNG ELECTRONICS CO., LTD. |
11036426 | Memory controller and method of operating the same | SK HYNIX INC. |
11036427 | Using content addressable memory to perform read-modify-write operations in non-volatile random access memory (NVRAM) | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11036429 | Memory control method, memory storage device and memory control circuit unit to determine a source block using interleaving information | -- |
11036430 | Performance capability adjustment of a storage volume | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11036431 | Accessing persistent memory via load and store | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11036432 | Low power mode for a memory device | MICRON TECHNOLOGY, INC. |
11036433 | Memory controller, data storage device, and storage system having the same | SK HYNIX INC. |
11036434 | Hierarchical memory systems | MICRON TECHNOLOGY, INC. |
11036435 | Search time optimization in solid-state devices | WESTERN DIGITAL TECHNOLOGIES, INC. |
11036436 | Seek scheduling in a split actuator drive | KABUSHIKI KAISHA TOSHIBA |
11036437 | Memory controller for storage device, storage device, control method of storage device, and recording medium | SK HYNIX INC. |
11036438 | Efficient storage architecture for high speed packet capture | FMAD ENGINEERING KABUSHIKI GAISHA |
11036439 | Automated management of bundled applications | ROBIN SYSTEMS, INC. |
11036440 | Image formation system having a plurality of image formation apparatuses and method for controlling them | KONICA MINOLTA, INC. |
11036441 | System and method for creation and invocation of predefined print settings via speech input | TOSHIBA TEC KABUSHIKI KAISHA |
11036442 | Transparent interactive printing interface | UNIVERSITY OF FLORIDA RESEARCH FOUNDATION, INCORPORATED |
11036443 | Image forming apparatus having test printing function, control method for the image forming apparatus, storage medium, and image forming system | CANON KABUSHIKI KAISHA |
11036444 | Image forming apparatus and control method for image forming apparatus | KYOCERA DOCUMENT SOLUTIONS INC. |
11036445 | Printing apparatus supporting cloud print service, method of controlling printing apparatus, and storage medium | CANON KABUSHIKI KAISHA |
11036446 | Printing system estimating and displaying power consumption consumed by print processing in image forming apparatus | KYOCERA DOCUMENT SOLUTIONS INC. |
11036447 | Document print restriction | MICROSOFT TECHNOLOGY LICENSING, LLC |
11036448 | Printing apparatus and method of controlling the same, and storage medium | CANON KABUSHIKI KAISHA |
11036449 | Image forming apparatus with multiple memories so that information can be transferred to one of the memories when space is available | KYOCERA DOCUMENT SOLUTIONS INC. |
11036450 | Mobile printer apparatus and system | -- |
11036451 | Display apparatus and control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11036452 | Display control system | SAMSUNG DISPLAY CO., LTD. |
11036453 | Bezel embedded head tracking fiducials | ROCKWELL COLLINS, INC. |
11036454 | Display control | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11036455 | Electronic apparatus and method for controlling thereof | SAMSUNG ELECTRONICS CO., LTD. |
11036456 | Control of a display device included in a display grid | SPLUNK INC. |
11036457 | Display device including plurality of modules and control method therefor | SAMSUNG ELECTRONICS CO., LTD. |
11036458 | User interface for screencast applications | GOOGLE LLC |
11036459 | Two-dimensional palette coding for screen content coding | VID SCALE, INC. |
11036460 | Device and method for detecting audio interface | -- |
11036461 | Zone grouping | SONOS, INC. |
11036462 | System and method for reducing power consumption in an audio system by disabling filter elements based on signal level | MAXIM INTEGRATED PRODUCTS, INC. |
11036463 | Terminal device, control method, and audio data reproduction system | SONY CORPORATION |
11036464 | Spatialized augmented reality (AR) audio menu | BOSE CORPORATION |
11036465 | Sleep detection system for wearable audio device | BOSE CORPORATION |
11036466 | Social media custom audio program | FACEBOOK, INC. |
11036467 | Audio track selection and playback | SONOS, INC. |
11036468 | Human-computer interface for navigating a presentation file | MICROSOFT TECHNOLOGY LICENSING, LLC |
11036469 | Parsing electronic conversations for presentation in an alternative interface | GOOGLE LLC |
11036490 | Proactive storage system-based software version analysis using machine learning techniques | EMC IP HOLDING COMPANY LLC |
11036523 | Systems and methods for adaptive user interfaces | GENERAL ELECTRIC COMPANY |
11036524 | Capturing and processing interactions with a user interface of a native application | FULLSTORY, INC. |
11036525 | Computer system providing hierarchical display remoting optimized with user and system hints and related methods | CITRIX SYSTEMS, INC. |
11036535 | Data storage method and apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
11036539 | Workflow engine framework | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
11036540 | Transaction commit operations with thread decoupling and grouping of I/O requests | SAP SE |
11036544 | Memory controller and method controlling suspend mode | SAMSUNG ELECTRONICS CO., LTD. |
11036572 | Method, device, and computer program product for facilitating prediction of disk failure | EMC IP HOLDING COMPANY LLC |
11036577 | Memory controller including ECC circuit, memory system having the same, and method of operating memory system and memory controller | SAMSUNG ELECTRONICS CO., LTD. |
11036580 | Metadata hardening and parity accumulation for log-structured arrays | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11036584 | Dynamically adjusting write requests for a multiple phase write operation | PURE STORAGE, INC. |
11036585 | Distributed storage system | HITACHI, LTD. |
11036586 | Storage device and operating method thereof | SK HYNIX INC. |
11036587 | Method, apparatus, and computer program product for managing storage system using partial drive failure prediction | EMC IP HOLDING COMPANY LLC |
11036593 | Performing data restore operations in memory | MICRON TECHNOLOGY, INC. |
11036600 | Preventing non-detectable data loss during site switchover | NETAPP, INC. |
11036616 | Tracing the data processing activities of a data processing apparatus | ARM LIMITED |
11036628 | Storage system having a host directly manage physical data locations of storage device | TOSHIBA MEMORY CORPORATION |
11036634 | Apparatus, system, and method to flush modified data from a volatile memory to a persistent second memory | INTEL CORPORATION |
11036635 | Selecting resources to make available in local queues for processors to use | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11036640 | Controller, operating method thereof, and memory system including the same | SK HYNIX INC. |
11036641 | Invalidating track format information for tracks demoted from cache | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11036652 | Secured access control in a storage system | THE SILK TECHNOLOGIES ILC LTD |
11036667 | System and method to scale baseboard management controller management of storage instrumentation | DELL PRODUCTS L.P. |
11036677 | Replicated data integrity | PURE STORAGE, INC. |
11036682 | Flexible energy information aggregation | ORACLE INTERNATIONAL CORPORATION |
11036691 | Heterogeneous distributed file system using different types of storage mediums | SAMSUNG ELECTRONICS CO., LTD. |
11036695 | Systems, methods, apparatuses, and/or interfaces for associative management of data and inference of electronic resources | -- |
11036703 | Method and system for lexical data processing | -- |
11036723 | Graphical user interface for recurring searches | AUREA SOFTWARE, INC. |
11036724 | Interactive visual search engine | MICROSOFT TECHNOLOGY LICENSING, LLC |
11036763 | Flexible organizational hierarchy | SAP SE |
11036785 | Batch search system for providing batch search interfaces | EBAY INC. |
11036792 | Method for designating and tagging album of stored photographs in touchscreen terminal, computer-readable recording medium, and terminal | VIMIO CO. LTD |
11036802 | Classification rules engine and API generator | MORGAN STANLEY SERVICES GROUP INC. |
11036806 | Search exploration using drag and drop | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11036807 | Metadata generation at the storage edge | MARVELL ASIA PTE LTD |
11036815 | Distribution method and system for content | -- |
11036821 | Ability to browse and randomly access a large hierarchy in near constant time in a stateless application | ORACLE INTERNATIONAL CORPORATION |
11036833 | Image processing system, information processing method, and non-transitory computer-readable medium | RICOH COMPANY, LTD. |
11036839 | Password authentication with input pattern analysis | BEIJING DIDI INFINITY TECHNOLOGY AND DEVELOPMENT CO., LTD. |
11036845 | Authentication methods and systems | LICENTIA GROUP LIMITED |
11036877 | Systems and methods for controlling access to information stored in an information retention system | VERITAS TECHNOLOGIES LLC |
11036896 | Computer aided systems and methods for creating custom products | BEST APPS, LLC |
11036899 | Method and system for determining optimal pathways for installing cables in an infrastructure | CYBERSECURE IPS, LLC |
11036914 | Automatic layout engine | SALESFORCE.COM, INC. |
11036918 | Multimodal sharing of content between documents | MICROSOFT TECHNOLOGY LICENSING, LLC |
11036922 | Route information interaction method, electronic device, and computer storage medium | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
11036928 | Method and system for configuring form filling application to minimize form filling effort | MOVEWORKS, INC. |
11036932 | Technology platform having integrated content creation features | BLOCKPAD LLC |
11036933 | User interface component autowiring | ORACLE INTERNATIONAL CORPORATION |
11036952 | Touch apparatus and touch detection integrated circuit thereof | -- |
11036954 | Electronic device and fingerprint authentication interface method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11036956 | Mobile terminal and radio frequency fingerprint identification apparatus and method thereof | XI'AN YEP TELECOMMUNICATION TECHNOLOGY., LTD |
11036962 | Fingerprint enrollment method, electronic apparatus and computer-readable storage medium thereof | -- |
11036975 | Human pose estimation | MICROSOFT TECHNOLOGY LICENSING, LLC |
11036985 | Head mounted display device for use in a medical facility | FENWAL, INC. |
11036988 | Cognitive load reducing platform for first responders | QWAKE TECHNOLOGIES, LLC |
11036991 | Information display method, device, and system | ADVANCED NEW TECHNOLOGIES CO., LTD. |
11037012 | Image acquisition system | ISORG |
11037045 | Cards and devices with magnetic emulators with zoning control and advanced interiors | DYNAMICS INC. |
11037073 | Data analysis system using artificial intelligence | APERIO GLOBAL, LLC |
11037091 | Delivery management systems and methods for zero-inventory distribution | DELIVERIGHT LOGISTICS, INC. |
11037101 | Systems, methods, and media for managing inventory associated with a facility | DIRECT SUPPLY, INC. |
11037116 | Payment transactions using an augmented reality device | PAYPAL, INC. |
11037201 | Method and system for distribution of application program for promotion and marketing service | GROUPON, INC. |
11037202 | Contextual data in augmented reality processing for item recommendations | PAYPAL, INC. |
11037232 | Smart membership management | MICROSOFT TECHNOLOGY LICENSING, LLC |
11037256 | Editing and representing property values for a digital map | MAPBOX, INC. |
11037263 | Systems and methods for displaying an identity relating to a service request | BEIJING DIDI INFINITY TECHNOLOGY AND DEVELOPMENT CO., LTD. |
11037265 | Information processing method, information processing apparatus, and storage medium | CANON KABUSHIKI KAISHA |
11037332 | Systems and methods for presenting map and other information based on pointing direction | -- |
11037333 | Method of applying graphic effect and electronic device performing same | SAMSUNG ELECTRONICS CO., LTD. |
11037342 | Visualization modules for use within a framework for displaying interactive visualizations of event data | SPLUNK INC. |
11037343 | Digital visualization of periodically updated in-season agricultural fertility prescriptions | THE CLIMATE CORPORATION |
11037347 | Augmenting a physical device with virtual information | VMWARE, INC. |
11037349 | Information displaying system and non-transitory recording medium | RICOH COMPANY, LTD. |
11037351 | System and method for directed storyline customization | -- |
11037362 | Method and apparatus for generating 3D virtual viewpoint image | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
11037364 | Image processing system for generating a virtual viewpoint image, method of controlling image processing system, and storage medium | CANON KABUSHIKI KAISHA |
11037365 | Method, apparatus, medium, terminal, and device for processing multi-angle free-perspective data | ALIBABA GROUP HOLDING LIMITED |
11037367 | Digital media environment for intuitive modifications of digital graphics | ADOBE INC. |
11037368 | Localization method and apparatus of displaying virtual object in augmented reality | SAMSUNG ELECTRONICS CO., LTD. |
11037369 | Virtual or augmented reality rehabilitation | ZIMMER US, INC. |
11037371 | Sensory stimulus management in head mounted display | SONY INTERACTIVE ENTERTAINMENT INC. |
11037389 | System controlled by data bearing records | UNISYN VOTING SOLUTIONS, INC. |
11037407 | Enhanced game randomization with machine mapping | SOCKEYE SOFTWARE DEVELOPMENT, LLC |
11037413 | Devices, methods, and graphical user interfaces for providing haptic feedback | APPLE INC. |
11037415 | Tactile presentation device | TOYODA GOSEI CO., LTD. |
11037457 | Incentive-based availability of communications device features | SECURUS TECHNOLOGIES, LLC |
11037459 | Feedback system and method for improving performance of dialogue-based tutors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11037466 | Training method and system for oral-cavity-imaging-and-modeling equipment | ALIGN TECHNOLOGY, INC. |
11037474 | Magnetic interconnected display panels | VOMELA SPECIALTY CO., INC. |
11037477 | Display apparatus, and method and system for controlling the same | SAMSUNG ELECTRONICS CO., LTD. |
11037480 | System and method for a six-primary wide gamut color system | BAYLOR UNIVERSITY |
11037489 | High strength transparent articles with digital display arrays and capabilities | -- |
11037519 | Display device having display based on detection value, program, and method of controlling device | SEIKO EPSON CORPORATION |
11037520 | Screen capture prevention | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11037528 | Display screen brightness processing method and terminal device | ZTE CORPORATION |
11037530 | Video processing circuit and method for handling multiple videos using single video processing path capable of increasing processing data rate and saving circuit area | -- |
11037532 | Information processing apparatus and information processing method | SONY CORPORATION |
11037556 | Speech recognition for vehicle voice commands | FORD GLOBAL TECHNOLOGIES, LLC |
11037561 | Method and apparatus for voice interaction control of smart device | GOERTEK INC. |
11037562 | Regulating assistant responsiveness according to characteristics of a multi-assistant environment | GOOGLE LLC |
11037565 | Intelligent digital assistant in a multi-tasking environment | APPLE INC. |
11037566 | Word-level correction of speech input | GOOGLE LLC |
11037569 | Operational support apparatus, operational support system, and operational support method | SHARP KABUSHIKI KAISHA |
11037572 | Outcome-oriented dialogs on a speech recognition platform | AMAZON TECHNOLOGIES, INC. |
11037601 | Spherical video editing | SNAP INC. |
11037625 | Solid state drive architectures | THSTYME BERMUDA LIMITED |
11037627 | Cell block allocation for hybrid dual write | WESTERN DIGITAL TECHNOLOGIES, INC. |
11037628 | Methods of operating nonvolatile memory devices, methods of operating storage device and storage devices | SAMSUNG ELECTRONICS CO., LTD. |
11037643 | Memory system for controlling magnetic memory | TOSHIBA MEMORY CORPORATION |
11037646 | Memory controller, operating method of memory controller and memory system | SAMSUNG ELECTRONICS CO., LTD. |
11037662 | Medical monitoring system, method of displaying monitoring data, and monitoring data display device | SHENZHEN MINDRAY BIO-MEDICAL ELECTRONICS CO., LTD. |
11037678 | Medical device with interfaces for capturing vital signs data and affirmatively skipping parameters associated with the vital signs data | WELCH ALLYN, INC. |
11037696 | Transparent electrodes and electronic devices including the same | SAMSUNG ELECTRONICS CO., LTD. |
11037743 | Electronic device | DYNABOOK INC. |
11037995 | Organic light-emitting display panel and display apparatus | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
11037996 | Display device having an input sensing unit | SAMSUNG DISPLAY CO., LTD. |
11037997 | Display apparatus | LG DISPLAY CO., LTD. |
11038151 | Display device | SAMSUNG DISPLAY CO., LTD. |
11038366 | Electronic device for controlling communication connection with input device and method of controlling same | SAMSUNG ELECTRONICS CO., LTD. |
11038527 | Electronic device and method for compressing sampled data | HONEYWELL FEDERAL MANUFACTURING & TECHNOLOGIES, LLC |
11038704 | Video conference system | LOGITECH EUROPE S.A. |
11038824 | Inline responses to video or voice messages | GOOGLE LLC |
11038830 | Creating and sharing customizable chat spaces | NEXTIVA, INC. |
11038939 | Analyzing video, performing actions, sending to person mentioned | -- |
11038945 | Interfacing with remote content management systems | SHINYDOCS |
11038946 | Approach for providing access to cloud services on end-user devices using local management of third-party services and conflict checking | RICOH COMPANY, LTD. |
11038955 | Method and a system for controlling multimedia file on external screen device | SCREENOVATE TECHNOLOGIES LTD. |
11038962 | Methods and systems for processing data requests | COMCAST CABLE COMMUNICATIONS, LLC |
11038971 | Displaying interactive map for passengers in commercial passenger vehicle | PANASONIC AVIONICS CORPORATION |
11038973 | Contact event feeds and activity updates | DROPBOX, INC. |
11038988 | System and methods for providing user analytics and performance feedback for web applications | CITRIX SYSTEMS, INC. |
11039000 | User terminal device and control method therefor | SAMSUNG ELECTRONICS CO., LTD. |
11039006 | Display panel module and electronic device | HUAWEI TECHNOLOGIES CO., LTD. |
11039024 | Imaging forming apparatus having operation detector and human body detector and method for controlling the same | CANON KABUSHIKI KAISHA |
11039029 | Control system, and image forming apparatus that protect image forming apparatus installed in area where abnormality has occurred, from secondary disaster | KYOCERA DOCUMENT SOLUTIONS INC. |
11039030 | Image printing apparatus and method for controlling image printing apparatus | CANON KABUSHIKI KAISHA |
11039031 | Information processing system, information processing method, and information processing apparatus, to easily create a workflow that coordinates a plurality of targets and a plurality of processes | KYOCERA DOCUMENT SOLUTIONS INC. |
11039036 | Encrypting and decrypting postscript language | HYDRAGRAPHIX LLC |
11039041 | Display panel synchronization for a display device | INTEL CORPORATION |
11039053 | Remotely identifying a location of a wearable apparatus | ORCAM TECHNOLOGIES LTD. |
11039069 | Wide-angle image processing method and apparatus therefor | HANWHA TECHWIN CO., LTD. |
11039070 | Electronic device for selecting a candidate from a candidate group and changing a candidate group, control method of electronic device, and non-transitory computer readable medium | CANON KABUSHIKI KAISHA |
11039072 | Display control apparatus, display control method, and computer program | SONY CORPORATION |
11039073 | Electronic apparatus and method for controlling the same | CANON KABUSHIKI KAISHA |
11039074 | User interfaces for managing media | APPLE INC. |
11039075 | Method and system for controlling devices for tracking synchronous movements | UNIVERSITY OF TABUK |
11039113 | Multi-dimensional rendering | SNAP INC. |
11039190 | Methods and apparatus to determine a unique audience for internet-based media | THE NIELSEN COMPANY (US), LLC |
11039195 | Cable for connecting an image displaying device to a digital computer network | INNSPIRE INTELLIGENT HOTELS AB |
11039214 | Automatically playing partially visible videos | GOOGLE LLC |
11039233 | Sound bar with various couplings | -- |
11039239 | Headset location-based device and application control | GN AUDIO A/S |
11039240 | Adaptive headphone system | BOSE CORPORATION |
11039243 | Apparatus for providing an audio signal for reproduction by a sound transducer, system, method and computer program | FRAUNHOFER-GESELLSCHAFT ZUR FOFRDFRTTNG DER ANGEWANDTEN FORSCHUNG E.V. |
11039244 | Manual characterization of perceived transducer distortion | DOLBY LABORATORIES LICENSING CORPORATION |
11039262 | Directional acoustic sensor and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11039264 | Method of providing to user 3D sound in virtual environment | -- |
11039265 | Spatialized audio assignment | BOSE CORPORATION |
11039267 | Head pose mixing of audio files | MAGIC LEAP, INC. |
11039279 | Automated tracking of vehicle operation and synchronized media delivery | BLUEOWL, LLC |
11039283 | User interfaces for a messaging application | APPLE INC. |
11039520 | Electronic devices for controlling lights | AMAZON TECHNOLOGIES, INC. |
11039651 | Artificial reality hat | FACEBOOK TECHNOLOGIES, LLC |
11039677 | Adjustable backpacks | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11039778 | User interfaces for health monitoring | APPLE INC. |
11039895 | Industrial remote control robot system | KAWASAKI JUKOGYO KABUSHIKI KAISHA |
11039974 | Full or partial body physical feedback system and wearable exoskeleton | BRAVE VIRTUAL WORLDS, LLC |
11040214 | Wearable cardioverter defibrillator (WCD) system having main UI that conveys message and peripheral device that amplifies the message | WEST AFFUM HOLDINGS CORP. |
11040246 | Increasing accuracy in workout autodetection systems and methods | ADIDAS AG |
11040264 | Player matching device and player matching method | GOLFZON CO., LTD. |
11040276 | Augmented reality system and method of operation thereof | LOS GATOS |
11040277 | Display of a three dimensional recording in a system for rehabilitation | FOREN METHOD S.L. |
11040284 | Information processing system, storage medium having stored therein information processing program, information processing apparatus, and information processing method | NINTENDO CO., LTD. |
11040290 | Network-controllable physical resources for sensory service | AT&T INTELLECTUAL PROPERTY I, L.P. |
11040292 | System for obtaining an interaction between a person in charge and a child by means of a toy | -- |
11040444 | Flux sensing system | LUCOMM TECHNOLOGIES, INC. |
11040620 | User interface apparatus for vehicle, and vehicle | LG ELECTRONICS INC. |
11040621 | Input device having an actuation part and a magnetic measuring field for determining a position parameter of the actuation part | PREH GMBH |
11040622 | Operator control device for controlling functions of a motor vehicle and method for operating same | BAYERISCHE MOTOREN WERKE AKTIENGESELLSCHAFT |
11040658 | Vehicle obstacle informing device | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11040739 | Parking assist device, parking assist method, and driving assist device | AISIN SEIKI KABUSHIKI KAISHA |
11041653 | Building automation system with hybrid disaster recovery | JOHNSON CONTROLS TECHNOLOGY COMPANY |
11041712 | Mobile device building layout determination systems and methods | JOHNSON CONTROLS TECHNOLOGY COMPANY |
11041732 | Facilitating rider pick-up for a transport service | UBER TECHNOLOGIES, INC. |
11041751 | Food product scale | ILLINOIS TOOL WORKS INC. |
11041768 | Pressure-sensitive sensor and hand | SEIKO EPSON CORPORATION |
11042031 | Eye tracking system and method, eyeglass lens, and wearable heads-up display | GOOGLE LLC |
11042034 | Head mounted display calibration using portable docking station with calibration target | FACEBOOK TECHNOLOGIES, LLC |
11042035 | See-through computer display systems with adjustable zoom cameras | MENTOR ACQUISITION ONE, LLC |
11042038 | Display control apparatus and display control method | SONY INTERACTIVE ENTERTAINMENT INC. |
11042039 | Varifocal display with actuated reflectors | FACEBOOK TECHNOLOGIES, LLC |
11042046 | Wearable devices such as eyewear customized to individual wearer parameters | OAKLEY, INC. |
11042056 | Photonic crystal-enabled display stitching | UNITED STATES OF AMERICA AS REPRESENTED BY THE SECRETARY OF THE AIR FORCE |
11042057 | Pressure detection module and touch input device including the same | HIDEEP INC. |
11042060 | Display device | LG ELECTRONICS INC. |
11042130 | Automatic adjustment of head mounted display straps | INTEL CORPORATION |
11042185 | User terminal device and displaying method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11042186 | Electronic device and control method thereof for changing user interface when device is under water | SAMSUNG ELECTRONICS CO., LTD. |
11042187 | Head-mounted display device with voice coil motors for moving displays | FACEBOOK TECHNOLOGIES, LLC |
11042191 | Information handling system with multiple detachable displays | DELL PRODUCTS L.P. |
11042192 | Electronic device with flexible display and method for operating same | SAMSUNG ELECTRONICS CO., LTD. |
11042197 | Composite hinge assembly, multi-functional keypad and tablet computer kit | JRD COMMUNICATION (SHENZHEN) LTD |
11042205 | Intelligent user mode selection in an eye-tracking system | TOBII AB |
11042206 | Image forming apparatus, method of controlling image forming apparatus, and storage medium | CANON KABUSHIKI KAISHA |
11042214 | VR movement platform | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11042215 | Image processing method and apparatus, storage medium, and electronic device | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
11042216 | Eye-tracking method and device for reducing operating workload | -- |
11042217 | Calibration method and apparatus, terminal equipment and storage medium | BEIJING 7INVENSUN TECHNOLOGY CO., LTD. |
11042218 | Apparatus and methods for enhancing digit separation and reproduction | TACTUAL LABS CO. |
11042219 | Smart wearable apparatus, smart wearable equipment and control method of smart wearable equipment | -- |
11042220 | Three-dimensional input device | -- |
11042221 | Methods, devices, and systems for displaying a user interface on a user and detecting touch gestures | FACEBOOK TECHNOLOGIES, LLC |
11042222 | Sub-display designation and sharing | MICROSOFT TECHNOLOGY LICENSING, LLC |
11042223 | Electronic device for recognizing user's gesture | SAMSUNG ELECTRONICS CO., LTD. |
11042224 | Latency and preemptive detection for an input device | LOGITECH EUROPE S.A. |
11042225 | System and method for keyboard mode switching | -- |
11042226 | Constellation-based augmentation of mouse form-factor for virtual reality applications | MICROSOFT TECHNOLOGY LICENSING, LLC |
11042227 | Wireless virtual mouse | SHENZHEN POLYTECHNIC |
11042228 | Low-power written input for an electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11042229 | Display apparatus and control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11042230 | Cognitive stylus with sensors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11042231 | Stylus structure for transmitting a color signal | -- |
11042232 | In-vehicle control apparatus using detachable knob and method of controlling the same | HYUNDAI MOTOR COMPANY |
11042233 | Finger-mounted device with fabric | APPLE INC. |
11042234 | Touch display including electrostatic discharge protection and electronic device comprising same | SAMSUNG ELECTRONICS CO., LTD. |
11042235 | Heated ATM touch screen | CAPITAL ONE SERVICES, LLC |
11042236 | Flexible touch display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11042237 | Display device | SAMSUNG DISPLAY CO., LTD. |
11042238 | Display panel and display device | XIAMEN TIANMA MICRO-ELECTRONICS CO., LTD |
11042239 | Information processing device and operation management method for a curved touch panel | CANON KABUSHIKI KAISHA |
11042240 | Electronic device and method for determining underwater shooting | SAMSUNG ELECTRONICS CO., LTD. |
11042241 | Display device including touch sensor and driving method thereof | SAMSUNG DISPLAY CO., LTD. |
11042242 | Touch panel device and method for calculating touch position coordinate of touch panel | LG DISPLAY CO., LTD. |
11042243 | Meta projector and electronic apparatus including the same | SAMSUNG ELECTRONICS CO., LTD. |
11042244 | Terminal device and touch input method | SONY CORPORATION |
11042245 | Mutual capacitive touch panel | ILI TECHNOLOGY HOLDING CORPORATION |
11042246 | Touch pad for detecting contact and contactless touches, method of detecting touch by using touch pad, and display device including touch pad | G2TOUCH CO., LTD. |
11042247 | Cluster-based sensor assignment | VALVE CORPORATION |
11042248 | Single sided capacitive sensor | TACTUAL LABS CO. |
11042249 | Identifying users using capacitive sensing in a multi-view display system | SAMSUNG ELECTRONICS CO., LTD. |
11042250 | Dynamic user interface adaptable to multiple input tools | APPLE INC. |
11042251 | In-cell touch panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11042252 | Display device | SAMSUNG DISPLAY CO., LTD. |
11042253 | Display device | SAMSUNG DISPLAY CO., LTD. |
11042254 | Touch display devices | -- |
11042255 | Display device including position input function | SHARP KABUSHIKI KAISHA |
11042256 | Systems and methods for chat message management and document generation on devices | FUJI XEROX CO., LTD. |
11042257 | Translating application resources and inspecting the functionality of the resource translations | PARALLELS INTERNATIONAL GMBH |
11042258 | Online wizard for facilitating methodology implementation | MICROSOFT TECHNOLOGY LICENSING, LLC |
11042259 | Visual hierarchy design governed user interface modification via augmented reality | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11042260 | Method for providing graphical user interface (GUI), and multimedia apparatus applying the same | SAMSUNG ELECTRONICS CO., LTD. |
11042261 | Generating content to be shared between users | FACEBOOK, INC. |
11042262 | Menu modification based on controller manipulation data | OPENTV, INC. |
11042263 | Graphical user interface to track dynamic data | IPREO LLC |
11042264 | Method and system for presenting and operating a skill-based activity | VETNOS LLC |
11042265 | Systems, methods, and user interfaces for interacting with multiple application windows | APPLE INC. |
11042266 | Media browsing user interface with intelligently selected representative media items | APPLE INC. |
11042267 | Interface display for infusion module | CAREFUSION 303, INC. |
11042268 | Document display device | FUJIFILM BUSINESS INNOVATION CORP. |
11042270 | History component for single page application | SALESFORCE.COM, INC. |
11042271 | Multiple stakeholders for a single business process | SALESFORCE.COM, INC. |
11042272 | Adjusting user interface for touchscreen and mouse/keyboard environments | GOOGLE LLC |
11042273 | Alternate presentation types for human workflow activities | PROGRESS SOFTWARE CORPORATION |
11042274 | Extracting demonstrations from in-situ video content | AUTODESK, INC. |
11042275 | Calling attention to a section of shared data | MICROSOFT TECHNOLOGY LICENSING, LLC |
11042276 | Instant-messaging-based picture sending method and device | ADVANCED NEW TECHNOLOGIES CO., LTD. |
11042277 | Display apparatus for performing function of user selected menu item on a user interface and method for controlling display apparatus | SAMSUNG ELECTRONICS CO., LTD. |
11042278 | Providing graphical indication of label boundaries in digital maps | MAPBOX, INC. |
11042279 | Generating graphical marks for graphical views of a data source | TABLEAU SOFTWARE, INC. |
11042280 | Harvesting machine with visualization system | AGCO CORPORATION |
11042281 | Weather user interface | APPLE INC. |
11042282 | Information processor for changing scroll amount upon receiving touch operation performed on return key or forward key | KYOCERA DOCUMENT SOLUTIONS INC. |
11042283 | Navigating galleries of digital content | DROPBOX, INC. |
11042284 | Electronic device and method for displaying object for providing split screen | SAMSUNG ELECTRONICS CO., LTD. |
11042285 | System and method for controlling a human machine interface (HMI) device | JOYSON SAFETY SYSTEMS ACQUISITION LLC |
11042286 | Methods and graphical user interfaces for pointing and editing on computing devices with touch-sensitive displays | -- |
11042287 | Information processing device, information processing method, and program for displaying of coupling and decoupling of lists | SONY CORPORATION |
11042288 | Information processing method and electronic device for obtaining a touch gesture operation on a suspended button | HUAWEI TECHNOLOGIES CO., LTD. |
11042289 | Electronic device, character input control method, and non-transitory storage medium | KYOCERA CORPORATION |
11042290 | Touch screen track recognition method and apparatus | BEIJING KINGSOFT OFFICE SOFTWARE, INC. |
11042291 | Text input method in touch screen terminal and apparatus therefor | SAMSUNG ELECTRONICS CO., LTD. |
11042292 | Method and system for ink data generation, ink data rendering, ink data manipulation and ink data communication | WACOM CO., LTD. |
11042293 | Display method and electronic device | LENOVO (BEIJING) CO., LTD. |
11042294 | Display device and method of displaying screen on said display device | SAMSUNG ELECTRONICS CO., LTD. |
11042295 | Control method, electronic device and non-transitory computer readable storage medium | -- |
11042296 | System and method of handling journal space in a storage cluster with multiple delta log instances | EMC IP HOLDING COMPANY LLC |
11042297 | Techniques to configure a solid state drive to operate in a storage mode or a memory mode | INTEL CORPORATION |
11042298 | Access schemes for drive-specific read/write parameters | SEAGATE TECHNOLOGY LLC |
11042299 | Removable media based object store | QUANTUM CORPORATION |
11042300 | Command load balancing for NVME dual port operations | TOSHIBA MEMORY CORPORATION |
11042301 | Host clock effective delay range extension | MICRON TECHNOLOGY, INC. |
11042302 | Accessing non-volatile memory express controller memory manager | WESTERN DIGITAL TECHNOLOGIES, INC. |
11042304 | Determining a transfer rate for channels of a memory system | TOSHIBA MEMORY CORPORATION |
11042305 | Memory system and method for controlling nonvolatile memory | TOSHIBA MEMORY CORPORATION |
11042306 | Memory management | MICRON TECHNOLOGY, INC. |
11042307 | System and method for facilitating improved utilization of NAND flash based on page-wise operation | ALIBABA GROUP HOLDING LIMITED |
11042308 | Memory management apparatus and memory management method | -- |
11042309 | Recovery of virtual machine files using disk attachment | ACRONIS INTERNATIONAL GMBH |
11042310 | Reading of start-up information from different memory regions of a memory system | KIOXIA CORPORATION |
11042311 | Cluster system with calculation and storage converged | HUAWEI TECHNOLOGIES CO., LTD. |
11042312 | DRAM bank activation management | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11042313 | Method and apparatus for configuring write performance for electrically writable memory devices | MICRON TECHNOLOGY, INC. |
11042314 | Generation, validation and implementation of storage-orchestration strategies using virtual private array (VPA) in a dynamic manner | THE SILK TECHNOLOGIES ILC LTD |
11042315 | Dynamically programmable memory test traffic router | INTEL CORPORATION |
11042316 | Reordered data deduplication in storage devices | SEAGATE TECHNOLOGY LLC |
11042317 | Memory system for reducing fragmentation based on sequential index and operating method thereof | SK HYNIX INC. |
11042318 | Block-level data replication | COMMVAULT SYSTEMS, INC. |
11042319 | Managing storage devices in storage systems | EMC IP HOLDING COMPANY LLC |
11042320 | Problem diagnosis in complex SAN environments | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11042321 | Memory controller | TOSHIBA MEMORY CORPORATION |
11042322 | SSD supporting low latency operation | TOSHIBA MEMORY CORPORATION |
11042323 | Offload defrag operation for host-managed storage | INTEL CORPORATION |
11042324 | Managing a raid group that uses storage devices of different types that provide different data storage characteristics | EMC IP HOLDING COMPANY LLC |
11042325 | Speculative bank activate dynamic random access memory (DRAM) scheduler | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11042326 | Data storage device and operating method thereof | SK HYNIX INC. |
11042327 | IO operation cloning using change information sharing with a storage system | EMC IP HOLDING COMPANY LLC |
11042328 | Storage apparatus and method for autonomous space compaction | SAMSUNG ELECTRONICS CO., LTD. |
11042329 | Reordering a sequence of files based on compression rates in data transfer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11042330 | Methods and systems for distributed data storage | SAMSUNG ELECTRONICS CO., LTD. |
11042331 | Memory device managing data in accordance with command and non-transitory computer readable recording medium | TOSHIBA MEMORY CORPORATION |
11042333 | Electronic apparatus, program, and image processing method for aggregate printing using a target range | SEIKO EPSON CORPORATION |
11042334 | Information processing apparatus, image forming apparatus, and non-transitory computer readable medium | FUJI XEROX CO., LTD. |
11042335 | Image forming system which continues image formation of confidential document under condition that presence of executor is confirmed | KYOCERA DOCUMENT SOLUTIONS INC. |
11042336 | Information processing apparatus and method | CANON KABUSHIKI KAISHA |
11042337 | Print image control device, method and computer product for projecting an overlap deleted print image onto an object to be printed | BROTHER KOGYO KABUSHIKI KAISHA |
11042338 | Font processing during printer redirection in virtual desktop environments | VMWARE, INC. |
11042339 | Client apparatus, non-transitory computer readable recording medium that records remote adjustment mode management program, and remote adjustment mode management system | KYOCERA DOCUMENT SOLUTIONS INC. |
11042340 | Generating navigation user interfaces for third-party applications | APPLE INC. |
11042341 | Integrated functionality of center display, driver display, and shared-experience display | -- |
11042342 | Communication terminal, image communication system, display method, and non-transitory recording medium | RICOH COMPANY, LTD. |
11042343 | Data transfer system, display device, portable information terminal, and data transfer method | SHARP NEC DISPLAY SOLUTIONS, LTD. |
11042344 | Data transfer system, display device, portable information terminal, and data transfer method | SHARP NEC DISPLAY SOLUTIONS, LTD. |
11042345 | Systems, devices, and methods for interactive visual displays | GOOGLE LLC |
11042346 | Artificial cochlea | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11042347 | Restoring a disabled display device speaker | ROKU, INC. |
11042348 | Audio control in vehicles | BAYERISCHE MOTOREN WERKE AKTIENGESELLSCHAFT |
11042349 | Source coordination of audio playback | B&W GROUP LTD. |
11042350 | Intelligent audio control in vehicles | MICRON TECHNOLOGY, INC. |
11042351 | Fast-resume audio playback | SONOS, INC. |
11042352 | Group coordinator selection | SONOS, INC. |
11042353 | Monitoring loudness level during media replacement event using shorter time constant | ROKU, INC. |
11042354 | Audio adjustment control for wireless device | SONY CORPORATION |
11042355 | Handling of loss of pairing between networked devices | SONOS, INC. |
11042363 | Systems, devices, and methods for software coding | MASSACHUSETTS MUTUAL LIFE INSURANCE COMPANY |
11042388 | Framework providing application programming interface for user interfaces and animation | APPLE INC. |
11042420 | System, method and recording medium for temperature-aware task scheduling | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11042423 | Non-disruptive explicit feedback system | SAP SE |
11042437 | Metadata hardening and parity accumulation for log-structured arrays | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11042441 | Stripe mapping in memory | MICRON TECHNOLOGY, INC. |
11042449 | Database protection using block-level mapping | COMMVAULT SYSTEMS, INC. |
11042478 | Managing storage devices | GOOGLE LLC |
11042493 | Memory system | SK HYNIX INC. |
11042501 | Group-based data replication in multi-tenant storage systems | MICROSOFT TECHNOLOGY LICENSING, LLC |
11042509 | Mobile learning system | ADP, LLC |
11042512 | Enabling granular snapshots and provisioning in NAS (network attached storage) clusters | EMC IP HOLDING COMPANY LLC |
11042519 | Reinforcement learning for optimizing data deduplication | CISCO TECHNOLOGY, INC. |
11042532 | Processing event messages for changed data objects to determine changed data objects to backup | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11042541 | Electronic device and method for controlling the same | SAMSUNG ELECTRONICS CO., LTD. |
11042564 | Transaction associations in waveform displays | XILINX, INC. |
11042565 | Electronic device and container-based data management method by electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11042582 | Method and device for categorizing multimedia resources | ALIBABA GROUP HOLDING LIMITED |
11042584 | Systems and methods for random access of slide content in recorded webinar presentations | -- |
11042586 | Clustering search results based on image composition | SHUTTERSTOCK, INC. |
11042587 | Performing behavior analysis on audio track data to obtain a name of an application | HONOR DEVICE CO., LTD. |
11042588 | Apparatus, method, and computer program product for video enhanced photo browsing | NOKIA TECHNOLOGIES OY |
11042598 | Method and system for click-thru capability in electronic media | REFINITIV US ORGANIZATION LLC |
11042599 | Identifying relevant messages in a conversation graph | TWITTER, INC. |
11042616 | Detection of replay attack | CIRRUS LOGIC, INC. |
11042622 | Authenticating users and improving virtual reality experiences via ocular scans and pupillometry | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11042623 | Expression recognition in messaging systems | FACETOFACE BIOMETRICS, INC. |
11042627 | System and method for implementing keyboard linked authentication challenges | PAYPAL, INC. |
11042632 | Auto-recording of media data | GOPRO, INC. |
11042646 | Selecting data storage based on data and storage classifications | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11042649 | Systems and methods for secure display of data on computing devices | MASSACHUSETTS MUTUAL LIFE INSURANCE COMPANY |
11042656 | Data porch for throttling data access | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11042669 | Cryptographic ASIC with unique internal identifier | BLOCKCHAIN ASICS INC. |
11042694 | Document beautification using smart feature suggestions based on textual analysis | ADOBE INC. |
11042695 | Information processing apparatus and non-transitory computer readable medium for generating input screen information | FUJIFILM BUSINESS INNOVATION CORP. |
11042697 | Determining an extraction rule from positive and negative examples | SPLUNK INC. |
11042698 | System and method of contextually converting a media file into an electronic document | -- |
11042699 | Systems, devices, and methods for software coding | MASSACHUSETTS MUTUAL LIFE INSURANCE COMPANY |
11042703 | Method and device for generating natural language expression by using framework | SAMSUNG ELECTRONICS CO., LTD. |
11042704 | Device independent text suggestion service for an application hosting platform | CITRIX SYSTEMS, INC. |
11042705 | Electronic device, recognition method, and non-transitory computer-readable storage medium | DYNABOOK INC. |
11042729 | Classifying facial expressions using eye-tracking cameras | GOOGLE LLC |
11042732 | Gesture recognition based on transformation between a coordinate system of a user and a coordinate system of a camera | INTEL CORPORATION |
11042743 | Information processing device, information processing method, and program for preventing deterioration of visual recognition in a scene | SONY CORPORATION |
11042747 | Masking method for augmented reality effects | DISNEY ENTERPRISES, INC. |
11042790 | Methods and systems for handling printing of large-size objects | XEROX CORPORATION |
11042834 | Voice-enabled substitutions with customer notification | VOCOLLECT, INC. |
11042838 | Merging events in interactive data processing systems | AMAZON TECHNOLOGIES, INC. |
11042847 | Data processing methods, apparatuses, and terminal devices | ADVANCED NEW TECHNOLOGIES CO., LTD. |
11042885 | Digital credential system for employer-based skills analysis | PEARSON EDUCATION, INC. |
11042906 | Method for serving interactive content to a user | YIELDMO, INC. |
11042914 | Dimensionless window and door installation estimation | -- |
11042955 | Manipulating display content of a graphical user interface | NEXTLABS, INC. |
11042959 | Zoom-adaptive data granularity to achieve a flexible high-performance interface for a geospatial mapping system | PALANTIR TECHNOLOGIES INC. |
11042960 | Scaling content on touch-based systems | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11042963 | Information processing device, information processing system, and non-transitory computer readable medium | FUJIFILM BUSINESS INNOVATION CORP. |
11042992 | Method and system for detecting peripheral device displacement | LOGITECH EUROPE S.A. |
11042994 | Systems and methods for gaze tracking from arbitrary viewpoints | TOYOTA RESEARCH INSTITUTE, INC. |
11043018 | Video pipeline | APPLE INC. |
11043024 | Method, apparatus, and storage medium for processing image in a virtual reality system | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11043031 | Content display property management | GOOGLE LLC |
11043033 | Information processing device and information processing method capable of deciding objects arranged in virtual space generated based on real space | SONY CORPORATION |
11043036 | Artificial intelligence enhanced system for adaptive control driven AR/VR visual aids | EYEDAPTIC, INC. |
11043040 | Extended reality based positive affect implementation for product development | ACCENTURE GLOBAL SOLUTIONS LIMITED |
11043068 | Interactive application processing method and apparatus, and storage medium | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
11043086 | Voice-coded finder and radiotag tracker | PB INC. |
11043088 | Self adapting haptic device | APPLE INC. |
11043099 | Systems and methods for issuing proactive parental control alerts | NORTONLIFELOCK INC. |
11043116 | Methods, systems, and media for controlling a remote device using a touchscreen of a mobile device in a display inhibited state | GOOGLE LLC |
11043150 | Self-supporting system and a method for the mass communication and distribution of content and digital advertising in public spaces | POPAYAN |
11043158 | Video bandwidth optimization for multi-monitor systems | INTEL CORPORATION |
11043167 | Organic light emitting diode display | SAMSUNG DISPLAY CO., LTD. |
11043177 | Shift register | -- |
11043182 | Display of multiple local instances | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11043183 | Mitigation of screen burn-in for a foldable IHS | DELL PRODUCTS L.P. |
11043192 | Corner-identifiying gesture-driven user interface element gating for artificial reality systems | FACEBOOK TECHNOLOGIES, LLC |
11043193 | Matching content to a spatial 3D environment | MAGIC LEAP, INC. |
11043194 | Image display system, storage medium having stored therein image display program, image display method, and display device | NINTENDO CO., LTD. |
11043206 | Systems and methods for crowdsourced actions and commands | AIQUDO, INC. |
11043210 | Sound processing apparatus utilizing an electroencephalography (EEG) signal | OTICON A/S |
11043213 | System and method for detection and correction of incorrectly pronounced words | SOUNDHOUND, INC. |
11043216 | Voice feedback for user interface of media playback device | SPOTIFY AB |
11043217 | Voice assistant for records | FINANCIALFORCE.COM, INC. |
11043244 | Tap to advance by subtitles | SNAP INC. |
11043271 | Reusing a cell block for hybrid dual write | WESTERN DIGITAL TECHNOLOGIES, INC. |
11043275 | Memory system, read method, program, and memory controller | TOSHIBA MEMORY CORPORATION |
11043284 | Methods and systems for biological data analysis | QIAGEN REDWOOD CITY, INC. |
11043288 | Automated clinical documentation system and method | NUANCE COMMUNICATIONS, INC. |
11043290 | Location-based healthcare collaboration, data management and access control | IMPRIVATA, INC. |
11043293 | Healthcare provider interface for treatment option and authorization | BOARD OF REGENTS OF THE UNIVERSITY OF NEBRASKA |
11043341 | Keyboard baseplates including a concave structure for housing a logic substrate | LENOVO (SINGAPORE) PTE. LTD. |
11043543 | Touch sensor and touch panel | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11043544 | Organic light emitting diode display module, manufacturing method thereof and electronic device | SHENZHEN ROYOLE TECHNOLOGIES CO., LTD. |
11043656 | Display device incorporating a scattering pattern | SAMSUNG DISPLAY CO., LTD. |
11043945 | Capacitance-variable pressure sensor | -- |
11044029 | Method for the locationally selective transmission of a signal by radio, method for the locationally selective reception of a signal by radio, and transmitter/receiver unit for carrying out such a method | ROBERT BOSCH GMBH |
11044114 | Rule-based graphical conversational user interface for security and automation system | VIVINT, INC. |
11044176 | Apparatuses, methods, and computer program products for dynamic generation and traversal of object dependency data structures | ATLASSIAN PTY LTD |
11044215 | Methods, systems, and computer program products for processing a data object identification request in a communication | MOTION OFFENSE, LLC |
11044219 | Message forwarding method and electronic device | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
11044242 | Integrating patient portal access into EHR graphical user interfaces | ALLSCRIPTS SOFTWARE, LLC |
11044321 | Speech processing performed with respect to first and second user profiles in a dialog session | AMAZON TECHNOLOGIES, INC. |
11044325 | System for determining action based on context, vehicle for performing action determined based on context, and method of determining action based on context | HYUNDAI MOTOR COMPANY |
11044336 | Systems, methods, and apparatuses for capturing data change events in a cloud based computing environment | SALESFORCE.COM, INC. |
11044358 | Mobile terminal and method for providing user interface using the same, server and method for providing mobile service using the same | NAVER CORPORATION |
11044368 | Application processor supporting low power echo cancellation, electronic device including the same and method of operating the same | SAMSUNG ELECTRONICS CO., LTD. |
11044371 | Server apparatus, maintenance management system, and non-transitory computer readable recording medium that records the maintenance management program | KYOCERA DOCUMENT SOLUTIONS INC. |
11044373 | Image forming apparatus and image forming method | TOSHIBA TEC KABUSHIKI KAISHA |
11044375 | Multifunctional apparatus having external recording medium slot | SHARP KABUSHIKI KAISHA |
11044376 | Information processing system, method for controlling information processing system, management server, method for controlling management server, and non-transitory storage | CANON KABUSHIKI KAISHA |
11044377 | Electronic apparatus and image forming apparatus causing display to display up-to-date operation procedure | KYOCERA DOCUMENT SOLUTIONS INC. |
11044381 | Information processing apparatus, storage medium, and information processing system | RICOH COMPANY, LTD. |
11044392 | Electronic apparatus, method for controlling same, enabling a user to easily identify the amount of zooming operation | CANON KABUSHIKI KAISHA |
11044402 | Power management for optical position tracking devices | VALVE CORPORATION |
11044405 | Location systems for electronic device interactions with environment | APPLE INC. |
11044420 | Real time video special effects system and method | -- |
11044442 | Method and apparatus for providing video conferencing | AT&T INTELLECTUAL PROPERTY I, L.P. |
11044449 | Optimized computer display rendering for user vision conditions | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11044451 | Proximity-based control of media devices for media presentations | JAWB ACQUISITION LLC |
11044464 | Dynamic content modification of image and video based multi-view interactive digital media representations | FYUSION, INC. |
11044513 | Platform for publishing graphics to air | TURNER BROADCASTING SYSTEM, INC. |
11044515 | Transmission/reception system, transmitter, and transmission/reception method | NEW MEDIA CO., LTD. |
11044531 | Personalized home shopping providing apparatus | ANYPOINT MEDIA CO., LTD. |
11044544 | Headphones with interactive display | -- |
11044545 | Headphones restricted to use with a particular controlled-environment facility resident communication and/or media device | SECURUS TECHNOLOGIES, LLC |
11044559 | Systems and methods for facilitating configuration of an audio system | DISH NETWORK L.L.C. |
11044765 | Terminal apparatus, communication system, storage medium, and method of controlling terminal apparatus | SEIKO EPSON CORPORATION |
11044796 | Display device control based on integrated ambient light detection and lighting source characteristics | APPLE INC. |
11044822 | Electronic device with flexible display structures | APPLE INC. |
11045117 | Systems and methods for determining axial orientation and location of a user's wrist | APPLE INC. |
11045118 | Systems, devices, and methods for determining hearing ability and treating hearing loss | -- |
11045127 | Method for predicting arousal level and arousal level prediction apparatus | PANASONIC INTELLECTUAL PROPERTY |
11045340 | Adding accessibility properties to a software application | INTUIT INC. |
11045602 | Ambulatory medical device with therapy data sharing via wireless wide area network | BETA BIONICS, INC. |
11045719 | Method and computer device for controlling a touch screen | KING.COM LTD. |
11045720 | Apparatus and method for controlling user interface of computing apparatus | SUPERCELL OY |
11045721 | Controlling user interfaces | KING.COM LTD. |
11045725 | Controller visualization in virtual and augmented reality environments | VALVE CORPORATION |
11045733 | Virtual reality | SONY INTERACTIVE ENTERTAINMENT INC. |
11045734 | Computer-readable non-transitory storage medium having game program stored therein, game system, game apparatus control method, and game apparatus | NINTENDO CO., LTD. |
11046182 | System and method for providing momentum scrolling via a rotary user interface device | NIO USA, INC. |
11046225 | Method for activating massage units in a seat, control device for carrying out said method, and seat arrangement | BROSE FAHRZEUGTEILE SE & CO. KG (COBURG) |
11046320 | System and method for initiating and executing an automated lane change maneuver | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
11046327 | System for performing eye detection and/or tracking | FOTONATION LIMITED |
11046329 | Operational modes for a refuse vehicle | OSHKOSH CORPORATION |
11046429 | Head mounted display and method for maneuvering vehicle | SEIKO EPSON CORPORATION |
11046450 | Aviation situation awareness and decision information system | ROCKWELL COLLINS, INC. |
11046537 | Image forming apparatus, controlling method, and program | CANON KABUSHIKI KAISHA |
11046562 | Shopping facility assistance systems, devices and methods | WALMART APOLLO, LLC |
11047672 | System for optically dimensioning | HAND HELD PRODUCTS, INC. |
11047691 | Simultaneous localization and mapping (SLAM) compensation for gesture recognition in virtual, augmented, and mixed reality (xR) applications | DELL PRODUCTS L.P. |
11047693 | System and method for sensing walked position | -- |
11047703 | Mapping preferred locations using multiple arrows | AIRBNB, INC. |
11047705 | Predictive navigation system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11047749 | Electronic device | MURATA MANUFACTURING CO., LTD. |
11047823 | Electronic panel and electronic apparatus comprising the same | SAMSUNG DISPLAY CO., LTD. |
11048079 | Method and system for display and interaction embedded in a cockpit | THALES |
11048082 | Wireless bandwidth reduction with display data interleaving | APPLE INC. |
11048084 | Head-mounted display device | SEIKO EPSON CORPORATION |
11048091 | Wide-field image light and inset image light for head mounted displays | FACEBOOK TECHNOLOGIES, LLC |
11048095 | Method of operating a vehicle head-up display | FORD GLOBAL TECHNOLOGIES, LLC |
11048101 | Light field processor system | MAGIC LEAP, INC. |
11048105 | Visor-like tablet and tablet holder for automotive vehicle | -- |
11048111 | Display device equipped touch panel | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11048117 | Display device | -- |
11048212 | Method and apparatus for presenting watch face, and smartwatch | HUAWEI TECHNOLOGIES CO., LTD. |
11048214 | Wristwatch and method applicable to wristwatch for controlling magnitude of short distance communication signals | -- |
11048293 | Electronic device and system for deciding duration of receiving voice input based on context information | SAMSUNG ELECTRONICS CO., LTD. |
11048303 | Electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11048306 | Electronic device including sensor for generating image data using incident light through opening formed in display | SAMSUNG ELECTRONICS CO., LTD. |
11048317 | Gas sensor augmented human presence detection system | MOTOROLA MOBILITY LLC |
11048325 | Wearable augmented reality head mounted display device for phone content display and health monitoring | SAMSUNG ELECTRONICS CO., LTD. |
11048326 | Information processing system, information processing method, and program | SONY CORPORATION |
11048327 | Timer-based eye-tracking | ADHAWK MICROSYSTEMS |
11048328 | Method and finger-worn device for controlling an external computer | PADRONE AG |
11048329 | Mid-air ultrasonic haptic interface for immersive computing environments | EMERGE NOW INC. |
11048330 | Haptic interface with at least two degrees of freedom having an intention detector | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11048331 | Haptic feedback device | CENTRE NATIONAL DE LA RECHERCHE SCIENTIFIQUE |
11048332 | Picture selection method of projection touch | -- |
11048333 | System and method for close-range movement tracking | INTEL CORPORATION |
11048334 | Methods and apparatuses for identifying gestures based on ultrasound data | BUTTERFLY NETWORK, INC. |
11048335 | Stroke operation prediction for three-dimensional digital content | ADOBE INC. |
11048336 | Luminous keyboard | -- |
11048337 | Low-profile keyboard | MICROSOFT TECHNOLOGY LICENSING, LLC |
11048339 | Command-line interface displaying method and apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
11048340 | VR walking mechanism and method for walking in VR scene | -- |
11048341 | Zonal input device | LOGITECH EUROPE S.A. |
11048342 | Dual mode optical navigation device | -- |
11048343 | Method and apparatus for analyzing mouse gliding performance | RAZER (ASIA-PACIFIC) PTE. LTD. |
11048344 | Combining electropermanent magnets and magnetorheological fluid to control an operation of an input device | LOGITECH EUROPE S.A. |
11048345 | Image processing device and image processing method | WACOM CO., LTD. |
11048346 | Digital pens with cameras for videoconferencing | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11048347 | Computer input device | ACCO BRANDS CORPORATION |
11048348 | Mouse roller module | -- |
11048349 | Computer system allowing multiple users to concurrently use with single main computer and operation method thereof | -- |
11048350 | Display device | SAMSUNG DISPLAY CO., LTD. |
11048351 | Display device | SAMSUNG DISPLAY CO., LTD. |
11048352 | Display device | SAMSUNG DISPLAY CO., LTD. |
11048353 | Foldable touch display device | TPK ADVANCED SOLUTIONS INC. |
11048354 | Touch sensor and touch sensing system including the same | SAMSUNG DISPLAY CO., LTD. |
11048355 | Determining pitch and yaw for touchscreen interactions | QEEXO, CO. |
11048356 | Microphone on controller with touchpad to take in audio swipe feature data | SONY INTERACTIVE ENTERTAINMENT LLC |
11048357 | Touch sensing apparatus and controller IC thereof | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11048358 | Determining touch applied to an ultrasonic sensor | INVENSENSE, INC. |
11048359 | Variable-capacitance pressure sensor and real-handwriting stylus | GUANGDONG ZONGHUA TOUCH CONTROL TECHNOLOGY CO. LTD. |
11048360 | Touch display device, touch circuit, pen, touch system, and multi-pen sensing method | LG DISPLAY CO., LTD. |
11048361 | Systems and methods to create offset signal using sinewave generator with programmable amplitude and phase | ALPS ALPINE CO., LTD. |
11048363 | Floating display device and method for a floating display device to indicate touch position | BOE TECHNOLOGY GROUP CO., LTD. |
11048364 | Capacitive touch panel and method for acquiring capacitance values | SAMSUNG ELECTRONICS CO., LTD. |
11048365 | Display device including mesh lines overlapping contact holes | SAMSUNG DISPLAY CO., LTD. |
11048366 | Sensor controller, position indicator, and position detecting system | WACOM CO., LTD. |
11048367 | Capacitive sensor device | SYNAPTICS INCORPORATED |
11048368 | Capacitive in-cell touch panel structures and readout method in the same | INDUSTRY-UNIVERSITY COOPERATION FOUNDATION HANYANG UNIVERSITY |
11048369 | Touch panel including connection electrodes formed differently in first area than second area and display apparatus including the same | SAMSUNG DISPLAY CO., LTD. |
11048370 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11048371 | Organic light emitting display panel and display device | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
11048372 | Applying a degree of transparency | MICRO FOCUS LLC |
11048373 | User interface display method and apparatus therefor | SAMSUNG ELECTRONICS CO., LTD. |
11048374 | Online pluggable 3D platform for 3D representations of items | EBAY INC. |
11048375 | Multimodal 3D object interaction system | ALIBABA GROUP HOLDING LIMITED |
11048376 | Text editing system for 3D environment | MICROSOFT TECHNOLOGY LICENSING, LLC |
11048377 | Methodologies involving use of avatar for clinical documentation | ALLSCRIPTS SOFTWARE, LLC |
11048378 | System and method for tracking changes between a current state and a last state seen by a user | DIGITS FINANCIAL, INC. |
11048379 | Method for controlling display, storage medium, and electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11048380 | Vehicular display device and display method in vehicular display device | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11048381 | Enabling delayed interactions with content items presented by a social networking system | FACEBOOK, INC. |
11048382 | Scanning system, scanning program, and machine learning system | SEIKO EPSON CORPORATION |
11048383 | Contact cards with dynamic interaction information | DROPBOX, INC. |
11048384 | Generating content to be shared between users | FACEBOOK, INC. |
11048385 | Self-order processing system and control processing method | TOSHIBA TEC KABUSHIKI KAISHA |
11048386 | Graphical user interface to track dynamic data | IPREO LLC |
11048387 | Systems and methods for managing media feed timelines | ROVI GUIDES, INC. |
11048388 | Method and apparatus for configurable systems | INNOVATIONS HOLDINGS, L.L.C. |
11048389 | Customizable multi-function display | MID-CONTINENT INSTRUMENT CO., INC. |
11048390 | Auto-reformatting of home screen graphical user interface depicting only administrator-approved applications | MI TECHNICAL SOLUTIONS, INC. |
11048391 | Method, system and computer program for copy and paste operations | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11048392 | Smart email task reminders | VMWARE, INC. |
11048393 | Personalized visual representations of an artificially intelligent agent | TOYOTA RESEARCH INSTITUTE, INC. |
11048394 | User interface for controlling data navigation | EBAY INC. |
11048395 | Display apparatus for selecting and executing menu items on a user interface, and controlling method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11048396 | Systems and methods for configuring and presenting a display navigation hierarchy in a process plant | FISHER-ROSEMOUNT SYSTEMS, INC. |
11048397 | Methods and systems for presenting alert event indicators | GOOGLE LLC |
11048398 | Information display apparatus and information display method | YAMAHA CORPORATION |
11048399 | Electronic device and method for recognizing stylus pen | SAMSUNG ELECTRONICS CO., LTD. |
11048400 | Electronic apparatus, control method of electronic apparatus, and non-transitory computer readable medium | CANON KABUSHIKI KAISHA |
11048401 | Device, computer program and method for gesture based scrolling | SONY EUROPE B.V. |
11048402 | Systems and methods for presentation of content items relating to a topic | GOOGLE LLC |
11048403 | Method and device for animating graphic symbol for indication of data transmission | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
11048404 | Information processing apparatus, information processing method, and program | SONY CORPORATION |
11048405 | Information processing device and non-transitory computer readable medium | FUJIFILM BUSINESS INNOVATION CORP. |
11048406 | Methods and systems for defining and transmitting a drawing stroke | FACEBOOK, INC. |
11048407 | Interface and method for self-correcting a travel path of a physical object | -- |
11048408 | Display apparatus, recording medium, and display method | RICOH COMPANY, LTD. |
11048409 | Method and apparatus for executing function of fixed virtual keys of mobile terminal with a single hand, and mobile terminal | GREE ELECTRIC APPLIANCES, INC. OF ZHUHAI |
11048410 | Distributed procedure execution and file systems on a memory interface | RAMBUS INC. |
11048411 | Method of consolidating data streams for multi-stream enabled SSDs | SAMSUNG ELECTRONICS CO., LTD. |
11048412 | Storage system and information processing method by storage system | HITACHI, LTD. |
11048413 | Method for reducing read ports and accelerating decompression in memory systems | SAMSUNG ELECTRONICS CO., LTD. |
11048414 | Method and apparatus for managing data access | EMC IP HOLDING COMPANY LLC |
11048415 | Transaction-based storage system and method that uses variable sized objects to store data | PRIMOS STORAGE TECHNOLOGY, LLC |
11048416 | Method, apparatus and computer program product for managing storage system | EMC IP HOLDING COMPANY LLC |
11048417 | Method, device and computer program product for storage management | EMC IP HOLDING COMPANY LLC |
11048418 | Resource allocation in computers | VMWARE, INC. |
11048419 | Adaptively over-allocating storage space for compressed data | EMC IP HOLDING COMPANY LLC |
11048420 | Limiting the time that I/O to a logical volume is frozen | EMC IP HOLDING COMPANY LLC |
11048421 | Flash memory controller | -- |
11048422 | Method, device, and computer readable storage medium for allocating access rights to data among storage processors | EMC IP HOLDING COMPANY LLC |
11048423 | Method and system for synchronizing requests related to key-value storage having different portions | VERIZON MEDIA INC. |
11048424 | File extraction out of a backup | AMAZON TECHNOLOGIES, INC. |
11048425 | Data integrity verification | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11048426 | Deduplicating unaligned data | EMC IP HOLDING COMPANY LLC |
11048427 | Evacuation of memory from a drawer in a live multi-node system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11048428 | Apparatuses and methods for memory alignment | MICRON TECHNOLOGY, INC. |
11048429 | Optimized logging module | ORACLE INTERNATIONAL CORPORATION |
11048430 | Object store mirroring where during resync of two storage bucket, objects are transmitted to each of the two storage bucket | NETAPP, INC. |
11048431 | Flip-flop based on nonvolatile memory and backup operation method thereof | KOREA UNIVERSITY RESEARCH AND BUSINESS FOUNDATION |
11048432 | Deduplication of data on distributed storage system involving receiving and modifying metadata from local object store | EMC IP HOLDING COMPANY LLC |
11048433 | Memory control method with limited data collection operations, memory storage device and memory control circuit unit | -- |
11048434 | Compute in memory circuits with time-to-digital computation | INTEL CORPORATION |
11048435 | Memory controller and method of operating the same | SK HYNIX INC. |
11048436 | Block storage using a hybrid memory device | MICROSOFT TECHNOLOGY LICENSING, LLC |
11048437 | Double threshold controlled scheduling of memory access commands | MICRON TECHNOLOGY, INC. |
11048438 | Data rate shifting based on temperature | QUALCOMM INCORPORATED |
11048439 | Device of memory modules | -- |
11048440 | Memory system, memory device and operating method thereof | SK HYNIX INC. |
11048441 | Semiconductor devices | SK HYNIX INC. |
11048442 | Scalable in-memory object storage system using hybrid memory devices | HUAZHONG UNIVERSITY OF SCIENCE AND TECHNOLOGY |
11048443 | Non-volatile memory interface | SANDISK TECHNOLOGIES LLC |
11048444 | Grouping devices as a virtual device for providing better quality of device data | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11048445 | Rebalancing of the first extents of logical volumes among a plurality of ranks | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11048446 | Data expanse using memory-mapped files on a system architecture interface layer-based mainframe operating system | UNIYS CORPORATION |
11048447 | Providing direct data access between accelerators and storage in a computing environment, wherein the direct data access is independent of host CPU and the host CPU transfers object map identifying object of the data | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11048448 | Information processing apparatus and power estimation method | FUJITSU LIMITED |
11048449 | Information processing apparatus, information processing system, and non-transitory computer readable medium for restricting a signaling operation | FUJIFILM BUSINESS INNOVATION CORP. |
11048450 | Non-transitory processor readable medium storing printer program, terminal, and method of controlling terminal to control a printer according to a URL scheme | SEIKO EPSON CORPORATION |
11048451 | Image forming device having additional operation mode for additional module | OKI DATA CORPORATION |
11048452 | Information processing apparatus, control method, and storage medium for determining IP addresses are overlape in direct and infrastructure modes, the information processing apparatus prohibits to operate in direct connection mode | CANON KABUSHIKI KAISHA |
11048453 | Printer apparatus and wireless connection method | SEIKO EPSON CORPORATION |
11048454 | Method and apparatus to protect sensitive information on media processing devices | ZEBRA TECHNOLOGIES CORPORATION |
11048455 | Devices, systems, and methods for resolving conflicting print settings | CANON KABUSHIKI KAISHA |
11048456 | Image forming apparatus and event detection system | SHARP KABUSHIKI KAISHA |
11048457 | Non-transitory computer-readable medium storing computer-readable instructions | BROTHER KOGYO KABUSHIKI KAISHA |
11048458 | Communication apparatus and a control method relating communication with a target apparatus by using wireless communication method | CANON KABUSHIKI KAISHA |
11048459 | Content prioritization for a display array | AMAZON TECHNOLOGIES, INC. |
11048460 | Display module including a light diffusion agent and display apparatus having the same | SAMSUNG ELECTRONICS CO., LTD. |
11048461 | System to facilitate interaction during a collaborative screen sharing session | CAPITAL ONE SERVICES, LLC |
11048462 | Associating a selector with plural applications for presenting the plural applications on respective plural monitors | LENOVO (SINGAPORE) PTE. LTD. |
11048463 | Method for controlling display system, display system, and display apparatus | SEIKO EPSON CORPORATION |
11048464 | Synchronization and streaming of workspace contents with audio for collaborative virtual, augmented, and mixed reality (xR) applications | DELL PRODUCTS L.P. |
11048465 | Imaging display device, wearable device, and imaging display system | CANON KABUSHIKI KAISHA |
11048466 | Cognitive improvement system and method of testing | -- |
11048467 | Electronic device with display panel speaker | SAMSUNG ELECTRONICS CO., LTD. |
11048468 | Sound output control method and display system | SEIKO EPSON CORPORATION |
11048469 | Control and audio systems for a boat | MASTERCRAFT BOAT COMPANY, LLC |
11048470 | Audible display readout based on lighting conditions | MOTOROLA MOBILITY LLC |
11048471 | Audio signal processing apparatus, audio system, and method of processing audio signal | YAMAHA CORPORATION |
11048472 | Dynamically adjustable sound parameters | LISTEN AS |
11048473 | Device, method, and graphical user interface for enabling conversation persistence across two or more instances of a digital assistant | APPLE INC. |
11048474 | Context aware service provision method and apparatus of user device | SAMSUNG ELECTRONICS CO., LTD. |
11048484 | Automated responsive grid-based layout design system | ORACLE INTERNATIONAL CORPORATION |
11048496 | Firmware upgrade system for printing devices using failure rate | KYOCERA DOCUMENT SOLUTIONS INC. |
11048526 | Methods and systems for managing computing devices with specification migration | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11048529 | Method for user based application grouping under multi-user environment and table top display apparatus for performing the same | RESEARCH & BUSINESS FOUNDATION SUNGKYUNKWAN UNIVERSITY |
11048533 | Information processing device and method for selectively displaying support information based on urgency level | NTT DOCOMO, INC. |
11048541 | VM-aware FTL design for SR-IOV NVMe SSD | SAMSUNG ELECTRONICS CO., LTD. |
11048545 | Methods and apparatus for providing hypervisor level data services for server virtualization | ZERTO LTD. |
11048559 | Managing ownership transfer of file system instance in virtualized distributed storage system | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11048560 | Replication management for expandable infrastructures | VMWARE, INC. |
11048567 | Notification control system and method of use | -- |
11048571 | Selectively performing multi-plane read operations in non-volatile memory | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11048580 | Data duplication in a non-volatile memory | MICRON TECHNOLOGY, INC. |
11048583 | Flexible, low-latency error correction architecture for semiconductor memory products | GREEN MOUNTAIN SEMICONDUCTOR INC. |
11048584 | Controller and method of operating the same | SK HYNIX INC. |
11048590 | Data consistency during recovery in a cloud-based storage system | PURE STORAGE, INC. |
11048591 | Efficient name space organization in a global name space cluster | EMC IP HOLDING COMPANY LLC |
11048593 | Data aggregation node for blockchain rollup | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11048597 | Memory die remapping | MICRON TECHNOLOGY, INC. |
11048601 | Disk data reading/writing method and device | HANGZHOU HIKVISION DIGITAL TECHNOLOGY CO., LTD. |
11048615 | Time travel source code debugger incorporating visual annotations | OZCODE LTD. |
11048619 | AI software testing system and method | APPDIFF, INC. |
11048624 | Methods for multi-stream garbage collection | SAMSUNG ELECTRONICS CO., LTD. |
11048628 | Tape data access with random access features | STORAGEDNA, INC. |
11048629 | Method, apparatus and computer program product for storing data | EMC IP HOLDING COMPANY LLC |
11048638 | Host cache-slot aware 10 management | EMC IP HOLDING COMPANY LLC |
11048641 | Managing allocation and demotion of cache segments between a global queue and a plurality of local queues by using a machine learning module | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11048642 | Key-value storage method, apparatus, and system | HUAWEI TECHNOLOGIES CO., LTD. |
11048643 | Nonvolatile memory controller enabling wear leveling to independent zones or isolated regions | RADIAN MEMORY SYSTEMS, INC. |
11048644 | Memory mapping in an access device for non-volatile memory | AMAZON TECHNOLOGIES, INC. |
11048645 | Memory module, operation method therof, and operation method of host | SAMSUNG ELECTRONICS CO., LTD. |
11048646 | I/O authorization control in shared storage systems | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11048649 | Non-sequential page continuous read | -- |
11048664 | Log destaging from fixed-size log portion | MICROSOFT TECHNOLOGY LICENSING, LLC |
11048671 | Automated storage tier copy expiration | QUANTUM CORPORATION |
11048676 | Trees and graphs in flash memory | EMC IP HOLDING COMPANY LLC |
11048681 | Application suggestion features | APPLE INC. |
11048696 | Method and computing device for generating a search query for a graph database | WORKIVA INC. |
11048720 | Efficiently propagating diff values | DROPBOX, INC. |
11048743 | Systems and methods for enabling quick multi-application menu access to media options | ROVI GUIDES, INC. |
11048752 | Estimating social interest in time-based media | BLUEFIN LABS, INC. |
11048760 | Techniques for placing content in and applying layers in an extended reality environment | SPLUNK INC. |
11048782 | User identification notification for non-personal device | LENOVO (SINGAPORE) PTE. LTD. |
11048786 | Techniques for fingerprint detection and user authentication | AMI RESEARCH & DEVELOPMENT, LLC |
11048790 | Authentication methods and systems | LICENTIA GROUP LIMITED |
11048794 | Multifactor identity authentication via cumulative dynamic contextual identity | WELLS FARGO BANK, N.A. |
11048805 | Method for storing data on a storage entity | NEC CORPORATION |
11048830 | Assistance system, design assistance apparatus, and non-transitory computer readable medium storing design assistance program | FUJIFILM BUSINESS INNOVATION CORP. |
11048853 | System and method for resource presentation | SERVICENOW, INC. |
11048862 | Enhanced design collaboration using design-based feedback | ADOBE INC. |
11048865 | Processing and displaying handwritten note based on format change of content in electronic book | ZHANGYUE TECHNOLOGY CO., LTD |
11048866 | Ad hoc contact data capture | INTUIT INC. |
11048868 | Artificial intelligence (AI) based generation of data presentations | ACCENTURE GLOBAL SOLUTIONS LIMITED |
11048871 | Analyzing natural language expressions in a data visualization user interface | TABLEAU SOFTWARE, INC. |
11048873 | Emoji and canned responses | APPLE INC. |
11048899 | Interactive graphic wall | THE GOODYEAR TIRE & RUBBER COMPANY |
11048903 | Under-LCD screen optical sensor module for on-screen fingerprint sensing | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
11048904 | Fingerprint sensor embedded in a flat-panel display and a method of operating the same | -- |
11048908 | Fingerprint recognition method and related products | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
11048909 | Full-screen electronic device with fingerprint sensing function and fingerprint sensing method thereof | -- |
11048922 | Gesture detection using color segmentation | INTEL CORPORATION |
11048931 | Recognition based handwriting input conversion | LENOVO (SINGAPORE) PTE. LTD. |
11048941 | Imaging device and information acquisition system in which an acquired image and associated information are held on a display | NIKON CORPORATION |
11048945 | System and method for using a website containing video playlists as input to a download manager | SYNERGY SPORTS TECHNOLOGY, LLC |
11048949 | Interactive autonomous driving system | HYUNDAI MOTOR COMPANY |
11048964 | Survey data processing device, survey data processing method, and survey data processing program | TOPCON CORPORATION |
11048965 | Identification of misplaced objects using internet of things (IOT) devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11048989 | Image forming apparatus that accepts a designation of an insertion position of an insertion sheet after obtaining image data of a bundle of sheets, and related control method and storage medium | CANON KABUSHIKI KAISHA |
11048995 | Delayed responses by computational assistant | GOOGLE LLC |
11049009 | Identifying memory block write endurance using machine learning | WESTERN DIGITAL TECHNOLOGIES, INC. |
11049059 | Method and system for on-demand customized services | OPERR TECHNOLOGIES, INC |
11049072 | Asynchronous virtual collaboration environments | STATE FARM MUTUAL AUTOMOBILE INSURANCE COMPANY |
11049075 | Victim reporting and notification system and alert mechanism for organizations | -- |
11049088 | User interfaces for peer-to-peer transfers | APPLE INC. |
11049094 | Methods and arrangements for device to device communication | DIGIMARC CORPORATION |
11049104 | Method of processing payment based on blockchain and apparatus thereof | SAMSUNG SDS CO., LTD. |
11049175 | Method and system for managing and displaying product images with progressive resolution display with audio commands and responses | -- |
11049201 | Graphical user interface for displaying current and future data | GOOGLE LLC |
11049255 | Image processing device and method thereof | HITACHI, LTD. |
11049287 | Sensing system, work system, augmented-reality-image displaying method, and program | FANUC CORPORATION |
11049289 | Systems and methods to semi-automatically segment a 3D medical image using a real-time edge-aware brush | GENERAL ELECTRIC COMPANY |
11049296 | Dynamic path modification and extension | ADOBE INC. |
11049299 | System and method for improved data structures and related interfaces | THE ALCHEMY OF YOU, LLC |
11049306 | Display apparatus and method for generating and rendering composite images | VAGO TECHNOLOGIES OY |
11049309 | Motion tracking and image recognition of hand gestures to animate a digital puppet, synchronized with recorded audio | DISNEY ENTERPRISES, INC. |
11049320 | Method, device, and computer-readable storage medium with instructions for controlling a display of an augmented reality head-up display device | VOLKSWAGEN AKTIENGESELLSCHAFT |
11049322 | Transferring graphic objects between non-augmented reality and augmented reality media domains | -- |
11049323 | Method and apparatus for deriving VR projection, packing, ROI and viewport related tracks in ISOBMFF and supporting viewport roll signaling | -- |
11049324 | Method of displaying virtual content based on markers | GUANGDONG VIRTUAL REALITY TECHNOLOGY CO., LTD. |
11049325 | Information processing apparatus, information processing method, and program | SONY CORPORATION |
11049328 | Interactions with 3D virtual objects using poses and multiple-DOF controllers | MAGIC LEAP, INC. |
11049329 | Method and apparatus for controlling placement of virtual character and storage medium | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
11049344 | Dual-mode commercial messaging systems | -- |
11049413 | Systems and methods for accessible widget selection | CAPITAL ONE SERVICES, LLC |
11049434 | Electronic device and method for controlling the electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11049443 | Display apparatus and controlling method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11049456 | Light emitting display apparatus | LG DISPLAY CO., LTD. |
11049468 | Display device, display module, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11049476 | Minimal-latency tracking and display for matching real and virtual worlds in head-worn displays | THE UNIVERSITY OF NORTH CAROLINA AT CHAPEL HILL |
11049493 | Spoken dialog device, spoken dialog method, and recording medium | NATIONAL INSTITUTE OF INFORMATION AND COMMUNICATIONS TECHNOLOGY |
11049499 | Communication method, server, and device | HUAWEI TECHNOLOGIES CO., LTD. |
11049503 | Always-on audio control for mobile device | APPLE INC. |
11049524 | Interrelated entertainment devices for music and related information | -- |
11049562 | System and method for atomic persistence in storage class memory | -- |
11049569 | Controller and operating method thereof | SK HYNIX INC. |
11049570 | Dynamic writes-per-day adjustment for storage drives | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11049581 | Media error reporting improvements for storage drives | TOSHIBA MEMORY CORPORATION |
11049595 | Interventional radiology structured reporting workflow | EBIT SRL |
11049602 | Navigable presentation of a variety of solutions for therapy plans | FRAUNHOFER-GESELLSCHAFT ZUR FOERDERUNG DER ANGEWANDTEN FORSCHUNG E.V. |
11049672 | Touch control device | LITE-ON ELECTRONICS (GUANGZHOU) LIMITED |
11049673 | Key switch | -- |
11049674 | Push button with haptic feedback | MICROSOFT TECHNOLOGY LICENSING, LLC |
11049901 | Display apparatus including light-receiving device | LG DISPLAY CO., LTD. |
11049913 | Display device | SAMSUNG DISPLAY CO., LTD. |
11049914 | Display panel and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11049992 | Dual wavelength light emitting device, dual wavelength light transceiving device and display | -- |
11050197 | Reversible connector orientation detection in an electromagnetic tracking system | ALKEN INC. |
11050265 | System and method for monitoring and remote controlling the charge state of at least one battery pack | EINHELL GERMANY AG |
11050340 | Plug-in power adapters and methods of implementing a plug-in power adapter | SMART POWER PARTNERS LLC |
11050425 | Memory device processing | MICRON TECHNOLOGY, INC. |
11050452 | Electronic devices having circuitry in housing attachment structures | APPLE INC. |
11050464 | First portable electronic device for facilitating a proximity based interaction with a second portable electronic device based on a plurality of gesture | INTERNATIONAL FORTE GROUP LLC |
11050488 | System and method for visible light communication with a warning device | STAR HEADLIGHT & LANTERN CO., INC. |
11050548 | Image transmission system, imaging terminal, display terminal, adjustment method, adjustment assistance method, and non-transitory computer-readable recording medium storing program | OLYMPUS CORPORATION |
11050554 | Technologies for managing exact match hash table growth | INTEL CORPORATION |
11050569 | Security memory scheme | -- |
11050579 | Distribution destination specifying device and distribution destination specifying method | YAMAHA CORPORATION |
11050613 | Generating configuration files for configuring an information technology infrastructure | HASHICORP |
11050685 | Method for determining candidate input, input prompting method and electronic device | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
11050699 | Cross-channel orchestration of messages | AIRSHIP GROUP, INC. |
11050743 | Systems and methods of enabling fast user access to remote desktops | CITRIX SYSTEMS, INC. |
11050752 | Virtual reality authentication | EBAY INC. |
11050769 | Controlling dynamic user interface functionality using a machine learning control engine | BANK OF AMERICA CORPORATION |
11050797 | Systems and methods for remote control in information technology infrastructure | CONNECWISE, LLC |
11050803 | Head-mounted devices (HMDs) discovery in co-located virtual, augmented, and mixed reality (xR) applications | DELL PRODUCTS L.P. |
11050806 | Customizing media items for playback on alternative playback devices paired with a user device | GOOGLE LLC |
11050810 | Method and apparatus for transmitting and receiving image data for virtual-reality streaming service | SAMSUNG ELECTRONICS CO., LTD. |
11050817 | Voice operated control device | RATEZE REMOTE MGMT LLC |
11050825 | Storage system port usage information sharing between host devices | EMC IP HOLDING COMPANY LLC |
11050826 | Systems and methods of accessing and decoding vehicle manufacture information | -- |
11050829 | Method for sharing information on conditional action and electronic device therefor | SAMSUNG ELECTRONICS CO., LTD. |
11050851 | Drag-and-drop clipboard for HTML documents | ADOBE INC. |
11050873 | Method and electronic device for controlling external electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11050896 | Information control apparatus, print system, and non-transitory computer readable medium | FUJIFILM BUSINESS INNOVATION CORP. |
11050900 | Electronic apparatus and image forming apparatus causing display to display operation procedure | KYOCERA DOCUMENT SOLUTIONS INC. |
11050917 | Detachable mini-camera device | -- |
11050943 | Electronic apparatus | CANON KABUSHIKI KAISHA |
11050967 | Image display device, method of connecting peripheral device, and image display system including same image display device | LG ELECTRONICS INC. |
11050968 | Method for driving display including curved display area, display driving circuit supporting the same, and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11050976 | Systems and methods for compiling and presenting highlights of a video conference | VERIZON PATENT AND LICENSING INC. |
11050990 | Augmented reality guidance for spinal procedures using stereoscopic optical see-through head mounted displays with cameras and 3D scanners | ONPOINT MEDICAL, INC. |
11050996 | Systems and method for GPU based virtual reality video streaming server | ALCACRUZ INC. |
11050997 | Dynamic display system capable of generating images corresponding to positions of users | -- |
11051005 | Virtual reality device and operation method of virtual reality device | SUZHOU RAKEN TECHNOLOGY LIMITED |
11051048 | Grouping in a system with multiple media playback protocols | SONOS, INC. |
11051049 | Simulating a local experience by live streaming sharable viewpoints of a live event | PHENIX REAL TIME SOLUTIONS, INC. |
11051076 | Systems and methods for displaying video content relevant to application content | SLING MEDIA PVT LTD |
11051081 | Virtual reality resource scheduling of processes in a cloud-based virtual reality processing system | VERIZON PATENT AND LICENSING INC. |
11051170 | Unlocking mobile terminal in augmented reality | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11051571 | Apparel design system including garment features with allocation insights | LEVI STRAUSS & CO. |
11051575 | Information processing system, information processing method, and information processing program | NO NEW FOLK STUDIO INC. |
11051693 | Systems and methods for automated end-to-end eye screening, monitoring and diagnosis | EYENK, INC. |
11051730 | Virtual reality biofeedback systems and methods | TAMADE, INC. |
11051762 | Electronic device | LG ELECTRONICS INC. |
11051776 | Device and method for displaying image information | KONINKLIJKE PHILIPS N.V. |
11051836 | Surgical clip applier comprising an empty clip cartridge lockout | CILAG GMBH INTERNATIONAL |
11051903 | System and method for enhanced data analysis with specialized video enabled software tools for medical environments | -- |
11051914 | Augmented reality enhancements for dental practitioners | ALIGN TECHNOLOGY, INC. |
11052320 | Virtual reality simulation of a live-action sequence | CENTURION VR, INC. |
11052322 | In-game status bar | SNAP INC. |
11052611 | Fabricating apparatus, fabricating method, and recording medium that permits fabrication based on permissibility information | RICOH COMPANY, LTD. |
11052640 | Laminated glass pane having a sensor assembly, receiver antenna and transmission system, and method for producing a laminated glass pane having a sensor assembly | SAINT-GOBAIN GLASS FRANCE |
11054263 | Route setting device, automatic pilot, and method of setting route | FURUNO ELECTRIC CO., LTD. |
11054269 | Providing navigation directions | GOOGLE LLC |
11054335 | Method and apparatus for augmented virtual models and orienteering | MIDDLE CHART, LLC |
11054370 | Scanning devices for ascertaining attributes of tangible objects | BRITESCAN, LLC |
11054547 | Pedestrian wind environment display system | CPP INCORPORATED |
11054621 | Camera, and image display apparatus including the same | LG ELECTRONICS INC. |
11054638 | Tracking pointing direction of device | REAVIRE, INC. |
11054639 | Eye projection system | EYEWAY VISION LTD. |
11054644 | Electronic device and method for controlling electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11054650 | Head-mounted display device, control method of head-mounted display device, and display system | SEIKO EPSON CORPORATION |
11054657 | See-through display device | SAMSUNG ELECTRONICS CO., LTD. |
11054658 | Display apparatus and method using reflective elements and opacity mask | VARJO TECHNOLOGIES OY |
11054804 | Portable safety control device for industrial machines in particular robots | COMAU S.P.A. |
11054856 | Electronic device for reducing occurrence of unintended user input and operation method for the same | SAMSUNG ELECTRONICS CO., LTD. |
11054861 | Electronic devices having displays with expanded edges | APPLE INC. |
11054870 | User position detection | INTEL CORPORATION |
11054885 | Power supply apparatus | BROTHER KOGYO KABUSHIKI KAISHA |
11054886 | Supporting multiple refresh rates in different regions of panel display | INTEL CORPORATION |
11054893 | Team flow control in a mixed physical and virtual reality environment | VR EXIT LLC |
11054894 | Integrated mixed-input system | MICROSOFT TECHNOLOGY LICENSING, LLC |
11054895 | Method of display user movement in virtual reality system and related device | -- |
11054896 | Displaying virtual interaction objects to a user on a reference plane | FACEBOOK, INC. |
11054897 | Electronic device displays an image of an obstructed target | -- |
11054898 | Electronic device displays an image of an obstructed target | -- |
11054899 | Interactive virtual reality manipulation of downhole data | HALLIBURTON ENERGY SERVICES, INC. |
11054900 | Eye movement detecting device, electronic device and system | KABUSHIKI KAISHA TOSHIBA |
11054901 | Virtual reality interaction monitoring | DREAM CHANNEL PTY. LTD. |
11054902 | Eye glint imaging in see-through computer display systems | MENTOR ACQUISITION ONE, LLC |
11054903 | Method, eyetracker and computer program for determining eye positions in digital image data | TOBII AB |
11054904 | Haptic actuator using force multiplying spring and smart alloy wire | MICROSOFT TECHNOLOGY LICENSING, LLC |
11054905 | Motion-restricting apparatus with common base electrode | MICROSOFT TECHNOLOGY LICENSING, LLC |
11054906 | Haptic feedback in networked components | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11054907 | Friction modulation for three dimensional relief in a haptic device | IMMERSION CORPORATION |
11054908 | Haptic tactile feedback with buckling mechanism | SYNAPTICS INCORPORATED |
11054909 | Tactile sense provision apparatus and a control method | MARUWA CORPORATION |
11054910 | System and method for producing mid-air tactile stimulation | EMERGE NOW INC. |
11054911 | Electronic device, program, and control method | KYOCERA CORPORATION |
11054912 | Three-dimensional graphical user interface for informational input in virtual reality environment | ADVANCED NEW TECHNOLOGIES CO., LTD. |
11054913 | Hidden markov model-based gesture recognition with FMCW radar | TEXAS INSTRUMENTS INCORPORATED |
11054914 | Pen/touch tablet computer having multiple operation modes and method for switching operation modes | WACOM CO., LTD. |
11054915 | Locally implemented terminal latency mitigation | MICROSOFT TECHNOLOGY LICENSING, LLC |
11054916 | Display apparatus and controlling method of the display apparatus | SAMSUNG ELECTRONICS CO., LTD. |
11054917 | Wearable device and control method, and smart control system | BEIJING BOE MULTIMEDIA TECHNOLOGY CO., LTD. |
11054918 | Position-based location indication and device control | GOOGLE LLC |
11054919 | Wearable display device and control method therefor | SAMSUNG ELECTRONICS CO., LTD. |
11054920 | Pen for use with a touch screen | SIGMASENSE, LLC. |
11054921 | Stylus and sensor controller | WACOM CO., LTD. |
11054922 | Using method of rewritable board | -- |
11054923 | Automatic switching between different modes of tracking user motions to control computer applications | FINCH TECHNOLOGIES LTD. |
11054924 | User input devices, panels for use with a user input device and computer peripheral devices | RAZER (ASIA-PACIFIC) PTE. LTD. |
11054925 | Adjusting screen sensitivity of a touch screen | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11054926 | Touch display panel and touch display device | LG DISPLAY CO., LTD. |
11054927 | In-cell touch panel | PANASONIC LIQUID CRYSTAL DISPLAY CO., LTD. |
11054929 | Electronic device and a control method thereof | KOREA ELECTRONICS TECHNOLOGY INSTITUTE |
11054930 | Electronic device and operating method therefor | SAMSUNG ELECTRONICS CO., LTD. |
11054931 | Haptic augmented reality device for facilitating actions on a flying boom | DEFENSYA INGENIERIA INTERNACIONAL, S.L. |
11054932 | Electronic device having a touch sensor, force sensor, and haptic actuator in an integrated module | APPLE INC. |
11054933 | Touch sensor circuit and touch sensor method | -- |
11054934 | Electronic device | -- |
11054935 | Stylus with contact sensor | BEECHROCK LIMITED |
11054936 | Touch panel with non-uniform touch node layout | APPLE INC. |
11054937 | Display device having detection electrode | JAPAN DISPLAY INC. |
11054938 | Providing a baseline capacitance for a capacitance sensing channel | CYPRESS SEMICONDUCTOR CORPORATION |
11054939 | Display device with integrated touch screen and method for driving the same | LG DISPLAY CO., LTD. |
11054940 | Touch sensing device and electrical device with slide detection | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11054941 | Information processing system, information processing method, and program for correcting operation direction and operation amount | SONY CORPORATION |
11054942 | Noise suppression circuit | SYNAPTICS INCORPORATED |
11054943 | Touch restriction region for touch-sensitive display | MICROSOFT TECHNOLOGY LICENSING, LLC |
11054944 | Projection display unit and function control method | SONY CORPORATION |
11054945 | Fast multi-touch sensor with user-identification techniques | TACTUAL LABS CO. |
11054946 | Image recognition device and touch panel | BOE TECHNOLOGY GROUP CO., LTD. |
11054947 | Key reference updating method and module, and terminal device | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
11054948 | Light transmissivity-controlled touch sensor panel design | APPLE INC. |
11054949 | Touch detection circuit, input device and electronic apparatus | ROHM CO., LTD. |
11054950 | Display device and touch sensor | SAMSUNG DISPLAY CO., LTD. |
11054951 | Display device with electrostatic capacitive touch panel | SEIKO EPSON CORPORATION |
11054952 | Touch panel and manufacturing method thereof | CAMBRIOS FILM SOLUTIONS CORPORATION |
11054953 | Display device with integrated circuits stack structure | SAMSUNG DISPLAY CO., LTD. |
11054954 | Fingerprint detection device and display device | JAPAN DISPLAY INC. |
11054955 | Display device | JAPAN DISPLAY INC. |
11054956 | Touch devices with all scan lines cascaded and determination methods thereof | -- |
11054957 | Touch display device | LG DISPLAY CO., LTD. |
11054958 | Aperature filtering for resistive multi-touch distortions | TEXAS INSTRUMENTS INCORPORATED |
11054959 | Cursor control | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11054960 | Fuzzy target selection for robotic process automation | UIPATH INC. |
11054961 | Electronic device and method for controlling screen | SAMSUNG ELECTRONICS CO., LTD. |
11054962 | System and method for displaying changes to a number of entries in a set of data between page views | DIGITS FINANCIAL, INC. |
11054963 | Method for displaying navigator associated with content and electronic device for implementing the same | SAMSUNG ELECTRONICS CO., LTD. |
11054964 | Panning in a three dimensional environment on a mobile device | GOOGLE LLC |
11054965 | Systems and methods for indicating highlights within spherical videos | GOPRO, INC. |
11054966 | Audio-visual navigation and communication dynamic memory architectures | AQ MEDIA, INC. |
11054967 | Apparatus, method, and system for cumulative reporting of medical information | CENTIBLICK |
11054968 | Method and a device for managing a plurality of messages simultaneously | ORANGE |
11054969 | Method and device for displaying page of electronic book, and terminal device | ZHANGYUE TECHNOLOGY CO., LTD |
11054970 | System and method for multi-language communication sequencing | -- |
11054971 | Modular runtime environment | SALESFORCE.COM., INC. |
11054972 | Context-based user assistance and service workspace | SERVICENOW, INC. |
11054973 | User interfaces for managing media | APPLE INC. |
11054974 | Systems and methods for graphical display configuration design verification in a process plant | FISHER-ROSEMOUNT SYSTEMS, INC. |
11054975 | Systems and methods for production and display of dynamically linked slide presentations | PALANTIR TECHNOLOGIES INC. |
11054976 | Method for operating a vehicle information system with at least a first and a second display area and vehicle information system | VOLKSWAGEN AKTIENGESELLSCHAFT |
11054977 | Devices, methods, and computer program for displaying user interfaces | SAMSUNG ELECTRONICS CO., LTD. |
11054978 | Portable device and method for controlling brightness of the same | SAMSUNG ELECTRONICS CO., LTD. |
11054980 | User interface device for industrial vehicle | CROWN EQUIPMENT CORPORATION |
11054981 | Pan-zoom entry of text | JERUSALEM |
11054982 | Electronic device, method and system for detecting fingers and non-transitory computer-readable medium | -- |
11054983 | Gestural touch interface | NCR CORPORATION |
11054984 | Gesture-based input command interface, method and system | -- |
11054985 | Apparatus, method, and program product for transferring objects between multiple displays | LENOVO (SINGAPORE) PTE. LTD. |
11054986 | Apparatus including a touch screen under a multi-application environment and controlling method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11054987 | Sidebar interaction method, device, and computer-readable storage medium | SHANGHAI TRANSSION CO., LTD. |
11054988 | Graphical user interface display method and electronic device | HUAWEI TECHNOLOGIES CO., LTD. |
11054989 | Interleaved character selection interface | -- |
11054990 | Touch input cursor manipulation | APPLE INC. |
11054991 | Data storage system scale-out with local address remapping | WESTERN DIGITAL TECHNOLOGIES, INC. |
11054992 | Memory module and memory system including the memory module | SK HYNIX INC. |
11054993 | Mass storage system having peer-to-peer data movements between a cache and a backend store | INTEL CORPORATION |
11054994 | Copy source to target management in a data storage system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11054995 | Row hammer protection for a memory device | MICRON TECHNOLOGY, INC. |
11054996 | Efficient writing in a flash storage system | PURE STORAGE, INC. |
11054997 | Artificial neural networks in memory | MICRON TECHNOLOGY, INC. |
11054998 | High bandwidth memory system with distributed request broadcasting masters | FACEBOOK, INC. |
11054999 | Methods and systems for encoding and decoding communications | SEAPORT, INC. |
11055000 | Apparatuses and methods for counter update operations | MICRON TECHNOLOGY, INC. |
11055001 | Localized data block destaging | SEAGATE TECHNOLOGY LLC |
11055002 | Placement of host data based on data characteristics | WESTERN DIGITAL TECHNOLOGIES, INC. |
11055003 | Supplemental AI processing in memory | MICRON TECHNOLOGY, INC. |
11055004 | Data storage device and control method for non-volatile memory | -- |
11055005 | Background deduplication using trusted fingerprints | NETAPP, INC. |
11055006 | Virtual storage domain for a content addressable system | EMC IP HOLDING COMPANY LLC |
11055007 | Data storage device, operation method thereof and storage system having the same | SK HYNIX INC. |
11055008 | Managing wear balancing in mapped RAID storage systems | EMC IP HOLDING COMPANY LLC |
11055009 | Data processing system and operating method thereof | SK HYNIX INC. |
11055010 | Data partition migration via metadata transfer and access attribute change | MICROSOFT TECHNOLOGY LICENSING, LLC |
11055011 | Storage device | SAMSUNG ELECTRONICS CO., LTD. |
11055012 | Systems and methods for performing live migrations of software containers | VERITAS TECHNOLOGIES LLC |
11055013 | Recovering from data loss using copy services relationships between volumes | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11055014 | Storage system providing automatic configuration updates for remote storage objects in a replication process | EMC IP HOLDING COMPANY LLC |
11055015 | Fine-grain asynchronous mirroring suppression | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11055016 | Method and system for prioritizing critical data object storage during backup operations | EMC IP HOLDING COMPANY LLC |
11055017 | Throttling a point-in-time snapshot copy operation within a data consistency application | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11055018 | Parallel storage node processing of data functions | WESTERN DIGITAL TECHNOLOGIES, INC. |
11055019 | Storage device and method of operating the same | SK HYNIX INC. |
11055020 | Data storage device sharing operations with another data storage device and method of operating the same | SK HYNIX INC. |
11055021 | Resistive memory | -- |
11055022 | Storage system and method for early host command fetching in a low queue depth environment | WESTERN DIGITAL TECHNOLOGIES, INC. |
11055023 | Electronic device, related controller circuit and method | RAYMX MICROELECTRONICS CORP. |
11055024 | Data processing apparatus, data processing method, and data processing program | HITACHI, LTD. |
11055025 | Semiconductor memory device performing command merge operation and operation method thereof | SK HYNIX INC. |
11055026 | Updating a register in memory | MICRON TECHNOLOGY, INC. |
11055027 | Dynamic queue management | RAPID7, INC. |
11055028 | Storage system with reduced read latency | EMC IP HOLDING COMPANY LLC |
11055029 | Edge case handling in system with dynamic flow control | EMC IP HOLDING COMPANY LLC |
11055030 | Information processing apparatus to determine state transitions and compliment a deficient state, information processing system, information processing method, and recording medium | RICOH COMPANY, LTD. |
11055031 | Information processing apparatus and non-transitory computer readable medium | FUJIFILM BUSINESS INNOVATION CORP. |
11055032 | Learning device, print control device, and learned model | SEIKO EPSON CORPORATION |
11055033 | Information processing apparatus, image forming apparatus, and non-transitory computer readable medium for inhibiting transmission of specific combinations of private information | FUJIFILM BUSINESS INNOVATION CORP. |
11055034 | Label cost saving function | SATO HOLDINGS KABUSHIKI KAISHA |
11055035 | Device-independent canvas | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11055036 | Advertisement system, server apparatus, and program product that decides on distributing advertisement data based on printing quality evaluation | SEIKO EPSON CORPORATION |
11055037 | Enhanced printer and printer operator interactions | RICOH COMPANY, LTD. |
11055038 | Print substance end-of-life predictions | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11055039 | Information processing apparatus, control method therefor and storage medium | CANON KABUSHIKI KAISHA |
11055040 | Mobile terminal that performs wireless communication and control method for the same | CANON KABUSHIKI KAISHA |
11055041 | Information-processing device acquiring wireless identification information via wired communication for setting up command program | BROTHER KOGYO KABUSHIKI KAISHA |
11055042 | Image forming apparatus and method for controlling image forming apparatus | KONICA MINOLTA, INC. |
11055043 | Information-processing device determining display style for displaying identification information | BROTHER KOGYO KABUSHIKI KAISHA |
11055044 | Printing apparatus and method for setting and storing print job condition | CANON KABUSHIKI KAISHA |
11055045 | Computer-readable medium, image forming apparatus, and system for communicating different types of data | BROTHER KOGYO KABUSHIKI KAISHA |
11055046 | Image forming apparatus, method of controlling the same, and storage medium | CANON KABUSHIKI KAISHA |
11055047 | Waveform display device based on waveform extraction | FANUC CORPORATION |
11055048 | Techniques for establishing positional relationship(s) between information processing apparatuses | NINTENDO CO., LTD. |
11055049 | Systems and methods for facilitating shared rendering | VARJO TECHNOLOGIES OY |
11055050 | Multi-device pairing and combined display | MICROSOFT TECHNOLOGY LICENSING, LLC |
11055051 | Direct and discriminate pixel flow between logically-grouped source to sink based on an interaction | PXIO |
11055052 | Display apparatus forming wall display and controlling method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11055053 | Systems and methods for displaying captured screenshots | LENOVO (SINGAPORE) PTE. LTD. |
11055054 | Application replication platform | CAPITAL ONE SERVICES, LLC |
11055055 | Video conference with shared whiteboard and recording | STUDY SOCIAL, INC. |
11055056 | Split system for artificial reality | FACEBOOK TECHNOLOGIES, LLC |
11055057 | Apparatus and associated methods in the field of virtual reality | NOKIA TECHNOLOGIES OY |
11055058 | Playback queue with software components | SONOS, INC. |
11055059 | Identification of audio content | SONOS, INC. |
11055060 | Distributed provisioning of properties of operational settings of a media playback system | SONOS, INC. |
11055061 | Signal transmission method and a circuit structure for heterogeneous platforms | -- |
11055071 | Building segment-specific executable program code for modeling outputs | EQUIFAX INC. |
11055084 | Interacting with product features using support methods | DROPBOX, INC. |
11055111 | Electronic devices and corresponding methods for changing operating modes in response to user input | MOTOROLA MOBILITY LLC |
11055117 | Method and device for displaying, on a local terminal, an application executed on a remote server by means of a remote office protocol | WALLIX |
11055118 | Dynamic remote display resource management | VMWARE, INC. |
11055119 | Feedback responsive interface | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11055124 | Centralized storage provisioning and management across multiple service providers | EMC IP HOLDING COMPANY LLC |
11055154 | Screen-shooting method and device for guest operating system in computer apparatus | CLOUDMINDS (SHENZHEN) ROBOTICS SYSTEMS CO., LTD. |
11055157 | Method and apparatus for graph-based computing | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11055167 | Channel-scope proximity disturb and defect remapping scheme for non-volatile memory | MICRON TECHNOLOGY, INC. |
11055176 | Storage devices hiding parity swapping behavior | WESTERN DIGITAL TECHNOLOGIES, INC. |
11055177 | Correlating operational information with an error condition in a dispersed storage network | PURE STORAGE, INC. |
11055182 | Tagging data for automatic transfer during backups | RUBRIK, INC. |
11055183 | Data gap management in a remote data mirroring system | AXXANA (ISRAEL) LTD. |
11055185 | Method and system for global snapshots of distributed storage | HUAWEI TECHNOLOGIES CO., LTD. |
11055188 | Offloading error processing to raid array storage enclosure | EMC IP HOLDING COMPANY LLC |
11055212 | Testing SoC with portable scenario models and at different levels | BREKER VERIFICATION SYSTEMS |
11055216 | Controller and operating method thereof | SK HYNIX INC. |
11055217 | Using additional intermediate buffer queues to identify interleaved media data to be read together | APPLE INC. |
11055222 | Prefetching of completion notifications and context | MELLANOX TECHNOLOGIES, LTD. |
11055251 | Storage device performing peer-to-peer communication with external device without intervention of host | SAMSUNG ELECTRONICS CO., LTD. |
11055293 | Implementing a user engagement platform using a database system | SALESFORCE.COM, INC. |
11055294 | Communication terminal, content server, content recommendation system, control device, and control method | SHARP KABUSHIKI KAISHA |
11055300 | Real-time search techniques | SPLUNK INC. |
11055302 | Method and system for implementing target model configuration metadata for a log analytics system | ORACLE INTERNATIONAL CORPORATION |
11055305 | Search result refinement and item information exploration | AMAZON TECHNOLOGIES, INC. |
11055311 | Exploration and navigation of a content collection | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11055325 | System and method for context enhanced mapping | R2 SOLUTIONS, LLC |
11055326 | Method for indexing and retrieving text for adding text identifier as an adhesive to text body of physical page implemented in an adhesive page marker and sticker system | -- |
11055343 | Dynamic search control invocation and visual search | PINTEREST, INC. |
11055346 | Tagging an image with audio-related metadata | GRACENOTE, INC. |
11055356 | Mobile user borne brain activity data and surrounding environment data correlation system | -- |
11055360 | Data write-in method and apparatus in a distributed file system | ALIBABA GROUP HOLDING LIMITED |
11055361 | Extensible framework for executable annotations in electronic content | MICROSOFT TECHNOLOGY LICENSING, LLC |
11055375 | Method of and system for determining a next state for a recommendation block to be displayed in web browser | YANDEX EUROPE AG |
11055388 | Passive affective and knowledge-based authentication through eye movement tracking | ADVANCED NEW TECHNOLOGIES CO., LTD. |
11055406 | Application security protection method, terminal, and storage medium | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
11055422 | Method for sharing a digital image between a first user terminal and at least one second user terminal over a communications network | ORANGE |
11055439 | Confirmation message determinations | MICRO FOCUS LLC |
11055473 | Dynamic message display method, server, and terminal | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
11055476 | Processing web page data across network elements | PINTEREST, INC. |
11055478 | Systems and user interfaces for dynamic and interactive report generation and editing based on automatic traversal of complex data structures | ADDEPAR, INC. |
11055485 | Electronic document author identification | WORKIVA INC. |
11055489 | Determining levels of detail for data visualizations using natural language constructs | TABLEAU SOFTWARE, INC. |
11055507 | Display device with fingerprint identification and driving method | XIAMEN TIANMA MICRO-ELECTRONICS CO., LTD. |
11055521 | Real-time gesture recognition method and apparatus | AVODAH, INC. |
11055530 | Accumulation and confidence assignment of iris codes | MAGIC LEAP, INC. |
11055533 | Translating sound events to speech and AR content | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11055556 | Electronic system for comparing positions of interest on media items | CLICPIC, INC. |
11055586 | Printing device that receives print data and performs printing, control method of printing device, and program | CANON KABUSHIKI KAISHA |
11055587 | Image forming apparatus having print data analysis, information processing method and storage medium | CANON KABUSHIKI KAISHA |
11055600 | Cards with serial magnetic emulators | DYNAMICS INC. |
11055602 | Deep learning assignment processing method and apparatus, device and storage medium | BEIJING BAIDU NETCOM SCIENCE AND TECHNOLOGY CO., LTD. |
11055604 | Per kernel Kmeans compression for neural networks | INTEL CORPORATION |
11055622 | Data storage apparatus with selective adaptive predictive behavior | QUANTUM CORPORATION |
11055634 | System and method of selecting a relevant user for introduction to a user in an online environment | IFWE INC. |
11055657 | Methods and apparatuses for determining real-time location information of RFID devices | MICRON TECHNOLOGY, INC. |
11055666 | Systems and methods for automation of corporate workflow processes via machine learning techniques | THE ABSTRACT OPERATIONS COMPANY |
11055670 | Systems and methods for generating a travel smartlist | UNITED SERVICES AUTOMOBILE ASSOCIATION (USAA) |
11055700 | Payment card with user feedback | CAPITAL ONE SERVICES, LLC |
11055760 | Product schematics collection and projection | EBAY INC. |
11055767 | Efficient task completion via intelligent aggregation and analysis of data | MICROSOFT TECHNOLOGY LICENSING, LLC |
11055769 | Platform for purchase demand of assets | RULISTING INC. |
11055782 | User-defined algorithm electronic trading | TRADING TECHNOLOGIES INTERNATIONAL, INC. |
11055799 | Information processing method and recording medium | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11055815 | Apparatus for correcting multi-video viewpoint | FDN. FOR RES.&BUS., SEOUL NAT. UNIV. OF SCI.&TECH. |
11055817 | Capturing real-time video with zooming capability and scanning high resolution still images of documents using the same apparatus | PATHWAY INNOVATIONS AND TECHNOLOGIES, INC. |
11055853 | Motion determining apparatus, method for motion determination, and non-transitory computer-readable storage medium for storing program | FUJITSU LIMITED |
11055868 | Systems and methods for sizing objects via a computing device | RADIUS TECHNOLOGIES, LLC |
11055887 | Modifying digital content with digital effects using facial skin mask | ADOBE INC. |
11055889 | Electronic device displaying avatar motion-performed as per movement of facial feature point and method for operating same | SAMSUNG ELECTRONICS CO., LTD. |
11055891 | Real time styling of motion for virtual environments | MICROSOFT TECHNOLOGY LICENSING, LLC |
11055901 | Method, apparatus, medium, and server for generating multi-angle free-perspective video data | ALIBABA GROUP HOLDING LIMITED |
11055912 | Problem reporting in maps | APPLE INC. |
11055913 | Directional instructions in an hybrid reality system | -- |
11055920 | Performing operations using a mirror in an artificial reality environment | FACEBOOK TECHNOLOGIES, LLC |
11055922 | Apparatus and associated methods for virtual reality scene capture | NOKIA TECHNOLOGIES OY |
11055923 | System and method for head mounted device input | SAMSUNG ELECTRONICS CO., LTD. |
11055925 | Techniques for placement of extended reality objects relative to physical objects in an extended reality environment | SPLUNK INC. |
11055926 | Method and apparatus for multiple mode interface | ATHEER, INC. |
11055927 | Method for building scene, electronic device and storage medium | BEIJING DAJIA INTERNET INFORMATION TECH CO., LTD. |
11055928 | Augmented reality interface and method of use | MYTH INNOVATIONS, INC. |
11055938 | Key and lock set | STEVENSON RANCH |
11055960 | Gaming apparatus supporting virtual peripherals and funds transfer | NGUYEN GAMING LLC |
11055969 | Card machine with a security user interface | WANZL GMBH & CO. KGAA |
11055974 | Physical knowledge action triggers | GOOGLE LLC |
11056013 | Dynamic filtering and tagging functionality implemented in collaborative, social online education networks | STUDY SOCIAL INC. |
11056017 | System for dynamically provisioning cyber training environments | CIRCADENCE CORPORATION |
11056022 | System, apparatus, and method for creating an interactive augmented reality experience to simulate medical procedures for pediatric disease education | SPROUTEL, INC. |
11056025 | Display device | SAMSUNG DISPLAY CO., LTD. |
11056030 | Display apparatus and method of enhancing apparent resolution using liquid-crystal device | VARJO TECHNOLOGIES OY |
11056032 | Scanning display systems with photonic integrated circuits | APPLE INC. |
11056051 | Touch sensor assembly and refrigerator door with touch sensor assembly and method for manufacturing the same | LG ELECTRONICS INC. |
11056055 | Display device | LG DISPLAY CO., LTD. |
11056074 | Cloud-based component linking in a smart window system | KINESTRAL TECHNOLOGIES, INC. |
11056075 | Adjusting display settings of a head-mounted display | LENOVO (SINGAPORE) PTE. LTD. |
11056077 | Approach for automatically adjusting display screen setting based on machine learning | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11056079 | Display system and program | EIZO CORPORATION |
11056080 | Electronic device and method for managing the display of data for controlling a nuclear power plant, associated control system and computer program product | FRAMATOME |
11056105 | Talk back from actions in applications | AIQUDO, INC |
11056106 | Voice interaction system and information processing apparatus | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11056108 | Interactive method and device | ALIBABA GROUP HOLDING LIMITED |
11056109 | Reference audio extraction device for use with network microphones with acoustic echo cancellation and beamforming | CRESTRON ELECTRONICS, INC. |
11056112 | Voice-based operations | CITRIX SYSTEMS, INC. |
11056113 | Conversation guidance method of speech recognition system | HYUNDAI MOTOR COMPANY |
11056115 | Location-based responses to telephone requests | GOOGLE LLC |
11056140 | High resolution tape directory recovery by writing a null data set | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11056144 | System and method for write protecting portions of magnetic tape storage media | ORACLE INTERNATIONAL CORPORATION |
11056158 | Memory device and divided clock correction method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11056168 | Temperature compensated memory refresh | PANASONIC AUTOMOTIVE SYSTEMS COMPANY OF AMERICA, DIVISION OF PANASONIC CORPORATION OF NORTH AMERICA |
11056173 | Semiconductor memory device and memory module including the same | SAMSUNG ELECTRONICS CO., LTD. |
11056206 | Non-volatile memory with dynamic wear leveling group configuration | WESTERN DIGITAL TECHNOLOGIES, INC. |
11056220 | Utilizing density properties of anatomical features in an intensity transform augmentation system | ENLITIC, INC. |
11056224 | Intermittent fasting assistance terminal and method | SIMPLE DESIGN LTD. |
11056235 | Senior living engagement and care support platforms | STATE FARM MUTUAL AUTOMOBILE INSURANCE COMPANY |
11056507 | Memory arrays and methods used in forming a memory array | MICRON TECHNOLOGY, INC. |
11056543 | Display panel and manufacturing method thereof | -- |
11056546 | Display device and method of manufacturing display device | SAMSUNG DISPLAY CO., LTD. |
11056675 | Display panel and display device comprising the same | LG DISPLAY CO., LTD. |
11056904 | Electronic device for charging battery and operating method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11056960 | Tactile actuator | CK MATERIALS LAB CO., LTD. |
11057036 | Switching operation sensing device that distinguishes touch regions on surface of integrated housing | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11057132 | Caching OTA antenna installation data | DISH TECHNOLOGIES L.L.C. |
11057230 | Expected group chat segment duration | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11057232 | Complex computing network for establishing audio communication between select users on a mobile application | STEREO APP LIMITED |
11057333 | Incorporating selectable application links into message exchange threads | GOOGLE LLC |
11057334 | Message classification and management | MICROSOFT TECHNOLOGY LICENSING, LLC |
11057335 | Portable multifunction device, method, and graphical user interface for an email client | APPLE INC. |
11057370 | Methods and systems for dynamic creation of hotspots for media control | SPOTIFY AB |
11057378 | Device and method of setting or removing security on content | SAMSUNG ELECTRONICS CO., LTD. |
11057417 | Enterprise cyber security risk management and resource planning | -- |
11057432 | Creation of security policies using a visual approach | NUTANIX, INC. |
11057435 | Picture/gesture password protection | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11057441 | Dynamic multi-user media streaming | INSPACE PROXIMITY, INC. |
11057456 | Memory system | TOSHIBA MEMORY CORPORATION |
11057466 | Method and system for generating out-of-band notifications of client activity in a network attached storage (NAS) device | DELL PRODUCTS L.P. |
11057468 | Vast data storage system | PURE STORAGE, INC. |
11057470 | Communication device and communication method for processing meta data | FUJITSU LIMITED |
11057481 | Method and system for creation and distribution of narrated content | MODIOLEGAL, LLC |
11057500 | Publication of applications using server-side virtual screen change capture | ASG TECHNOLOGIES GROUP, INC. |
11057507 | Electronic assembly and electronic device | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
11057512 | Augmenting messages based on sender location | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11057514 | Mobile terminal and control method therefor | LG ELECTRONICS INC. |
11057515 | Handling calls on a shared speech-enabled device | GOOGLE LLC |
11057518 | Site information button | NCR CORPORATION |
11057529 | Image forming system, image forming apparatus, and image forming method capable of pull printing without key input with no server | KYOCERA DOCUMENT SOLUTIONS INC. |
11057530 | Information processing apparatus, information processing system, control program, and control method | SHARP KABUSHIKI KAISHA |
11057531 | Operating an appliance scanner system | KODAK ALARIS INC. |
11057532 | Image processing apparatus, control method for image processing apparatus, and storage medium | CANON KABUSHIKI KAISHA |
11057534 | Information processing apparatus, and method of controlling information processing apparatus for providing notification of a reception result in response to predetermined information | CANON KABUSHIKI KAISHA |
11057537 | Image forming apparatus, method of controlling the same, and storage medium | CANON KABUSHIKI KAISHA |
11057540 | Information processing apparatus and control method | CANON KABUSHIKI KAISHA |
11057574 | Systems, methods, and computer-readable media for using a video capture device to alleviate motion sickness via an augmented display for a passenger | MICROSOFT TECHNOLOGY LICENSING, LLC |
11057610 | Three dimensional depth mapping using dynamic structured light | FACEBOOK TECHNOLOGIES, LLC |
11057612 | Generating composite stereoscopic images usually visually-demarked regions of surfaces | TANZLE, INC. |
11057613 | Using dynamic vision sensors for motion detection in head mounted displays | INTEL CORPORATION |
11057665 | Method and system to navigate viewable content | OPENTV, INC. |
11057666 | Display apparatus and control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11057679 | Content delivery | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11057682 | User interfaces including selectable representations of content items | APPLE INC. |
11057702 | Method and system for reducing audio feedback | MICROSOFT TECHNOLOGY LICENSING, LLC |
11057704 | Integrated loudspeaker and control device | BIAMP SYSTEMS, LLC |
11057720 | Remote microphone devices for auditory prostheses | COCHLEAR LIMITED |
11057728 | Information processing apparatus, information processing method, and program | SONY CORPORATION |
11057738 | Adaptive context detection in mobile devices | CONTEXT DIRECTIONS LLC |
11057739 | Mobile device notification generation | MASSACHUSETTS MUTUAL LIFE INSURANCE COMPANY |
11058018 | Electronic device including flexible display | SAMSUNG ELECTRONICS CO., LTD. |
11058044 | Work vehicle and method for controlling work vehicle | KUBOTA CORPORATION |
11058163 | Automated apparel collection imagery | LEVI STRAUSS & CO. |
11058343 | Electrocardiographic waveform display method and electrocardiogram analysis device | FUKUDA DENSHI CO., LTD. |
11058352 | Electrical stimulation facilitated symptom transference for empathic response | KLICK INC. |
11058368 | Distributed healthcare communication system | HILL-ROM SERVICES, INC. |
11058497 | Use of augmented reality to assist navigation during medical procedures | BIOSENSE WEBSTER (ISRAEL) LTD. |
11058512 | Sensor-controlled display output for dialysis machines | FRESENIUS MEDICAL CARE DEUTSCHLAND GMBH |
11058597 | Safe control method and system | KARL STORZ SE & CO. KG |
11058816 | Infusion management | CERNER INNOVATION, INC. |
11058937 | Golf aid including virtual caddy | NIKE, INC. |
11058944 | Calibration bias control in user input devices | MICROSOFT TECHNOLOGY LICENSING, LLC |
11058950 | Methods and systems for spectating characters in virtual reality views | SONY INTERACTIVE ENTERTAINMENT INC. |
11059266 | Rigid display shield | RACING OPTICS, INC. |
11059417 | Driver monitor camera to control lighting features | FORD GLOBAL TECHNOLOGIES, LLC |
11059435 | Vehicle software control device | DRIMAES, INC. |
11059713 | Remote beverage selection with a beverage dispenser | THE COCA-COLA COMPANY |
11060621 | Electromagnetically actuated fluidic-device valve apparatuses, systems, and methods | FACEBOOK TECHNOLOGIES, LLC |
11060857 | System and process for roof measurement using imagery | PICTOMETRY INTERNATIONAL CORP. |
11060858 | Method and system for generating a virtual user interface related to a totem | MAGIC LEAP, INC. |
11060871 | Proximity navigation | TRANSFORM SR BRANDS LLC |
11060899 | Method for determining a maximum allowable volume of water that can be removed over time from an underground water source | VEOLIA ENVIRONNEMENT VE |
11061006 | Chromatograph-mass spectrometry system and measurement condition display method | JEOL LTD. |
11061115 | Method for gesture recognition, terminal, and storage medium | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
11061236 | Head-mounted display and control method thereof | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11061239 | Augmented reality head-mounted display with a pancake combiner and pupil steering | FACEBOOK TECHNOLOGIES, LLC |
11061240 | Head-mountable apparatus and methods | SONY INTERACTIVE ENTERTAINMENT INC. |
11061247 | Liquid crystal parallax barrier and method of addressing | SHARP KABUSHIKI KAISHA |
11061248 | Noncontact operation detecting device | TOYODA GOSEI CO., LTD. |
11061254 | Adjusting contact lens prescription while wearing lens | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11061263 | Touch-panel-equipped display device | SHARP KABUSHIKI KAISHA |
11061266 | Touch electrode structure and touch display device | BOE TECHNOLOGY GROUP CO., LTD. |
11061291 | Electronic apparatus | LENOVO (SINGAPORE) PTE. LTD. |
11061293 | Ground structure and mobile terminal | VIVO MOBILE COMMUNICATION CO., LTD. |
11061372 | User interfaces related to time | APPLE INC. |
11061378 | Processing apparatus and method of controlling processing apparatus using a touch-screen displaying an image-captured workpiece | DISCO CORPORATION |
11061383 | Display control device | DMG MORI CO., LTD. |
11061417 | Selectable-rate bottom purge apparatus and methods | APPLIED MATERIALS, INC. |
11061427 | Operating device | KAWASAKI JUKOGYO KABUSHIKI KAISHA |
11061437 | Foldable display device | SAMSUNG DISPLAY CO., LTD. |
11061438 | Flexible display panel, manufacturing method thereof and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11061447 | Electronic device including display and sensor | SAMSUNG ELECTRONICS CO., LTD. |
11061448 | Touchpad module and computing device using same | -- |
11061449 | Memory devices | SAMSUNG ELECTRONICS CO., LTD. |
11061459 | Hybrid computing module | -- |
11061465 | Application processor including low power voice trigger system with security, electronic device including the same and method of operating the same | SAMSUNG ELECTRONICS CO., LTD. |
11061466 | Apparatus and associated methods for presenting sensory scenes | NOKIA TECHNOLOGIES OY |
11061467 | Electronic device displays an image of an obstructed target | -- |
11061468 | Method and device for inputting password in virtual reality scene | ADVANCED NEW TECHNOLOGIES CO., LTD. |
11061469 | Head mounted display system and rotation center correcting method thereof | -- |
11061470 | Eye tracking device and eye tracking method | JVCKENWOOD CORPORATION |
11061471 | Screen estimation | TOBII AB |
11061472 | Whole-body human-computer interface | HAPTX, INC. |
11061473 | Updating a cornea model | TOBII AB |
11061474 | Systems and methods for generating viewpoints for visual content based on gaze | GOPRO, INC. |
11061475 | Vehicle interior component | SHANGHAI YANFENG JINQIAO AUTOMOTIVE TRIM SYSTEMS CO. LTD. |
11061476 | Haptic feedback apparatus | MICROSOFT TECHNOLOGY LICENSING, LLC |
11061477 | Display devices and pixel for a display device | FRAUNHOFER-GESELLSCHAFT ZUR FöRDERUNG DER ANGEWANDTEN FORSCHUNG E.V. |
11061478 | Apparatus and method for providing haptic feedback through wearable device | SAMSUNG ELECTRONICS CO., LTD. |
11061479 | Method, device and readable storage medium for processing control instruction based on gesture recognition | BAIDU ONLINE NETWORK TECHNOLOGY (BEIJING) CO., LTD. |
11061480 | Apparatus, method and recording medium for controlling user interface using input image | SAMSUNG ELECTRONICS CO., LTD. |
11061481 | Electronic device with gesture detection system and methods for using the gesture detection system | GOOGLE TECHNOLOGY HOLDINGS LLC |
11061482 | Force sensitive input devices and methods | AIMPAD, LLC |
11061483 | Positioning member and keyboard containing the same | LITE-ON ELECTRONICS (GUANGZHOU) LIMITED |
11061484 | Computing device and predictive conversion method | FUJITSU LIMITED |
11061485 | Computer mouse | RAZER (ASIA-PACIFIC) PTE. LTD. |
11061486 | Method and apparatus for quantifying button click force | RAZER (ASIA-PACIFIC) PTE. LTD. |
11061487 | Electronic device for performing communication with pen input device with multiple input buttons and method of controlling same | SAMSUNG ELECTRONICS CO., LTD. |
11061488 | Automating and reducing user input required for user session on writing and/or drawing system | TOPOLEG, INC. |
11061489 | Automating and reducing user input required for user session on writing and/or drawing system | TOPOLEG, INC. |
11061490 | Capacitive wireless charging systems | APPLE INC. |
11061491 | Systems, devices and methods for managing glucose levels | ABBOTT DIABETES CARE INC. |
11061492 | Gyratory sensing system to enhance wearable device user experience via HMI extension | INTEL CORPORATION |
11061493 | Mouse roller module | -- |
11061494 | Electronic pen, display system, and method for controlling electronic pen | SEIKO EPSON CORPORATION |
11061495 | Mouse pad structure having wireless charging coil and manufacturing procedure thereof | -- |
11061496 | Method and apparatus of controlling user interface using touch screen | SAMSUNG ELECTRONICS CO., LTD. |
11061497 | Touch sensor, and window laminate and image display device including the same | DONGWOO FINE-CHEM CO., LTD. |
11061498 | Display module | SAMSUNG DISPLAY CO., LTD. |
11061499 | Display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11061500 | Touch display driving apparatus and operation method thereof | -- |
11061501 | Touch panel and manufacturing method thereof | CAMBRIOS FILM SOLUTIONS CORPORATION |
11061502 | Selection of a graphical element with a cursor in a magnification window | DASSAULT SYSTEMES |
11061503 | Devices, methods, and graphical user interfaces for manipulating user interface objects with visual and/or haptic feedback | P4TENTS1, LLC |
11061504 | Input sensing unit and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11061505 | Display device | LG DISPLAY CO., LTD. |
11061506 | Detection apparatus and display apparatus | JAPAN DISPLAY INC. |
11061507 | Touch system and method for controlling the touch system by varying frequencies of alternating current shielding signals and transmitting signals over time | -- |
11061508 | Touch sensor and method of driving the same | SAMSUNG DISPLAY CO., LTD. |
11061509 | Array substrate, driving method thereof and display panel | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO. LTD. |
11061510 | Detection of non-touch inputs using a signature | SENTONS INC. |
11061511 | Operating device and method for detecting a user selection of at least one operating function of the operating device | AUDI AG |
11061512 | Projector, image display system, and method for controlling image display system | SEIKO EPSON CORPORATION |
11061513 | Method for controlling display device, and display device | SEIKO EPSON CORPORATION |
11061514 | Touch operated surface | MICROSOFT TECHNOLOGY LICENSING, LLC |
11061515 | Position indicator | WACOM CO., LTD. |
11061516 | Flexible touch panel and flexible display device | SAMSUNG DISPLAY CO., LTD. |
11061517 | Input device | ALPS ALPINE CO., LTD. |
11061518 | Touch substrate and manufacturing method thereof, touch display panel | BOE TECHNOLOGY GROUP CO., LTD. |
11061519 | Pseudo-piezoelectric d33 vibration device and display integrating the same | -- |
11061520 | Finger tracking in an input device with proximity sensing | CIRQUE CORPORATION |
11061521 | System and method for generating corrected sensor data | SYNAPTICS INCORPORATED |
11061522 | Input device, operation device, and electronic apparatus | SONY CORPORATION |
11061523 | Content sharing system, content sharing method, and program | RAKUTEN, INC. |
11061524 | Techniques to modify content and view content on a mobile device | ZUMOBI, LLC |
11061525 | Digital map calendar user interface | MICROSOFT TECHNOLOGY LICENSING, LLC |
11061526 | Incident card system | MOTOROLA SOLUTIONS, INC. |
11061527 | Audible and visual operational modes for a head-mounted display device | REALWEAR, INC. |
11061528 | Method and system for detecting free area in electronic instrument cluster for displaying dynamic content | WIPRO LIMITED |
11061529 | Generating contextual guides | ADOBE INC. |
11061530 | Electronic signature management system and method | -- |
11061531 | System and method for touch-based communications | VERIZON MEDIA INC. |
11061532 | Modular systems and methods for selectively enabling cloud-based assistive technologies | AUDIOEYE, INC. |
11061533 | Large format display apparatus and control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11061534 | Generating and applying data transformations in a data import engine | TABLEAU SOFTWARE, INC. |
11061535 | User interface for an online social-interaction system having action- request functionality | -- |
11061536 | Systems, methods, and user interfaces for interacting with multiple application windows | APPLE INC. |
11061537 | Interactive human visual and timeline rotor apparatus and associated methods | GE PRECISION HEALTHCARE LLC |
11061538 | Data preparation user interface with conglomerate heterogeneous process flow elements | TABLEAU SOFTWARE, INC. |
11061539 | Reference nodes in a computational graph | THE MATHWORKS, INC. |
11061540 | Remote support service with smart whiteboard | LOGMEIN, INC. |
11061541 | Apparatus, method, and computer-readable recording medium for compactedly displaying popup content | NAVER CORPORATION |
11061542 | Systems and methods for determining and displaying optimal associations of data items | PALANTIR TECHNOLOGIES INC. |
11061543 | Providing relevant data items based on context | APPLE INC. |
11061544 | Method and electronic device for processing input | SAMSUNG ELECTRONICS CO., LTD. |
11061545 | Electronic apparatus, adjustment method for display interface layout in electronic apparatus, and adjustment system for display interface layout | JRD COMMUNICATION (SHENZHEN) LTD |
11061546 | Laboratory apparatus with a control device | -- |
11061547 | Collaborative, social online education and whiteboard techniques | STUDY SOCIAL, INC. |
11061548 | Context-sensitive user interfaces in an information technology (IT) and security operations application | SPLUNK INC. |
11061549 | Display apparatus, control apparatus, and operating methods thereof | SAMSUNG ELECTRONICS CO., LTD. |
11061550 | Natural language task completion platform authoring for third party experiences | MICROSOFT TECHNOLOGY LICENSING, LLC |
11061551 | Techniques for providing passive scroll hints | AMAZON TECHNOLOGIES, INC. |
11061552 | Accessing shared folders with commands in a chat interface | ATLASSIAN PTY LTD. |
11061553 | Drag and drop quick actions | DROPBOX, INC. |
11061554 | Touch operation for displaying content in place of other content | NOMURA RESEARCH INSTITUTE, LTD. |
11061555 | In-vehicle accessory | PORTABLE MULTIMEDIA LTD |
11061556 | Computer device having variable display output based on user input with variable time and/or pressure patterns | MICROSOFT TECHNOLOGY LICENSING, LLC |
11061557 | Dynamic single touch point navigation | RESCAN, INC. |
11061558 | Touch operation response method and device | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
11061559 | Controlling user interfaces for electronic devices | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11061560 | Non-volatile recording medium, information processing method, and electronic apparatus | CASIO COMPUTER CO., LTD. |
11061561 | Space optimizing micro keyboard method and apparatus | -- |
11061562 | Method for providing haptic feedback to an operator of a touch-sensitive display device | ROBERT BOSCH GMBH |
11061563 | Interactive charts system and method | ROCKWELL COLLINS, INC. |
11061564 | Display device, display system comprising such a device, related display method and computer program | THALES |
11061565 | Electronic device and control method | TOSHIBA CLIENT SOLUTIONS CO., LTD. |
11061566 | Computing device | -- |
11061567 | Method and device for adaptively identifying type of flash memory | RAYMX MICROELECTRONICS, CORP. |
11061568 | Variable operation tape performance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11061569 | Method and system for providing improved efficiency snapshots using snaplines | VAST DATA LTD. |
11061571 | Techniques for efficiently organizing and accessing compressible data | NVIDIA CORPORATION |
11061572 | Memory object tagged memory monitoring method and system | ADVANCED MICRO DEVICES, INC. |
11061573 | Accelerating write operations in a storage system | PURE STORAGE, INC. |
11061574 | Accelerated data processing in SSDs comprises SPAs an APM and host processor whereby the SPAs has multiple of SPEs | SAMSUNG ELECTRONICS CO., LTD. |
11061575 | Read-only table of contents register | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11061576 | Read-only table of contents register | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11061577 | System on chip performing training of duty cycle of write clock using mode register write command, operating method of system on chip, electronic device including system on chip | SAMSUNG ELECTRONICS CO., LTD. |
11061578 | Monitoring flash memory erase progress using erase credits | MICRON TECHNOLOGY, INC. |
11061579 | Access ordering for tape cycle optimization | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11061580 | Storage device and controllers included in storage device | SAMSUNG ELECTRONICS CO., LTD. |
11061581 | Data stream assembly control | ARM IP LIMITED |
11061582 | Codeword interleaving for magnetic storage media | MARVELL ASIA PTE, LTD. |
11061583 | Setting durations for which data is stored in a non-volatile memory based on data types | ADVANCED MICRO DEVICES, INC. |
11061584 | Profile-guided data preloading for virtualized resources | AMAZON TECHNOLOGIES, INC. |
11061585 | Integration of NVMe device with DRAM cache system and method | EMC IP HOLDING COMPANY LLC |
11061586 | System for dynamically determining access constraints of data-repository objects | VERITAS TECHNOLOGIES LLC |
11061587 | Memory system switching between a locked and unlocked state and memory control method | TOSHIBA MEMORY CORPORATION |
11061588 | Using generic volume entries to add specific volumes managed by a storage management subsystem (SMS) to a volume list definition | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11061589 | Storage management apparatus, storage system, and non-transitory computer-readable recording medium having stored therein storage management program | FUJITSU LIMITED |
11061590 | Efficiently training memory device chip select control | INTEL CORPORATION |
11061591 | Storage device processing stream data, system including the same, and operation method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11061592 | Modular data processing and storage system | VISA INTERNATIONAL SERVICE ASSOCIATION |
11061593 | Memory system and operation method for determining availability based on block status | SK HYNIX INC. |
11061594 | Enhanced data encryption in distributed datastores using a cluster-wide fixed random tweak | VMWARE, INC. |
11061595 | Logical address remapping for direct write | SEAGATE TECHNOLOGY LLC |
11061596 | Multi-pass distributed data shuffle | GOOGLE LLC |
11061597 | Supporting live migrations and re-balancing with a virtual storage unit | PURE STORAGE, INC. |
11061598 | Optimized handling of multiple copies in storage management | WESTERN DIGITAL TECHNOLOGIES, INC. |
11061599 | Volume migration in a federated storage array with non-volatile memory express over fabric (NVMeoF) host attachment | EMC IP HOLDING COMPANY LLC |
11061600 | Tiered storage data evacuation within solid state devices | WESTERN DIGITAL TECHNOLOGIES, INC. |
11061601 | Systems and methods for continuous data protection | RUBRIK, INC. |
11061602 | System and method for event based storage management | EMC IP HOLDING COMPANY LLC |
11061603 | Systems and methods for switching replication modes in a volume replication system | VERITAS TECHNOLOGIES LLC |
11061604 | Method and storage system architecture for accessing data by means of a compatible module | -- |
11061605 | Dynamically performing managed file transfer based on policies | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11061606 | NAND temperature-aware operations | MICRON TECHNOLOGY, INC. |
11061607 | Electronic system having host and memory controller, and operating method thereof | SK HYNIX INC. |
11061608 | Memory controller and memory system having the same | SK HYNIX INC. |
11061609 | Distributed memory object method and system enabling memory-speed data access in a distributed environment | -- |
11061610 | Memory system | TOSHIBA MEMORY CORPORATION |
11061611 | Dynamically altered data distribution workload on a storage system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11061612 | Internal communication interface management | MICRON TECHNOLOGY, INC. |
11061613 | Dynamic retention policies and optional deletes | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11061614 | Electronic apparatus having data retention protection and operating method thereof | SK HYNIX INC. |
11061615 | Memory system, memory controller and operating method thereof | SK HYNIX INC. |
11061616 | Memory device and method of operating the same | SK HYNIX INC. |
11061617 | High density fractional bit solid state drives using coded set partitions | MARVELL ASIA PTE, LTD. |
11061618 | Disk array enclosure configured to determine metadata page location based on metadata identifier | EMC IP HOLDING COMPANY LLC |
11061619 | Power management for data storage devices implementing non-volatile memory (NVM) sets | WESTERN DIGITAL TECHNOLOGIES, INC. |
11061620 | Bandwidth limiting in solid state drives | WESTERN DIGITAL TECHNOLOGIES, INC. |
11061621 | Data processing method, electronic apparatus, and computer-readable storage medium | SHENZHEN INTELLIFUSION TECHNOLOGIES CO., LTD. |
11061622 | Tiering data strategy for a distributed storage system | WEKA.IO LTD. |
11061623 | Preventing excessive hydration in a storage virtualization system | MICROSOFT TECHNOLOGY LICENSING, LLC |
11061624 | Information processing apparatus, information processing system, and file transmission method | RICOH COMPANY, LTD. |
11061625 | Information processing apparatus requiring selective user interaction for import of settings, method of controlling the same, and storage medium | CANON KABUSHIKI KAISHA |
11061626 | Machine learning printer control system including pre-press action predictor | KYOCERA DOCUMENT SOLUTIONS INC. |
11061627 | Server system, printing apparatus, control method, and communication system | CANON KABUSHIKI KAISHA |
11061628 | Information processing system and non-transitory computer readable medium | FUJIFILM BUSINESS INNOVATION CORP. |
11061629 | Printer and non-transitory computer-readable recording medium storing computer-readable instructions for printer | BROTHER KOGYO KABUSHIKI KAISHA |
11061630 | Intelligent management of data in printing operations | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11061631 | Image forming apparatus, method of controlling image forming apparatus, and storage medium for detecting a state of a consumable and a state of a service to order the consumable | CANON KABUSHIKI KAISHA |
11061632 | Computer-readable medium, information processing device, and communication system for providing a result of a process to change setting values for printers | BROTHER KOGYO KABUSHIKI KAISHA |
11061633 | Information processing device and method for selecting specific setting items related to job and update total numeral values to attain reduced printing cost | KYOCERA DOCUMENT SOLUTIONS INC. |
11061634 | Electronic device and image forming apparatus that display preregistered information on display device, depending on open or closed state thereof | KYOCERA DOCUMENT SOLUTIONS INC. |
11061635 | Image reading apparatus, method for controlling image reading apparatus, and non-transitory computer-readable storage medium | CANON KABUSHIKI KAISHA |
11061636 | Printing data generation device, printing data generation method and storage medium for creating booklet | SCREEN HOLDINGS CO., LTD. |
11061637 | Digital picture frames and methods of frame setup | AURA HOME, INC. |
11061638 | Dynamically determining an interface for presenting information to a user | THE TORONTO-DOMINION BANK |
11061639 | Electronic whiteboard system, electronic whiteboard, and method of displaying content data | RICOH COMPANY, LTD. |
11061640 | Mobile app co-browsing system and method | TAGOVE LIMITED |
11061641 | Screen sharing system, and information processing apparatus | RICOH COMPANY, LTD. |
11061642 | Multi-core audio processor with flexible memory allocation | KNOWLES ELECTRONICS, LLC |
11061643 | Devices with enhanced audio | APPLE INC. |
11061644 | Maintaining context for voice processes | AMAZON TECHNOLOGIES, INC. |
11061649 | Visual protocol designer | STRATEDIGM, INC. |
11061670 | Dual-interface flash memory controller with execute-in-place cache control | MARVELL ASIA PTE, LTD. |
11061685 | Extended asynchronous data mover functions compatibility indication | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11061686 | Non-transitory computer readable storage medium storing set of program instructions for controlling information processing apparatus to function as higher-level module and lower-level module | BROTHER KOGYO KABUSHIKI KAISHA |
11061691 | Suppression of memory area fragmentation caused by booting an operating system | FUJITSU LIMITED |
11061698 | Electronic apparatus and operating method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11061713 | Prioritization and source-nonspecific based virtual machine recovery apparatuses, methods and systems | DATTO, INC. |
11061721 | Task queues | WESTERN DIGITAL TECHNOLOGIES, INC. |
11061744 | Direct input from a remote device | APPLE INC. |
11061763 | Memory controller, memory system and application processor comprising the memory controller | SAMSUNG ELECTRONICS CO., LTD. |
11061765 | Systems and methods for adaptive error-correction coding | UNIFICATION TECHNOLOGIES, LLC |
11061773 | Fault tolerant memory systems and components with interconnected and redundant data interfaces | RAMBUS INC. |
11061786 | Cloud-based disaster recovery of a storage system | PURE STORAGE, INC. |
11061787 | Custom error recovery in selected regions of a data storage device | MICRON TECHNOLOGY, INC. |
11061814 | Managing data storage caching and tiering | EMC IP HOLDING COMPANY LLC |
11061825 | Apparatus, system, and method for managing commands of solid-state storage using bank interleave | UNIFICATION TECHNOLOGIES LLC |
11061834 | Method and system for facilitating an improved storage system by decoupling the controller from the storage medium | ALIBABA GROUP HOLDING LIMITED |
11061851 | Computer system, processing method, and driver program | HITACHI, LTD. |
11061853 | Processor with memory controller including dynamically programmable functional unit | VIA ALLIANCE SEMICONDUCTOR CO., LTD. |
11061863 | Method and apparatus for opening file, and electronic device | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
11061865 | Block allocation for low latency file systems | AMAZON TECHNOLOGIES, INC. |
11061866 | Smart folder scan system and method | DRUVA INC. |
11061869 | Reduction in time required to write file to tape | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11061871 | Data placement for a distributed database | GOOGLE LLC |
11061880 | Data structure with identifiers | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11061883 | Automated social message stream population | TWITTER, INC. |
11061889 | Systems and methods of managing manifest refresh in a database | SALESFORCE.COM, INC. |
11061890 | Automated identification of hardware and software components relevant to incident reports | SERVICENOW, INC. |
11061892 | Techniques for automated database query generation | STATE STREET CORPORATION |
11061903 | Methods and systems for an improved database | AMAZON TECHNOLOGIES, INC. |
11061904 | Resource condition correction using intelligently configured dashboard widgets | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11061919 | Computer-implemented apparatus and method for interactive visualization of a first set of objects in relation to a second set of objects in a data collection | -- |
11061928 | Snapshots and forks of storage systems using distributed consistent databases implemented within an object store | SCALITY, S.A. |
11061930 | Dynamic management of storage object partitioning | AMAZON TECHNOLOGIES, INC. |
11061933 | System and method for contextually enriching a concept database | CORTICA LTD. |
11061956 | Enhanced processing and communication of file content for analysis | MICROSOFT TECHNOLOGY LICENSING, LLC |
11061960 | Generating and distributing playlists with related music and stories | GRACENOTE, INC. |
11061967 | Defining a graphical visualization along a time-based graph lane using key performance indicators derived from machine data | SPLUNK INC. |
11061970 | Encapsulating virtual area based communicant assemblies | SOCOCO, INC. |
11061972 | Computing architecture for multiple search bots and behavior bots and related devices and methods | FACET LABS, LLC |
11061976 | High-signal friend suggestions in a feed | SNAP INC. |
11062009 | Method, device and system for unlocking mobile terminal device | HUIZHOU TCL MOBILE COMMUNICATION CO., LTD. |
11062010 | Liveness sensing and authentication with an ultrasonic sensor system | QUALCOMM INCORPORATED |
11062037 | Automated management of confidential data in cloud environments | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11062050 | Devices, systems, and methods for securely storing and managing sensitive information | ELSI INC |
11062083 | Automated data entry optimization by recognizing invalid data | AMAZON TECHNOLOGIES, INC. |
11062084 | Generating diverse smart replies using synonym hierarchy | MICROSOFT TECHNOLOGY LICENSING, LLC |
11062098 | Augmented reality information display and interaction via NFC based authentication | CAPITAL ONE SERVICES, LLC |
11062100 | Systems and methods to determine a machine-readable optical code based on screen-captured video | OUTLAYR, INC. |
11062112 | Fingerprint detection device and method and electronic device | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
11062140 | Display method, electronic device and storage medium having the same | LENOVO (BEIJING) CO., LTD. |
11062177 | Method and system for creating aesthetic design at scale | TATA CONSULTANCY SERVICES LIMITED |
11062185 | Converting apparatus, printing system, and non-transitory computer readable medium | FUJIFILM BUSINESS INNOVATION CORP. |
11062195 | Cards and devices with multifunction magnetic emulators and methods for using same | DYNAMICS INC. |
11062196 | Webinterface generation and testing using artificial neural networks | EVOLV TECHNOLOGY SOLUTIONS, INC. |
11062200 | Task synchronization for accelerated deep learning | CEREBRAS SYSTEMS INC. |
11062222 | Cross-user dashboard behavior analysis and dashboard recommendations | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11062243 | Activity integration associated with resource sharing management application | BANK OF AMERICA CORPORATION |
11062244 | Seating space optimization in a grouped seating environment | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11062249 | Electronic message management program coordinating defined activity and controlled recipient/respondents through a unique ID | TELESOLVE, INC. |
11062251 | Multi-dimensional command center | SPRINKLR, INC. |
11062257 | Shipping management system with multi-carrier support | CONVEY INC. |
11062268 | Presenting favorite contacts information to a user of a computing device | VERIZON MEDIA INC. |
11062269 | Activity stream based interaction | OPEN TEXT CORPORATION |
11062271 | Interactive whiteboard appliances with learning capabilities | RICOH COMPANY, LTD. |
11062272 | Recommending meeting spaces using automatically-generated visit data, with geo-tagging of the meeting spaces | MICROSOFT TECHNOLOGY LICENSING, LLC |
11062296 | Symmetric discovery over audio | GOOGLE LLC |
11062299 | System and method for indicating entry of personal identification number | BBPOS LIMITED |
11062313 | Smart contract enabled smart contact-based computing | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11062356 | System and method for tag based upselling | DIGIPRINT IP LLC |
11062374 | Continuum-based selection of product choice | -- |
11062375 | Automatic shopping based on historical data | BLAZER AND FLIP FLOPS, INC. |
11062376 | Data engine for graph based analysis | UNITED SERVICES AUTOMOBILE ASSOCIATION (USAA) |
11062384 | Image-based rendering of real spaces | APPLIANCE COMPUTING III, INC. |
11062411 | Student retention system | ORACLE INTERNATIONAL CORPORATION |
11062412 | Machines and process for managing a service account | TOUCHPAY HOLDINGS, LLC |
11062463 | Method and apparatus for adaptive trailer content monitoring | FORD GLOBAL TECHNOLOGIES, LLC |
11062474 | System and method for optical tracking | SAMSUNG ELECTRONICS CO., LTD. |
11062476 | Generating body pose information | APPLE INC. |
11062485 | Display processing method and device for applying an attribute of a reference image to a target image | SAMSUNG ELECTRONICS CO., LTD. |
11062491 | Graph plotter and replicator tool | ROBERT BOSCH GMBH |
11062493 | Symmetry axis digital content generation system | ADOBE INC. |
11062494 | Electronic messaging utilizing animatable 3D models | DIDIMO, INC. |
11062497 | Structuralized creation and transmission of personalized audiovisual data | AT&T INTELLECTUAL PROPERTY I, L.P. |
11062498 | Animated pull-to-refresh | SNAP INC. |
11062517 | Virtual access to a limited-access object | FISHER-ROSEMOUNT SYSTEMS, INC. |
11062518 | Method for displaying a mixed reality image | STEREOLABS |
11062519 | XR device and method for controlling the same | LG ELECTRONICS INC. |
11062522 | Surgeon head-mounted display apparatuses | GLOBAL MEDICAL INC |
11062539 | Wireless electronic lockset user validation using comparative gesture processing | SPECTRUM BRANDS, INC. |
11062616 | Interactive learning experience | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11062618 | Self-training machine-learning system for generating and providing action recommendations | UNITEDHEALTH GROUP INCORPORATED |
11062623 | Impact resistant modular braille display device | FREEDOM SCIENTIFIC, INC. |
11062628 | Apparatus having a flexible LED display module and a method of employing same | 10644137 CANADA INC. |
11062664 | Grayscale adjustment method and display device | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11062667 | Display device and operating method thereof | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11062675 | Compensation for display degradation with temperature normalization | IGNIS INNOVATION INC. |
11062676 | Brightness adjustment method and apparatus | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11062678 | Synchronization of environments during extended reality experiences | AT&T INTELLECTUAL PROPERTY I, L.P. |
11062681 | Monitoring system, data model management device, and method of generating display data | MITSUBISHI ELECTRIC CORPORATION |
11062685 | System, apparatus, and method for reducing or eliminating the ability of a smart speaker to hear or react to audible communications | -- |
11062691 | Voice transformation allowance determination and representation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11062702 | Media system with multiple digital assistants | ROKU, INC. |
11062709 | Providing pre-computed hotword models | GOOGLE LLC |
11062710 | Local and cloud speech recognition | ROKU, INC. |
11062735 | Radiation image display apparatus and radiation image photographing system | KONICA MINOLTA, INC. |
11062736 | Automated audio-video content generation | SOCLIP! |
11062744 | Memory device performing ZQ calibration, memory system, and operation method of memory device | SAMSUNG ELECTRONICS CO., LTD. |
11062756 | Extending operating temperature of storage device | WESTERN DIGITAL TECHNOLOGIES, INC. |
11062779 | Data processing system and data processing method | -- |
11062806 | User interfaces for dialysis devices | FRESENIUS MEDICAL CARE HOLDINGS, INO. |
11062809 | Secure messaging system with constrained user actions for ensured compliant transmission of sensitive information | TEXTLINE, INC. |
11063028 | Optical navigation module capable of performing lateral detection and adjusting tracking distance | -- |
11063239 | Barrier film laminate for a touch-sensing display, method of manufacture, and displays comprising the barrier film laminate | SHPP GLOBAL TECHNOLOGIES B.V. |
11063444 | Enhanced battery management system for battery pack | POWIN, LLC |
11063562 | Programmable filter in an amplifier | TEXAS INSTRUMENTS INCORPORATED |
11063601 | File system format for persistent memory | NETAPP, INC. |
11063758 | Methods for facilitating cipher selection and devices thereof | F5 NETWORKS, INC. |
11063777 | Distributed asynchronous document editing | AMAZON TECHNOLOGIES, INC. |
11063779 | Content server, information sharing system, communication control method, and non-transitory computer-readable medium | RICOH COMPANY, LTD. |
11063888 | Shared content item commenting | DROPBOX, INC. |
11063891 | Personalized avatar notification | SNAP INC. |
11063915 | Cluster of network-attachable storage devices with cluster manifest | AMAZON TECHNOLOGIES, INC. |
11063931 | Non-persisted proofs | WEST JORDAN |
11063985 | Methods and apparatus for graphical user interface environment for creating threat response courses of action for computer networks | FIREEYE, INC. |
11063989 | Systems and methods for remote control in information technology infrastructure | CONNECTWISE, LLC |
11064000 | Accessible audio switching for client devices in an online conference | ADOBE INC. |
11064005 | System and method for clustered transactional interoperability of proprietary non-standard features of a messaging provider using a connector mechanism | ORACLE INTERNATIONAL CORPORATION |
11064008 | Methods for facilitating a remote interface and devices thereof | USABLENET INC. |
11064009 | Augmented reality-based wiring, commissioning and monitoring of controllers | HONEYWELL INTERNATIONAL INC. |
11064011 | Content collection navigation and autoforwarding | SNAP INC. |
11064020 | Connection load distribution in distributed object storage systems | WESTERN DIGITAL TECHNOLOGIES, INC. |
11064044 | Intent-based scheduling via digital personal assistant | MICROSOFT TECHNOLOGY LICENSING, LLC |
11064048 | Method, device and system for information interaction in application service | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
11064050 | Crowd and cloud enabled virtual reality distributed location network | SONY INTERACTIVE ENTERTAINMENT LLC |
11064063 | Mobile terminal and controlling method thereof | LG ELECTRONICS INC. |
11064064 | Displaying wireless service icon based on frequency band indexing in 5G or other next generation wireless networks | AT&T INTELLECTUAL PROPERTY I, L.P. |
11064068 | Reminders techniques on a user device | APPLE INC. |
11064070 | Communication device and methods for use by hearing impaired | ULTRATEC, INC. |
11064084 | Image forming apparatus capable of reducing time of shift to low-power consumption operation mode, method of controlling same, and storage medium | CANON KABUSHIKI KAISHA |
11064085 | Job management and control for an image forming apparatus | CANON KABUSHIKI KAISHA |
11064088 | Non-transitory computer-readable recording medium, information processing apparatus and printing method | BROTHER KOGYO KABUSHIKI KAISHA |
11064089 | Communication device and method for controlling the same | CANON KABUSHIKI KAISHA |
11064090 | Management apparatus, image forming apparatus management system for managing usage of the image forming apparatus | RICOH COMPANY, LTD. |
11064136 | System and method for creating and manipulating synthetic environments | AT&T INTELLECTUAL PROPERTY I, L.P. |
11064159 | Systems and methods for video monitoring using linked devices | VERINT SYSTEMS LTD. |
11064160 | Systems and methods for video monitoring using linked devices | VERINT SYSTEMS LTD. |
11064161 | Systems and methods for video monitoring using linked devices | VERINT SYSTEMS LTD. |
11064163 | Networked monitor remote | CARRIER CORPORATION |
11064169 | Projection video display apparatus with variable light adjustment for multi-screen projection mode | MAXELL, LTD. |
11064228 | Audio playback timing adjustment | QUALCOMM INCORPORATED |
11064231 | Receiving device, transmitting device, and data processing method | SATURN LICENSING LLC |
11064232 | Media broadcast system | -- |
11064242 | On-screen item grid control method and device, and corresponding display device and ancillary device | INTERDIGITAL CE PATENT HOLDINGS |
11064248 | Systems and methods for routing content to an associated output device | AMAZON TECHNOLOGIES, INC. |
11064256 | Dynamic configuration of communication video stream arrangements based on an aspect ratio of an available display area | MICROSOFT TECHNOLOGY LICENSING, LLC |
11064264 | Intelligent rewind function when playing media content | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11064266 | Methods and devices for clarifying audible video content | GOOGLE LLC |
11064274 | Display apparatus | LG DISPLAY CO., LTD. |
11064280 | Wireless audio system and method for wirelessly communicating audio information using the same | BESTECHNIC (SHANGHAI) CO., LTD. |
11064281 | Sending and receiving wireless data | AMAZON TECHNOLOGIES, INC. |
11064295 | Scrambling data-port audio in SOUNDWIRE systems | QUALCOMM INCORPORATED |
11064300 | Display apparatus | LG DISPLAY CO., LTD. |
11064306 | Calibration state variable | SONOS, INC. |
11064334 | Device pairing with a dual use piezoelectric acoustic component and vibration sensor | ASCENSIA DIABETES CARE HOLDINGS AG |
11064352 | Embedded universal integrated circuit card (eUICC) file system management with profile switching | APPLE INC. |
11064581 | Dimmer system control | -- |
11064608 | Display device | LG DISPLAY CO., LTD. |
11064784 | Printing method and system of a nail printing apparatus, and a medium thereof | SHENZHEN DANYA TECHNOLOGY CO., LTD. |
11064947 | Apparatus and method for measuring biometric information | SAMSUNG ELECTRONICS CO., LTD. |
11064952 | External medical device that identifies a response activity | ZOLL MEDICAL CORPORATION |
11065070 | Robot system and method of operating the same | KAWASAKI JUKOGYO KABUSHIKI KAISHA |
11065078 | System and method for enhanced data analysis with specialized video enabled software tools for medical environments | -- |
11065504 | Variable-resistance exercise machine with wireless communication for smart device control and interactive software applications | BLUE GOJI LLC. |
11065532 | Split-screen presentation based on user location and controller location | SONY INTERACTIVE ENTERTAINMENT INC. |
11065533 | Sharing buffered gameplay in response to an input request | SONY INTERACTIVE ENTERTAINMENT LLC |
11065535 | Information processing device, signal conversion method and program | SONY INTERACTIVE ENTERTAINMENT INC. |
11065537 | Information processing apparatus, information processing method, and information processing system | SONY CORPORATION |
11065551 | Virtual reality presentation of real world space | SONY INTERACTIVE ENTERTAINMENT LLC |
11065792 | Injection moulding apparatus and method for injection moulding and IR-compatible display frame | UNIPLAS ENTERPRISES PTE. LTD. |
11066016 | Adjusting vehicle mirrors | TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC. |
11066083 | Vehicle alarm device and vehicle alarm method | CLARION CO., LTD. |
11066861 | Notebook computer | HUAWEI TECHNOLOGIES CO., LTD. |
11067269 | System and method for backlight integration with electrical contact foil in piezoelectric haptic keyboard | DELL PRODUCTS L.P. |
11067408 | Distributed data processing systems for processing remotely captured sensor data | ALLSTATE INSURANCE COMPANY |
11067409 | Distributed data processing systems for processing remotely captured sensor data | ALLSTATE INSURANCE COMPANY |
11067436 | Optical sensor arrangement | AMS AG |
11067723 | Optical touch film, display device including the same, and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11067724 | Fresnel-based varifocal lens assembly for VR or AR displays | GOOGLE LLC |
11067741 | Backlight module and illuminated touch device thereof | -- |
11067791 | Wearable device for protecting display and method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11067803 | Method and apparatus for independent control of focal vergence and emphasis of displayed and transmitted optical content | ATHEER, INC. |
11067807 | Smart glasses | -- |
11067821 | Apodized optical elements for optical artifact reduction | FACEBOOK TECHNOLOGIES, LLC |
11067884 | Through-display optical transmission, reception, or sensing through micro-optic elements | APPLE INC. |
11067977 | Wearable device, apparatus for controlling unmanned aerial vehicle and method for realizing controlling | GOERTEK INC. |
11067978 | Terminal and method for controlling terminal | CLARION CO., LTD. |
11067979 | Control systems for water-sports watercraft | MALIBU BOATS, LLC |
11068021 | Timing controller based on heap sorting, modem chip including the same, and integrated circuit including the timing controller | SAMSUNG ELECTRONICS CO., LTD. |
11068023 | Accessory coupling system | PIONEER SQUARE BRANDS, INC. |
11068025 | Smart wearable device and control method for smart wearable device | HUAWEI TECHNOLOGIES CO., LTD. |
11068026 | Systems and methods for communication between a wearable device and a remote device | GOOGLE LLC |
11068042 | Detecting and responding to an event within an interactive videogame | ROKU, INC. |
11068043 | Systems and methods for virtual reality-based grouping evaluation | PEARSON EDUCATION, INC. |
11068044 | Electronic device displays an image of an obstructed target | -- |
11068045 | Electronic device displays an image of an obstructed target | -- |
11068046 | Evaluation of digital twins using social automatons | AT&T INTELLECTUAL PROPERTY I, L.P. |
11068047 | Virtual reality system obtaining movement command from real-world physical user | VR-CHITECT LIMITED |
11068048 | Method and device for providing an image | SAMSUNG ELECTRONICS CO., LTD. |
11068049 | Light guide display and field of view | MICROSOFT TECHNOLOGY LICENSING, LLC |
11068050 | Method for controlling display of virtual image based on eye area size, storage medium and electronic device therefor | SAMSUNG ELECTRONICS CO., LTD. |
11068051 | Augmented mirror | CONOPCO, INC. |
11068052 | Holographic image generated based on eye position | MICROSOFT TECHNOLOGY LICENSING, LLC |
11068053 | Method and system for displaying at least one spatial section, wherein the spatial section is displayed as a function of an eye position of a person | AUDI AG |
11068054 | Vehicle and control method thereof | HYUNDAI MOTOR COMPANY |
11068055 | Eye tracking calibration techniques | MAGIC LEAP, INC. |
11068056 | Wearable eye tracking system with slippage detection and correction | -- |
11068057 | Wearable device with fiducial markers in virtual reality | FACEBOOK TECHNOLOGIES, LLC |
11068058 | Control method and immersive system for generating haptic feedback | -- |
11068059 | Haptic interface for user input device | APPLE INC. |
11068060 | Low-pressure capacitive tactile sensor and method for fabricating the same | NANO AND ADVANCED MATERIALS INSTITUTE LIMITED |
11068061 | Estimation of equivalent input voltage supply | AITO BV |
11068062 | Display device and method for cancelling a user selected feature on a graphical user interface according to a change in display device rotation | SONY CORPORATION |
11068063 | Information processing apparatus and method for adjusting detection information based on movement imparted by a vibrator | SONY CORPORATION |
11068064 | Method of selecting an application target window in a user interface | TESLA, INC. |
11068065 | Non-verbal communication tracking and classification | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11068066 | Gesture-based load control | LUTRON TECHNOLOGY COMPANY LLC |
11068067 | Methods and systems for displaying UI elements in mixed reality environments | UNITY IPR APS |
11068068 | Toroidal sensor | TACTUAL LABS CO. |
11068069 | Vehicle control with facial and gesture recognition using a convolutional neural network | DUS OPERATING INC. |
11068070 | User-defined virtual interaction space and manipulation of virtual cameras with vectors | ULTRAHAPTICS IP TWO LIMITED |
11068071 | Velocity field interaction for free space gesture interface and control | ULTRAHAPTICS IP TWO LIMITED |
11068072 | Mixed reality display system and mixed reality display terminal | MAXELL, LTD. |
11068073 | User-customized keyboard input error correction | DELL PRODUCTS L.P. |
11068074 | Flexible device and interfacing method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11068075 | Reading support system and method by relating book object to interactive content | WOONGJIN THINKBIG CO., LTD. |
11068076 | Reading support system and method by relating book object to interactive content | WOONGJIN THINKBIG CO., LTD. |
11068077 | Display device and method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11068078 | System and method for detecting hand gesture | -- |
11068079 | Electronic device having multi-functional human interface | INNOPRESSO, INC. |
11068080 | Mouse device and scroll wheel module | DEXIN ELECTRONIC LTD. |
11068081 | Digital pens for computing devices | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11068082 | Mouse usable as wheel input device | DELL PRODUCTS L.P. |
11068083 | Button functionality | APPLE INC. |
11068084 | Input device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11068085 | Method for processing touch screen terminal object and touch screen terminal | HUAWEI DEVICE (DONGGUAN) CO., LTD. |
11068086 | Impact-resistant terminal, in particular an access control terminal | IDEMIA IDENTITY & SECURITY FRANCE |
11068087 | Electronic device | SAMSUNG DISPLAY CO., LTD. |
11068088 | Electronic devices with adaptive frame rate displays | APPLE INC. |
11068089 | Electronic device with display apertures | APPLE INC. |
11068090 | Electroluminescent display panel with reduced thickness, production method, driving method and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11068091 | Touch panel and manufacturing method thereof | TPK GLASS SOLUTIONS (XIAMEN) INC. |
11068092 | Display apparatus having input sensing unit | SAMSUNG DISPLAY CO., LTD. |
11068093 | Touch display panel | -- |
11068094 | Touch display device, method for driving the same, driving circuit, data-driving circuit, and gate-driving circuit | LG DISPLAY CO., LTD. |
11068095 | Touch detection device and display device with touch detection function | JAPAN DISPLAY INC. |
11068096 | Display device | SAMSUNG DISPLAY CO., LTD. |
11068097 | Display device and method of manufacturing same | JAPAN DISPLAY INC. |
11068098 | Proximity detection device | JAPAN DISPLAY INC. |
11068099 | Touch sensor and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11068100 | Electronic apparatus | TPK TOUCH SOLUTIONS (XIAMEN) INC. |
11068101 | Electronic device including vibrating element for generating vibration in operation surface of top panel | FUJITSU LIMITED |
11068102 | Display device | SAMSUNG DISPLAY CO., LTD. |
11068103 | Touch substrate and method for manufacturing the same, display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11068104 | Touch panel and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11068105 | System and method for performing hit testing in a graphical user interface | TACTUAL LABS CO. |
11068106 | Noise sensing circuit and touch device | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
11068107 | Display device and display method | JAPAN DISPLAY INC. |
11068108 | Input device | FUNAI ELECTRIC CO., LTD. |
11068109 | Multifunctional display | PA.COTTE FAMILY HOLDING GMBH |
11068110 | Electronic apparatus and method of fabricating the same | SAMSUNG DISPLAY CO., LTD. |
11068111 | Hover-based user-interactions with virtual objects within immersive environments | MICROSOFT TECHNOLOGY LICENSING, LLC |
11068112 | Touch sensor | DONGWOO FINE-CHEM CO., LTD. |
11068113 | Touch electrode and touch display device | -- |
11068114 | Display panel, manufacturing method thereof, and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11068115 | Touch screen panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11068116 | Touch detection and position reconstruction | SAMSUNG ELECTRONICS CO., LTD. |
11068117 | Tablet | WACOM CO., LTD. |
11068118 | Touch sensor detector system and method | SENSEL, INC. |
11068119 | Optimizing an arrangement of content on a display of a user device based on user focus | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11068120 | Visualization of spending data in an altered reality | PAYPAL, INC. |
11068121 | System and method for visual exploration of subnetwork patterns in two-mode networks | FUJIFILM BUSINESS INNOVATION CORP. |
11068122 | Methods and systems for building a view of a dataset incrementally according to characteristics of user-selected data fields | TABLEAU SOFTWARE, INC. |
11068123 | Systems and methods for presenting user interaction information | FACEBOOK, INC. |
11068124 | Gesture controlled screen repositioning for one or more displays | Z124 |
11068125 | Multi-spatial overview mode | GOOGLE LLC |
11068126 | Method, device and system for amending heartbeat type | EDAN INSTRUMENTS, INC. |
11068127 | Springboard interface for quick task transitions | ORACLE INTERNATIONAL CORPORATION |
11068128 | User interface object manipulations in a user interface | APPLE INC. |
11068129 | Method and device for augmenting a communal display device | LENOVO (SINGAPORE) PTE. LTD. |
11068130 | Automatic restructuring of graphical user interface components based on user interaction | SERVICENOW, INC. |
11068131 | Integrated drill down within a natural language interface for a data analysis platform | TABLEAU SOFTWARE, LLC |
11068132 | Command user interface for displaying selectable software functionality controls | MICROSOFT TECHNOLOGY LICENSING, LLC |
11068133 | Electronic album apparatus and method of controlling operation of same | FUJIFILM CORPORATION |
11068134 | Method and apparatus for creating desktop widget, and terminal device | HUAWEI DEVICE (DONGGUAN) CO., LTD. |
11068135 | Techniques for visualizing probabilistic data generated when designing mechanical assemblies | AUTODESK, INC. |
11068136 | Application fulfillment platform with automated license management mechanisms | AMAZON TECHNOLOGIES, INC. |
11068137 | Systems and methods for augmenting content | FACEBOOK, INC. |
11068138 | Report management system | ADP, LLC |
11068139 | Communications devices and methods for single-mode and automatic media capture | ONESNAPS TECHNOLOGY PVT LTD |
11068140 | Intelligent overflow menu | SERVICENOW, INC. |
11068141 | Device-based image modification of depicted objects | SNAP INC. |
11068142 | Display device and non-transitory computer-readable storage medium storing display control program | SEIKO EPSON CORPORATION |
11068143 | Method for setting date and time by electronic device and electronic device therefor | SAMSUNG ELECTRONICS CO., LTD. |
11068144 | Diamond shaped digitial color selection interface | LEDVANCE LLC |
11068145 | Techniques for creative review of 3D content in a production environment | DISNEY ENTERPRISES, INC. |
11068146 | Techniques for user interface components | EMC IP HOLDING COMPANY LLC |
11068147 | Techniques for displaying shared digital assets consistently across different displays | SOCOCO, LLC |
11068148 | Information processing device | SONY CORPORATION |
11068149 | Indirect user interaction with desktop using touch-sensitive control surface | MICROSOFT TECHNOLOGY LICENSING, LLC |
11068150 | Method for compensating for pressure value of force sensor and electronic device using same | SAMSUNG ELECTRONICS CO., LTD. |
11068151 | Content display device, content display method and program | SHARP KABUSHIKI KAISHA |
11068152 | Mobile terminal and control method thereof | LG ELECTRONICS INC. |
11068153 | Device, method, and graphical user interface for displaying user interface objects corresponding to an application | APPLE INC. |
11068154 | Wearable apparatus and control apparatus | SONY CORPORATION |
11068155 | User interface tool for a touchscreen device | DASSAULT SYSTEMES SOLIDWORKS CORPORATION |
11068156 | Data processing method, apparatus, and smart terminal | BANMA ZHIXING NETWORK (HONGKONG) CO., LIMITED |
11068157 | Displaying options, assigning notification, ignoring messages, and simultaneous user interface displays in a messaging application | APPLE INC. |
11068158 | Electronic apparatus and method for controlling thereof | SAMSUNG ELECTRONICS CO., LTD. |
11068159 | Systems and methods for facilitating keyboard-based search of local and connected digital media items within a messaging application | CLARIFAI, INC. |
11068160 | Electronic device for displaying picture and control method therefor | SAMSUNG ELECTRONICS CO., LTD. |
11068161 | Memory module with emulated memory device population | RAMBUS INC. |
11068162 | Storage management in a cloud data store | PURE STORAGE, INC. |
11068163 | Storing a credential in a storage network | PURE STORAGE, INC. |
11068164 | Streaming engine with fetch ahead hysteresis | TEXAS INSTRUMENTS INCORPORATED |
11068165 | Non-volatile memory data write management | WESTERN DIGITAL TECHNOLOGIES, INC. |
11068166 | Hybrid memory device using different types of capacitors and operating method thereof | MICRON TECHNOLOGY, INC. |
11068167 | Nonvolatile memory including intermediate buffer and input/output buffer and memory system including the nonvolatile memory | TOSHIBA MEMORY CORPORATION |
11068168 | Managing storage performance consistency with feedback control | MICRON TECHNOLOGY, INC. |
11068169 | Data storage device and method for memory operation and iterative polling | WESTERN DIGITAL TECHNOLOGIES, INC. |
11068170 | Multi-tier scheme for logical storage management | WESTERN DIGITAL TECHNOLOGIES, INC. |
11068171 | High availability storage access using quality of service based path selection in a storage area network environment | NETAPP, INC. |
11068172 | Optimizing parallel data replication spanning physical storage devices | EMC IP HOLDING COMPANY LLC |
11068173 | Method and device for writing software objects into an electronic control unit of an internal combustion engine | LOMBARDINI S.R.L. |
11068174 | Semiconductor device, electronic component, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11068175 | Technology to manage capacity loss in storage drives | INTEL CORPORATION |
11068176 | Method, apparatus and computer program product for managing storage system | EMC IP HOLDING COMPANY LLC |
11068177 | Data storage devices and data processing methods for shortening time required for a host device to wait for initialization of the data storage device | -- |
11068178 | Systems and methods for minimizing communications | RESERVOIR LABS, INC. |
11068179 | Smart vehicle system with data processing apparatus | SK HYNIX INC. |
11068180 | System including non-volatile memory drive | HITACHI, LTD. |
11068181 | Generating and storing monotonically-increasing generation identifiers | EMC IP HOLDING COMPANY LLC |
11068182 | Asynchronous semi-inline deduplication | NETAPP, INC. |
11068183 | Memory access techniques in memory devices with multiple partitions | MICRON TECHNOLOGY, INC. |
11068184 | Method, device, and computer program product for managing a storage system | EMC IP HOLDING COMPANY LLC |
11068185 | Electronic device and tethering method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11068186 | Providing recovered data to a new memory cell at a memory sub-system based on an unsuccessful error correction operation | MICRON TECHNOLOGY, INC. |
11068187 | Systems and methods for data migration in a clustered file system | COMMVAULT SYSTEMS, INC. |
11068188 | Monitored migration of copies of volumes of data between clusters of a virtual tape library system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11068189 | Storage device and control method thereof | SK HYNIX INC. |
11068190 | Storage apparatus and data arrangement method for storage apparatus | FUJITSU LIMITED |
11068191 | Adaptive replication modes in a storage system | EMC IP HOLDING COMPANY LLC |
11068192 | Utilizing mutiple snapshot sources for creating new copy of volume in a networked environment wherein additional snapshot sources are reserved with lower performance levels than a primary snapshot source | AMAZON TECHNOLOGIES, INC. |
11068193 | Mapping data sources to storage devices based on fuzzy logic-based classifications | MICRO FOCUS LLC |
11068194 | Method and system for storing and managing states of a computer | ACRONIS INTERNATIONAL GMBH |
11068195 | Systems and methods of distributed backup and recovery on a private network | WHITESTAR COMMUNICATIONS, INC. |
11068196 | System and method for a restoration of on-premise backups to a cloud-based service | EMC IP HOLDING COMPANY LLC |
11068197 | Tracking data temperatures of logical block addresses | MICRON TECHNOLOGY, INC. |
11068198 | Data verification apparatus | SUBARU CORPORATION |
11068199 | System and method for aggregating metadata changes in a storage system | EMC IP HOLDING COMPANY LLC |
11068200 | Method and system for memory control | ALIBABA GROUP HOLDING LIMITED |
11068201 | Flash memory controller, method for managing flash memory module and associated electronic device | -- |
11068202 | Semiconductor memory device and reset method thereof | -- |
11068203 | NVMe direct virtualization with configurable storage | MICRON TECHNOLOGY, INC. |
11068204 | Memory device with multiple physical spaces, multiple non-volatile memory arrays, multiple main data, multiple metadata of multiple types of commands, and access method thereof | -- |
11068206 | Data storage device for processing a sequential unmap entry by using trim instruction data and operating method thereof | SK HYNIX INC. |
11068207 | Method, device, and computer program product for managing storage system | EMC IP HOLDING COMPANY LLC |
11068208 | Capacity reduction in a storage system | EMC IP HOLDING COMPANY LLC |
11068209 | Image forming system, communication apparatus, image forming apparatus, method for controlling the system, and storage medium storing program | CANON KABUSHIKI KAISHA |
11068210 | Information processing apparatus and method | CANON KABUSHIKI KAISHA |
11068211 | Print control apparatus capable of easily setting settings of adjustment items, method of controlling same, and storage medium | CANON KABUSHIKI KAISHA |
11068212 | Information processing apparatus for acquiring an application, control method, and storage medium | CANON KABUSHIKI KAISHA |
11068213 | System of setting peripheral device and control method | CANON KABUSHIKI KAISHA |
11068214 | Printing computing device for printing PPL jobs having video data and methods for use with a printing system for printing PPL jobs having video data | KYOCERA DOCUMENT SOLUTIONS INC. |
11068215 | Computer-readable storage medium and information processing apparatus | BROTHER KOGYO KABUSHIKI KAISHA |
11068216 | Print data generating apparatus, capable of determining optimized raster image processor (RIP) setting conditions that maximize printing speed | RISO KAGAKU CORPORATION |
11068217 | Image forming apparatus and control method | SHARP KABUSHIKI KAISHA |
11068218 | Imaging method, imaging device and imaging system for verifying mobile terminal identification and selecting appropriate imaging device | ZHUHAI PANTUM ELECTRONICS CO., LTD. |
11068219 | Information processing apparatus and non-transitory computer readable medium | FUJIFILM BUSINESS INNOVATION CORP. |
11068220 | Image forming apparatus capable of changing sensitivity of human body detection sensor when receiving disaster information | KYOCERA DOCUMENT SOLUTIONS INC. |
11068221 | Remote monitoring systems and related methods | SCHWEITZER ENGINEERING LABORATORIES, INC. |
11068222 | Information processing apparatus and information processing system | SONY CORPORATION |
11068223 | Control system, control method, and non-transitory storage medium storing control program | OMRON CORPORATION |
11068224 | Method and apparatus for implementing content displaying of component | HUAWEI DEVICE (DONGGUAN) CO., LTD. |
11068225 | Forming a larger display using multiple smaller displays | -- |
11068226 | Display method and apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
11068227 | Information processing device and information processing method for indicating a position outside a display region | SONY CORPORATION |
11068228 | Board-level noise reduction during headphone load current sensing | QUALCOMM INCORPORATED |
11068229 | Electronic device, control system and control method | TOSHIBA CLIENT SOLUTIONS, CO., LTD. |
11068230 | Bluetooth speaker, and intelligent control method for playing audio | GOERTEK INC. |
11068231 | Media playback system with virtual line-in | SONOS, INC. |
11068232 | Detection of volume adjustments during media replacement events using loudness level profiles | ROKU, INC. |
11068233 | Selecting a microphone based on estimated proximity to sound source | SONOVA AG |
11068234 | Methods for collecting and managing public music performance royalties and royalty payouts | ZOPHONOS INC. |
11068235 | Volume adjustment method, terminal device, storage medium and electronic device | BAIDU ONLINE NETWORK TECHNOLOGY (BEIJING) CO., LTD. |
11068260 | Containerizing source code for execution in different language using drag-and-drop operation | HYPERNET LABS, INC. |
11068278 | Dual inline memory module with multiple boot processes based on first and second environmental conditions | DELL PRODUCTS L.P. |
11068285 | Machine-learning models applied to interaction data for determining interaction goals and facilitating experience-based modifications to interface elements in online environments | ADOBE INC. |
11068286 | Smart context aware support engine for applications | ORACLE INTERNATIONAL CORPORATION |
11068287 | Real-time generation of tailored recommendations associated with client interactions | BANK OF AMERICA CORPORATION |
11068288 | Method of controlling communication system including mode switching between modes for receiving a touch input or an audio input, communication system, and storage medium | HITACHI, LTD. |
11068289 | Installation assist apparatus, installation assist method, and computer program product | TAIYO YUDEN CO., LTD. |
11068297 | Outboard motor and methods of use thereof | ROBBY GALLETTA ENTERPRISES LLC |
11068299 | Managing file system metadata using persistent cache | EMC IP HOLDING COMPANY LLC |
11068306 | Re-using data structures beyond the life of an in-memory processing session | ORACLE FINANCIAL SERVICES SOFTWARE LIMITED |
11068315 | Hypervisor attached volume group load balancing | NUTANIX, INC. |
11068323 | Automatic registration of empty pointers | SPLUNK INC. |
11068328 | Controlling operation of microservices utilizing association rules determined from microservices runtime call pattern data | DELL PRODUCTS L.P. |
11068336 | Generating error checking data for error detection during modification of data in a memory sub-system | MICRON TECHNOLOGY, INC. |
11068345 | Method and system for erasure coded data placement in a linked node system | DELL PRODUCTS L.P. |
11068359 | Stream level uninterrupted restore operation using data probe | EMC IP HOLDING COMPANY LLC |
11068364 | Predictable synchronous data replication | INTELLIFLASH BY DDN, INC. |
11068365 | Data recovery within a memory sub-system without moving or processing the data through a host | MICRON TECHNOLOGY, INC. |
11068371 | Method and apparatus for simulating slow storage disk | EMC IP HOLDING COMPANY LLC |
11068373 | Audit logging database system and user interface | PALANTIR TECHNOLOGIES INC. |
11068375 | System and method for providing machine learning based memory resiliency | ORACLE INTERNATIONAL CORPORATION |
11068389 | Data resiliency with heterogeneous storage | PURE STORAGE, INC. |
11068391 | Mapping table updating method for data storage device | -- |
11068392 | System and method of data writes and mapping of data for multiple sub-drives | WESTERN DIGITAL TECHNOLOGIES, INC. |
11068395 | Cached volumes at storage gateways | AMAZON TECHNOLOGIES, INC. |
11068405 | Compression of host I/O data in a storage processor of a data storage system with selection of data compression components based on a current fullness level of a persistent cache | EMC IP HOLDING COMPANY LLC |
11068409 | Method and system for user-space storage I/O stack with user-space flash translation layer | ALIBABA GROUP HOLDING LIMITED |
11068422 | Software-controlled interrupts for I/O devices | VMWARE, INC. |
11068424 | Enablement of software defined storage solution for NVME over ethernet fabric management on a processor | AMERICAN MEGATRENDS INTERNATIONAL, LLC |
11068432 | Managing addresses in a network device with a register-based buffer having an odd number of storage locations | MARVELL ISRAEL (M.I.S.L) LTD. |
11068436 | Log file management | CARBONITE, INC. |
11068494 | Interface including graphic representation of relationships between search results | FASTCASE, INC. |
11068502 | Software defined network attached storage backup using storage array synchronous or asynchronous data replication | EMC IP HOLDING COMPANY LLC |
11068505 | System and method for generating and merging activity-entry reports utilizing activity-entry hierarchy and hierarchical information of the activity-entries | -- |
11068528 | Providing media items from playback history | SONOS, INC. |
11068531 | Visual search in real world using optical see-through head mounted display with augmented reality and user interaction tracking | QUALCOMM INCORPORATED |
11068532 | Interactive image display and selection system | HORSETOOTH VENTURES, LLC |
11068533 | Methods, systems, and media for storing information associated with content presented on a media presentation device | GOOGLE LLC |
11068542 | Serviceability tracking system | -- |
11068544 | Systems and methods for generating metadata describing unstructured data objects at the storage edge | MARVELL ASIA PTE, LTD. |
11068546 | Computer-implemented system and method for analyzing clusters of coded documents | NUIX NORTH AMERICA INC. |
11068552 | Updating social media post based on subsequent related social media content | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11068559 | Website creation system for creating websites having at least one series of directional webpages and related methods | CLICKFUNNELS |
11068581 | Techniques for establishing host connectivity | EMC IP HOLDING COMPANY LLC |
11068606 | Secured encrypted shared cloud storage | CITRIX SYSTEMS, INC. |
11068617 | Secure access to multi-tenant relational data | SAGE INTACCT, INC. |
11068642 | Multi-view masters for graphical designs | AXURE SOFTWARE SOLUTIONS, INC. |
11068645 | Dynamic user interface control system | THE TORONTO-DOMINION BANK |
11068649 | Assessment data analysis platform and with interactive dashboards | ESTIA, INC. |
11068650 | Quality reporting for assessment data analysis platform | ESTIA, INC. |
11068651 | Gap analysis on assessment data analysis platform | ESTIA, INC. |
11068656 | Displaying text classification anomalies predicted by a text classification model | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11068664 | Generating comment excerpts within an online publication | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11068679 | Methods and arrangements for identifying objects | DIGIMARC CORPORATION |
11068683 | Display device and method for attaching fingerprint module | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11068685 | Optical ID sensing using illumination light sources positioned at a periphery of a display screen | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
11068688 | Multi-function ultrasonic sensor controller with fingerprint sensing, haptic feedback, movement recognition, 3D positioning and remote power transfer capabilities | -- |
11068704 | Head pose and distraction estimation | FUTUREWEI TECHNOLOGIES, INC. |
11068712 | Low-power iris scan initialization | QUALCOMM INCORPORATED |
11068785 | Methods and systems for operating applications through user interfaces | AIVITAE LLC |
11068826 | Enterprise skills development using cognitive computing | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11068853 | Providing calendar utility to capture calendar event | MICROSOFT TECHNOLOGY LICENSING, LLC |
11068855 | Automatic event scheduling | APPLE INC. |
11068860 | Generating a waste profile | ENVIRONMENTAL DATA SYSTEMS, LLC |
11068900 | Electronic device and electronic purchase method using same | SAMSUNG ELECTRONICS CO., LTD. |
11068917 | Prediction of business outcomes by analyzing image interests of users | DOTIN INC. |
11068953 | Fingerprint based address entry | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11068970 | Systems and method for searching for and viewing images of non-standardized items that are available for acquisition | AMAZON TECHNOLOGIES, INC. |
11068971 | Method, medium, and system for virtual try-on coordination via communications sessions | MIRELZ INC. |
11068980 | Electronic systems and methods for providing a trading interface with advanced features | BGC PARTNERS, INC. |
11068984 | Systems and methods for computing an index for a binary options transaction | CFPH, LLC |
11068988 | Investor knowledge web | TD AMERITRADE IP COMPANY, INC. |
11069001 | Method and system for providing personalized user experiences in compliance with service provider business rules | INTUIT INC. |
11069002 | User interfaces for a tax return application | HRB INNOVATIONS, INC. |
11069011 | Acquiring intellectual property assets | -- |
11069015 | Method and system for inter and intra agency communication, tracking and coordination | NORCOM |
11069028 | Automated generation of anamorphic images for catoptric anamorphosis | ADOBE INC. |
11069066 | Dynamically change tracker speed, switch crop rectangles, and display invisible corners via zoom-loupes | ADOBE INC. |
11069079 | Interaction with physical objects as proxy objects representing virtual objects | HONDA MOTOR CO., LTD. |
11069092 | Apparatus for providing top view image of parking space and method thereof | HYUNDAI MOTOR COMPANY |
11069100 | Intelligent interactive interface | NORTHWEST INSTRUMENT INC. |
11069102 | Dynamic progressive awareness | LIN AND ASSOCIATES, INC. |
11069103 | Customized user interface for electronic communications | SNAP INC. |
11069106 | Dynamic mesh generation to minimize fillrate utilization | GOOGLE LLC |
11069132 | System for generating virtual building plan data based upon stored and scanned building data and related methods | -- |
11069143 | XR device for providing AR mode and VR mode and method for controlling the same | LG ELECTRONICS INC. |
11069146 | Augmented reality for collaborative interventions | KONINKLIJKE PHILIPS N.V. |
11069148 | Visualization of reconstructed handstate information | FACEBOOK TECHNOLOGIES, LLC |
11069151 | Methods and devices for replacing expression, and computer readable storage media | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
11069165 | Machine learning-based platform for user identification | TRUU, INC. |
11069167 | Method and system for automated physical access control system using biometric recognition coupled with tag authentication | ASSA ABLOY AB |
11069175 | Device orientation based gaming experience | IGT |
11069177 | Device and method for displaying an inventory of game items based on their expiration date | UNIVERSAL ENTERTAINMENT CORPORATION |
11069185 | System and method for wireless gaming system with user profiles | INTERACTIVE GAMES LLC |
11069201 | Automated device for exchange of data | THE TORONTO-DOMINION BANK |
11069206 | Methods and apparatus for outputting a haptic signal to a haptic transducer | CIRRUS LOGIC, INC. |
11069250 | Maze training platform | SHARELOOK PTE. LTD. |
11069252 | Collaborative virtual environment | ACCENTURE GLOBAL SOLUTIONS LIMITED |
11069269 | Display device including crack sensing line | SAMSUNG DISPLAY CO., LTD. |
11069273 | Display device for selectively outputting black data voltage in partial area and electronic device comprising display | SAMSUNG ELECTRONICS CO., LTD. |
11069286 | Color compensation method, compensation device, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11069294 | Organic light-emitting diode (OLED) display and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11069305 | Display device and method for driving the same | SHARP KABUSHIKI KAISHA |
11069306 | Electronic device and control method thereof | LENOVO (BEIJING) CO., LTD. |
11069325 | Content resolution adjustment for passive display devices | CITRIX SYSTEMS, INC. |
11069326 | Processing method for character stroke and related device | SHENZHEN ROYOLE TECHNOLOGIES CO., LTD. |
11069327 | Method for controlling frame refresh rate of screen, apparatus and storage medium | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11069328 | Information processing apparatus, information processing method, and program | SONY CORPORATION |
11069332 | Interference generation | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11069337 | Voice-content control device, voice-content control method, and non-transitory storage medium | JVC KENWOOD CORPORATION |
11069340 | Flexible and expandable dialogue system | MICROSOFT TECHNOLOGY LICENSING, LLC |
11069347 | Intelligent automated assistant for media exploration | APPLE INC. |
11069349 | Privacy-preserving voice control of devices | DILLARD-APPLE, LLC |
11069350 | System for audio distribution including network microphones for voice applications | CRESTRON ELECTRONICS, INC. |
11069351 | Vehicle voice user interface | AMAZON TECHNOLOGIES, INC. |
11069357 | Lip-reading session triggering events | EBAY INC. |
11069364 | Device arbitration using acoustic characteristics | AMAZON TECHNOLOGIES, INC. |
11069367 | Speaker association with a visual representation of spoken content | SHOPIFY INC. |
11069368 | Glasses with closed captioning, voice recognition, volume of speech detection, and translation capabilities | COLQUITT PARTNERS, LTD. |
11069409 | Method, associated memory device and controller thereof for performing programming management | -- |
11069412 | Managing pre-programming of a memory device for a reflow process | MICRON TECHNOLOGY, INC. |
11069414 | Non-volatile semiconductor storage device | TOSHIBA MEMORY CORPORATION |
11069418 | Method and system for offline program/erase count estimation | EMC IP HOLDING COMPANY LLC |
11069425 | Multi-level memory repurposing technology to process a request to modify a configuration of a persistent storage media | INTEL CORPORATION |
11069436 | System and method for use of telemedicine-enabled rehabilitative hardware and for encouraging rehabilitative compliance through patient-based virtual shared sessions with patient-enabled mutual encouragement across simulated social networks | ROM TECHNOLOGIES, INC. |
11069466 | Vibration actuator and vibration providing apparatus | MITSUMI ELECTRIC CO., LTD. |
11069667 | Wafer level proximity sensor | STMICROELECTRONICS PTE LTD |
11069752 | Display device and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11069857 | Display device and method of inspecting the same | SAMSUNG DISPLAY CO., LTD. |
11070121 | Vibration generating apparatus | JAHWA ELECTRONICS CO., LTD. |
11070203 | Dual bootstrapping for an open-loop pulse width modulation driver | CIRRUS LOGIC, INC. |
11070234 | Memory system with hybrid decoding scheme with information exchange and method of operating such memory system | SK HYNIX INC. |
11070318 | Forwarding data amongst cooperative computing devices of a massive data ingestion system | PURE STORAGE, INC. |
11070382 | Communication in a distributed architecture | PURE STORAGE, INC. |
11070494 | Managing email content in an activity stream | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11070504 | Communication routing based on physical status | MICROSOFT TECHNOLOGY LICENSING, LLC |
11070505 | Group chat initiating method on basis of shared document, device and apparatus therefor, and storage medium | BEIJING BYTEDANCE NETWORK TECHNOLOGY CO., LTD. |
11070507 | Enabling wearables to cognitively alter notifications and improve sleep cycles | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11070550 | Device for identifying a person and a method thereof | WELL BEING DIGITAL LIMITED |
11070579 | Interactive display of a confidence-based graph of internet related assets | RISKIQ, INC. |
11070597 | Method for displaying object in timeline area, object display device, and information recording medium having recorded thereon program for implementing said method | GREE, INC. |
11070598 | Automatic report and resolution generation from multi-platform communications | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11070628 | Efficient scaling of computing resources by accessing distributed storage targets | NUTANIX, INC. |
11070637 | Method and device for allocating augmented reality-based virtual objects | ADVANCED NEW TECHNOLOGIES CO., LTD. |
11070654 | Sockets for shared link applications | EMC IP HOLDING COMPANY LLC |
11070660 | Dating method | -- |
11070661 | Restricting mobile device usage | CELLEPATHY INC. |
11070662 | Invalid area specifying method for touch panel of mobile terminal | NEC CORPORATION |
11070669 | Communication device and methods for use by hearing impaired | ULTRATEC INC. |
11070682 | Maintenance system, maintenance server, and maintenance method | RICOH COMPANY, LTD. |
11070686 | Image processing apparatus, control method of image processing apparatus, and recording medium | CANON KABUSHIKI KAISHA |
11070688 | Information processing apparatus, method for controlling the same, and storage medium for displaying a menu screen for using destination information of a destination | CANON KABUSHIKI KAISHA |
11070689 | Information processing apparatus that switches languages to be displayed, control method therefor, and storage medium | CANON KABUSHIKI KAISHA |
11070691 | Appliance setting apparatus and non-transitory computer-readable recording medium storing appliance setting program | KYOCERA DOCUMENT SOLUTIONS INC. |
11070693 | Image forming apparatus that displays a paper feed unit selection screen | CANON KABUSHIKI KAISHA |
11070697 | Information processing system, information processing apparatus, and information processing method | RICOH COMPANY, LTD. |
11070703 | 3D printer touchscreen interface lockout | ROBERT BOSCH TOOL CORPORATION |
11070706 | Notifications for deviations in depiction of different objects in filmed shots of video content | SONY CORPORATION |
11070712 | Method and system for control of a digital camera system | PUWELL TECHNOLOGY LLC |
11070716 | Image sensor system, image sensor, data generation method of image sensor in image sensor system, and non-transitory computer-readable recording medium | OMRON CORPORATION |
11070724 | Image processing apparatus and method | SONY CORPORATION |
11070763 | Method and system for displaying images captured by a computing device including a visible light camera and a thermal camera | SNAP-ON INCORPORATED |
11070768 | Volume areas in a three-dimensional virtual conference space, and applications thereof | KATMAI TECH HOLDINGS LLC |
11070782 | Method of outputting three-dimensional image and electronic device performing the method | SAMSUNG ELECTRONICS CO., LTD. |
11070785 | Dynamic focus 3D display | APPLE INC. |
11070786 | Illumination-based system for distributing immersive experience content in a multi-user environment | DISNEY ENTERPRISES, INC. |
11070849 | Event production and distribution networks, systems, apparatuses, and methods related thereto | -- |
11070865 | Multi sensory input to improve hands-free actions of an electronic device | GOOGLE LLC |
11070882 | Global speech user interface | PROMPTU SYSTEMS CORPORATION |
11070884 | Controlling networked media capture devices | COMCAST CABLE COMMUNICATIONS, LLC |
11070894 | Methods, systems, and media for presenting interactive elements within video content | GOOGLE LLC |
11070899 | Electronic device including sound broadcasting element | -- |
11070904 | Force-activated earphone | APPLE INC. |
11070913 | Millimeter wave sensor used to optimize performance of a beamforming microphone array | CRESTRON ELECTRONICS, INC. |
11070914 | Controller and control method | SONY CORPORATION |
11070916 | Systems and methods for distinguishing audio using positional information | INCONTACT, INC. |
11070930 | Generating personalized end user room-related transfer function (RRTF) | SONY CORPORATION |
11070931 | Loudspeaker assembly and control | HARMAN INTERNATIONAL INDUSTRIES, INCORPORATED |
11070949 | Systems and methods for proactively identifying and surfacing relevant content on an electronic device with a touch-sensitive display | APPLE INC. |
11070956 | System and method for managing audio cut-in policy in MCPTT communication | SAMSUNG ELECTRONICS CO., LTD. |
11070979 | Constructing a scalable storage device, and scaled storage device | EMC IP HOLDING COMPANY LLC |
11071085 | Apparatus and method for forming event picture in mobile communication terminal | PANTECH CORPORATION |
11071153 | Home appliance for information registration and method for registering information of home appliance | LG ELECTRONICS INC. |
11071219 | Display apparatus and electronic device | LENOVO (BEIJING) CO., LTD. |
11071222 | Electronic device including metal material | SAMSUNG ELECTRONICS CO., LTD. |
11071370 | Hair information collection device, hair information collection system, and method for providing hair condition information | HENKEL AG & CO. KGAA |
11071515 | Augmented reality systems and methods for user health analysis | MAGIC LEAP, INC. |
11071560 | Surgical clip applier comprising adaptive control in response to a strain gauge circuit | CILAG GMBH INTERNATIONAL |
11071590 | Surgical systems and methods for facilitating ad-hoc intraoperative planning of surgical procedures | STRYKER CORPORATION |
11071595 | Multi-panel graphical user interface for a robotic surgical system | VERB SURGICAL INC. |
11071650 | Visibility enhancing eyewear | -- |
11071843 | Dynamic masking depending on source of snoring | BOSE CORPORATION |
11071868 | User interface for custom patterned electrical stimulation | BOSTON SCIENTIFIC NEUROMODULATION CORPORATION |
11071888 | Exercise data display device, exercise data display method, and computer readable non-transitory storage medium with program stored thereon | CASIO COMPUTER CO., LTD. |
11071906 | Touchscreen game user interface | ZYNGA INC. |
11071912 | Virtual reality immersion | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11071915 | Delivery of spectator feedback content to virtual reality environments provided by head mounted display | SONY INTERACTIVE ENTERTAINMENT INC. |
11072075 | Eye contact sensing and control for robotic characters | DISNEY ENTERPRISES, INC. |
11072159 | Methods for fabricating custom eyelash prostheses | L'OREAL |
11072239 | Vehicle display control device | YAZAKI CORPORATION |
11072286 | Vehicular interior rearview mirror assembly with user inputs and display elements | DONNELLY CORPORATION |
11072343 | Driving assistance method, and driving assistance device, driving control device, vehicle, driving assistance program, and recording medium using said method | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11072403 | Ship data consolidated management method and device | KOREA SHIPBUILDING & OFFSHORE ENGINEERING CO., LTD. |
11072623 | Light-emitting element, display device, electronic device, and lighting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11073356 | Virtual reality archery training system | ACCUBOW LLC |
11073374 | Devices and methods for measuring using augmented reality | APPLE INC. |
11073375 | Devices and methods for measuring using augmented reality | APPLE INC. |
11073386 | Sensing element and optical distance measurement system | -- |
11073435 | Three-dimensional grip detection sensor | MURATA MANUFACTURING CO., LTD. |
11073483 | Display device and bonding accuracy detection method | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11073533 | Electronic device and method for detecting swiping of card | HONGFUJIN PRECISION ELECTRONICS (ZHENGZHOU) CO., LTD. |
11073648 | Light guide element and electronic device having the same | -- |
11073657 | Holographic superimposition of real world plenoptic opacity modulation through transparent waveguide arrays for light field, virtual and augmented reality | LIGHT FIELD LAB, INC. |
11073689 | Method and system for calibrating a wearable heads-up display to produce aligned virtual images in an eye space | -- |
11073690 | Surface modeling systems and methods | MAGIC LEAP, INC. |
11073699 | Fixed-distance virtual and augmented reality systems and methods | MAGIC LEAP, INC. |
11073707 | Dimmable window apparatus and vehicle including the same | THE BOEING COMPANY |
11073712 | Electronic device display for through-display imaging | APPLE INC. |
11073722 | Display device and direct-type backlight thereof having different element density areas | -- |
11073752 | Immersive optical projection system | -- |
11073799 | Configuring context-specific user interfaces | APPLE INC. |
11073812 | System and method for creating a set of monitor and effect blocks from a cause and effect matrix | FISHER-ROSEMOUNT SYSTEMS, INC. |
11073848 | Method for recognizing motor system | AAC TECHNOLOGIES PTE. LTD. |
11073866 | Electronic device and method for preventing damage of display | SAMSUNG ELECTRONICS CO., LTD. |
11073867 | Display device and display method | BOE TECHNOLOGY GROUP CO., LTD. |
11073869 | Electronic device with coating for protection of window | SAMSUNG ELECTRONICS CO., LTD. |
11073883 | Server apparatus, monitoring control apparatus, and storage medium | FUJITSU LIMITED |
11073890 | Image forming system including image forming apparatuses, managing device and user terminal which selects image forming apparatus and receives instruction to shift to normal mode from power saving mode | KYOCERA DOCUMENT SOLUTIONS INC. |
11073895 | Display apparatus having touch driving circuit for generating driving signals for different levels | SAMSUNG DISPLAY CO., LTD. |
11073896 | Storage device and a power control method for storage device | TOSHIBA MEMORY CORPORATION |
11073898 | IMU for touch detection | APPLE INC. |
11073899 | Multidevice multimodal emotion services monitoring | AFFECTIVA, INC. |
11073900 | Techniques for monitoring and detecting respiration | APPLIEDVR., INC. |
11073901 | Display device, control method for display device, and computer program | SEIKO EPSON CORPORATION |
11073902 | Using skeletal position to predict virtual boundary activation | FACEBOOK TECHNOLOGIES, LLC |
11073903 | Immersed hot mirrors for imaging in eye tracking | FACEBOOK TECHNOLOGIES, LLC |
11073904 | Intelligent user interface element selection using eye-gaze | MICROSOFT TECHNOLOGY LICENSING, LLC |
11073905 | Work assistance system, work assistance method, and computer-readable recording medium | FUJITSU LIMITED |
11073906 | Eye tracking system for head-mounted display devices | VALVE CORPORATION |
11073907 | System and method of adjusting of an environment associated with a user | DELL PRODUCTS L.P. |
11073908 | Eye-tracking enabled wearable devices | TOBII AB |
11073909 | Interior sensing | FACEBOOK TECHNOLOGIES, LLC |
11073910 | Line-of-sight detection apparatus | SONY INTERACTIVE ENTERTAINMENT INC. |
11073911 | Providing haptic feedback | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11073912 | Magnetic deformable member | SEKISUI POLYMATECH CO., LTD. |
11073913 | Device for producing haptic feedback | TDK ELECTRONICS AG |
11073914 | Vibration generation device, and display apparatus and vehicle comprising the same | LG DISPLAY CO., LTD. |
11073915 | Modification of three-dimensional garments using gestures | EBAY INC. |
11073916 | Extramissive spatial imaging digital eye glass apparatuses, methods and systems for virtual or augmediated vision, manipulation, creation, or interaction with objects, materials, or other entities | META VIEW, INC. |
11073917 | Reading support system and method by relating book object to interactive content | WOONGJIN THINKBIG CO., LTD. |
11073918 | Interacting with an electronic device through physical movement | APPLE INC. |
11073919 | Multi-sensor device with an accelerometer for enabling user interaction through sound or image | ULTIMATEPOINTER, L.L.C. |
11073920 | Multi-touch input system | CIRQUE CORPORATION |
11073921 | Electronic device for generating analogue strokes and for digitally storing the analogue strokes, and an input system and method for digitizing analogue recordings | STAEDTLER MARS GMBH & CO. KG |
11073922 | Mouse | -- |
11073923 | Input device | PIONEER CORPORATION |
11073924 | Apparatus of display having detachable pattern | -- |
11073925 | Touch screen electrical box | -- |
11073926 | Light sensitive display | APPLE INC. |
11073927 | Touch sensing utilizing integrated micro circuitry | APPLE INC. |
11073928 | Display device | LG DISPLAY CO., LTD. |
11073929 | Light emitting display device, light emitting display panel, driving circuit, and driving method | LG DISPLAY CO., LTD. |
11073930 | Display device | JAPAN DISPLAY INC. |
11073931 | Apparatus and method for detecting press | ALPINE ELECTRONICS, INC. |
11073932 | Touch display panel and display device | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
11073933 | Systems and methods for manipulating a virtual environment | SENSEL, INC. |
11073934 | Electronic device having an electrostatic conductive layer for providing haptic feedback | APPLE INC. |
11073935 | Touch type distinguishing method and touch input device performing the same | HIDEEP INC. |
11073936 | Interactive poster | NXP B.V. |
11073937 | Capacitive interface device with mixed electrode structure, and apparatus comprising the device | FOGALE NANOTECH |
11073938 | Display device | -- |
11073939 | System for detecting and characterizing inputs on a touch sensor | SENSEL, INC. |
11073940 | Touch substrate, touch device and touch detection method | MIANYANG BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11073941 | Driving device and operation method thereof | -- |
11073942 | Touch recognition method and electronic device executing same | SAMSUNG ELECTRONICS CO., LTD. |
11073943 | Input device and method of detecting an input | E.SOLUTIONS GMBH |
11073944 | Cooking apparatus and controlling method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11073945 | Noise compensation for touch-sensitive display device | MICROSOFT TECHNOLOGY LICENSING, LLC |
11073946 | Dynamic exclusion zone for touchscreen physical controls | FORD GLOBAL TECHNOLOGIES, LLC |
11073947 | Touch panel device | KDDI CORPORATION |
11073948 | Optical proximity sensors | NEONODE INC. |
11073949 | Display method, display device, and interactive projector configured to receive an operation to an operation surface by a hand of a user | SEIKO EPSON CORPORATION |
11073950 | Touch sensing device and electronic apparatus having malfunction prevention function | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11073951 | Method and device for improved accuracy of proximity and touch detection in mobile devices | SEMTECH CORPORATION |
11073952 | Detection apparatus | JAPAN DISPLAY INC. |
11073953 | Transparent electrode member, method of manufacturing the same, and capacitive sensor that uses transparent electrode member | ALPS ALPINE CO., LTD. |
11073954 | Keyboard with adaptive input row | APPLE INC. |
11073955 | Display device | SAMSUNG DISPLAY CO., LTD. |
11073956 | Conductive member, touch panel, and display device | FUJIFILM CORPORATION |
11073957 | Touch panel and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11073958 | Antenna device | SHARP KABUSHIKI KAISHA |
11073959 | Simulating physical materials and light interaction in a user interface of a resource-constrained device | APPLE INC. |
11073960 | Method and system for creating adaptive user interfaces using user provided and controlled data | SENSORIANT, INC. |
11073961 | Mobile terminal | LG ELECTRONICS INC. |
11073962 | Information processing apparatus, display control method, and program | CANON KABUSHIKI KAISHA |
11073963 | Method, electronic apparatus and computer readable recording medium for displaying information regarding user's point of interest | THINKWARE CORPORATION |
11073964 | Electronic bookshelf system | NOOK DIGITAL, LLC |
11073965 | Graphical interface for presentation of interaction data across multiple webpage configurations | ADOBE INC. |
11073966 | Displaying nodes visually offset from associated components | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11073967 | Methods and systems for providing additional content | DISH TECHNOLOGIES L.L.C. |
11073968 | Remotely configuring windows displayed on a display device | TIVO SOLUTIONS INC. |
11073969 | Multiple-mode system and method for providing user selectable video content | ACTIVEVIDEO NETWORKS, INC. |
11073970 | Dashboard generation based on user interaction | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11073971 | System and method for generating a customized user interface comprising movable modules by prioritizing personas of a user | PAYPAL, INC. |
11073972 | Visual summarization methods for time-stamped images | MOTOROLA SOLUTIONS, INC. |
11073973 | Electronic device and method for electronic device displaying image | SAMSUNG ELECTRONICS CO., LTD. |
11073974 | Electronic device and operation method of parameter selection thereof | -- |
11073975 | Synthetic image generation in response to user creation of image | SHUTTERSTOCK, INC. |
11073976 | Building system with a building graph | JOHNSON CONTROLS TECHNOLOGY COMPANY |
11073977 | Method for setting date and time by electronic device and electronic device therefor | SAMSUNG ELECTRONICS CO., LTD. |
11073978 | Computer-implemented methods, computer-readable media and electronic devices for virtual control of agricultural devices | LINDSAY CORPORATION |
11073979 | Non-linear navigation of data representation | ARRIS ENTERPRISES LLC |
11073980 | User interfaces for bi-manual control | MICROSOFT TECHNOLOGY LICENSING, LLC |
11073981 | Context switching system and method | ALLSCRIPTS SOFTWARE, LLC |
11073982 | Vehicle and method of controlling the same | HYUNDAI MOTOR COMPANY |
11073983 | Display method and apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
11073984 | Device and method for displaying information | FURUNO ELECTRIC CO., LTD. |
11073985 | Touch processing method and electronic device supporting same | SAMSUNG ELECTRONICS CO., LTD. |
11073986 | Memory data versioning | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11073987 | System and method for identifying SSDS with lowest tail latencies | SAMSUNG ELECTRONICS CO., LTD. |
11073988 | Device and method for virtual storage | SILICON MOTION TECHNOLOGY (HONG KONG) LTD. |
11073989 | Using mirror indicators to indicate whether to mirror tracks in a data set in a primary volume mirrored to a secondary volume | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11073990 | Data storage device identifying an electronic device to a hardware-switching device | LENOVO ENTERPRISE SOLUTIONS (SINGAPORE) PTE. LTD. |
11073991 | Dynamically linking objects in an object storage during uploads to cloud | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11073992 | Allocation and balancing of storage resources | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11073993 | Predicting usable memory | PURE STORAGE, INC. |
11073994 | System and method to secure a computer system by selective control of write access to a data storage medium | EIGHTH STREET SOLUTIONS LLC |
11073995 | Implementing scalable memory allocation using identifiers that return a succinct pointer representation | ADVANCED MICRO DEVICES, INC. |
11073996 | Host rescan for logical volume migration | EMC IP HOLDING COMPANY LLC |
11073997 | Storage system and data management method of storage system | HITACHI, LTD. |
11073998 | Method, apparatus for processing disk bad sector,and computer storage medium | WANGSU SCIENCE & TECHNOLOGY CO., LTD. |
11073999 | Extent migration in multi-tier storage systems | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11074000 | Non-transitory computer-readable storage medium, and information processing device and method | FUJITSU LIMITED |
11074001 | Managing virtual-machine image cloning | RED HAT, INC. |
11074002 | Object storage system with meta object replication | WESTERN DIGITAL TECHNOLOGIES, INC. |
11074003 | Storage system and restoration method | HITACHI, LTD. |
11074004 | Tenant-based telemetry for persistent storage media | INTEL CORPORATION |
11074005 | Coordinated storage media verification | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11074006 | Nonvolatile memory device, data storage device including the same and operating method thereof | SK HYNIX INC. |
11074007 | Optimize information requests to a memory system | MICRON TECHNOLOGY, INC. |
11074008 | Technologies for providing stochastic key-value storage | INTEL CORPORATION |
11074009 | Managed NAND cold data storage | MICRON TECHNOLOGY, INC. |
11074010 | Storage system and control method thereof | HITACHI, LTD. |
11074011 | Solid state drive latency estimation interface for host performance tuning | WESTERN DIGITAL TECHNOLOGIES, INC. |
11074012 | Storage device, information processing system, and non-transitory computer-readable storage medium for storing program | FUJITSU LIMITED |
11074013 | Apparatus and methods for providing quality of service over a virtual interface for solid-state storage | MARVELL ASIA PTE, LTD. |
11074014 | Managing adjacent track interference in a data storage device | SEAGATE TECHNOLOGY LLC |
11074015 | Memory system and method for controlling nonvolatile memory by a host | TOSHIBA MEMORY CORPORATION |
11074016 | Using flash storage devices with different sized erase blocks | PURE STORAGE, INC. |
11074017 | Log-structured storage systems | ADVANCED NEW TECHNOLOGIES CO., LTD. |
11074018 | Network asset management | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11074019 | Image forming apparatus having a determination unit for determining whether a mobile terminal is approaching | FUJIFILM BUSINESS INNOVATION CORP. |
11074021 | Information processing apparatus and non-transitory computer readable medium | FUJIFILM BUSINESS INNOVATION CORP. |
11074022 | Printer and non-transitory computer-readable recording medium storing computer-readable instructions for printer | BROTHER KOGYO KABUSHIKI KAISHA |
11074023 | Transmission device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11074024 | Mobile device for interacting with docking device and method for controlling same | SAMSUNG ELECTRONICS CO., LTD. |
11074025 | Display device and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11074026 | Display apparatus, multi display apparatus, and image display method using the multi display apparatus | SAMSUNG ELECTRONICS CO., LTD. |
11074027 | Display apparatus and system with first and second modes | SEIKO EPSON CORPORATION |
11074028 | Calibration method and system for tiled displays | BARCO N.V. |
11074029 | Value-added remote display service wireless routing server device and method | -- |
11074030 | Identifying a viewport within a browser window | SALESFORCE.COM, INC. |
11074031 | Systems and methods for dynamic audio processing | -- |
11074032 | Multi-core audio processor with low-latency sample processing core | KNOWLES ELECTRONICS, LLC |
11074033 | Access control and validation using sonic tones | LISNR, INC. |
11074034 | Information processing apparatus, information processing method, and program | SONY CORPORATION |
11074035 | Smart audio settings | SONOS, INC. |
11074036 | Metadata-free audio-object interactions | NOKIA TECHNOLOGIES OY |
11074037 | Voice broadcast method and apparatus | ZTE CORPORATION |
11074038 | Using user input to adapt search results provided for presentation to the user | GOOGLE LLC |
11074039 | Graphical user interace rendering management by voice-driven computing infrastructure | GOOGLE LLC |
11074040 | Presenting location related information and implementing a task based on gaze, gesture, and voice detection | -- |
11074053 | User interface generation system | BOYD CANNON MULTERER |
11074058 | Deployment operations based on deployment profiles in a deployment system | MICROSOFT TECHNOLOGY LICENSING, LLC |
11074083 | Fast loading kernel image file for booting | HUAWEI TECHNOLOGIES CO., LTD. |
11074086 | Systems and methods for automatically changing application start state based on device orientation | FACEBOOK, INC. |
11074094 | Securing hypercall support for user space processes in virtual machines | RED HAT, INC. |
11074098 | Systems and methods for java virtual machine management | INTEL CORPORATION |
11074099 | System and method for storage during virtual machine migration | NUTANIX, INC. |
11074116 | Direct input from a remote device | APPLE INC. |
11074117 | Copying and pasting method, data processing apparatus, and user equipment | HUAWEI TECHNOLOGIES CO., LTD. |
11074118 | Reporting incidents of data loss in RAID arrays | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11074122 | Graceful degradation of user interface components in response to errors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11074123 | Device for detecting error of data stored in memory device, and operating method thereof | SK HYNIX INC. |
11074124 | Method and system for enhancing throughput of big data analysis in a NAND-based read source storage | ALIBABA GROUP HOLDING LIMITED |
11074125 | Data storage system and method for decoding data based on extrapolated flipped-bit data | WESTERN DIGITAL TECHNOLOGIES, INC. |
11074130 | Reducing rebuild time in a computing storage environment | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11074138 | Multi-streaming backup operations for mailboxes | COMMVAULT SYSTEMS, INC. |
11074144 | Active firmware replacement in storage system and in storage controller, and computer-readable recording medium having stored therein program for active firmware replacement in controlling storage | FUJITSU LIMITED |
11074157 | Splicing screen debugging method, splicing screen and splicing wall | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
11074165 | Generating testing infrastructure on a cloud for testing software applications | HITACHI VANTARA LLC |
11074170 | Computer memory management with persistent backup copies | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11074171 | Data storage device for recovering read errors | SAMSUNG ELECTRONICS CO., LTD. |
11074172 | On-device-copy for hybrid SSD with second persistent storage media update of logical block address for first persistent storage media data | INTEL CORPORATION |
11074173 | Method and system to determine an optimal over-provisioning ratio | LIGHTBITS LABS LTD. |
11074180 | Creating a dynamic address translation with translation exception qualifiers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11074182 | Three tiered hierarchical memory systems | MICRON TECHNOLOGY, INC. |
11074199 | Securing a memory device | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11074216 | Source type definition configuration using a graphical user interface | SPLUNK INC. |
11074219 | Visual file manager | -- |
11074220 | Consistent file system semantics with cloud object storage | ORACLE INTERNATIONAL CORPORATION |
11074221 | Efficient incremental backup and restoration of file system hierarchies with cloud object storage | ORACLE INTERNATIONAL CORPORATION |
11074227 | ID management for a network attached storage system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11074269 | Systems and methods for configuring system memory for extraction of latent information from big data | RESERVOIR LABS, INC. |
11074291 | Apparatus and method for providing image | HANWHA TECHWIN CO., LTD. |
11074292 | Voice tagging of video while recording | REALWEAR, INC. |
11074314 | Weighted panels and panel group for responsive design system | VINYL DEVELOPMENT LLC |
11074316 | Activate a cross browser platform to enable interfaces | -- |
11074393 | Method and apparatus to layout screens | IPLCONTENT, LLC |
11074397 | Adaptive annotations | AMAZON TECHNOLOGIES, INC. |
11074398 | Tracking and managing emoji annotations | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11074399 | Information processing apparatus, information processing system, and non-transitory computer readable medium | FUJIFILM BUSINESS INNOVATION CORP. |
11074400 | Collaborative in-line content item annotations | DROPBOX, INC. |
11074403 | Computer system that can make a first spreadsheet cell behave similarly to a second cell by indirection through a “ditto” function | -- |
11074404 | Viewing file modifications | CFPH, LLC |
11074408 | Mail application features | APPLE INC. |
11074413 | Context-sensitive salient keyword unit surfacing for multi-language survey comments | MICROSOFT TECHNOLOGY LICENSING, LLC |
11074414 | Displaying text classification anomalies predicted by a text classification model | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11074428 | Fingerprint identification device and method | -- |
11074429 | User identification method, terminal and storage medium | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
11074444 | Method and apparatus for use in previewing during iris recognition process | ADVANCED NEW TECHNOLOGIES CO., LTD. |
11074449 | Method, apparatus for controlling a smart device and computer storge medium | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11074455 | Machine learning in video classification | MATROID, INC. |
11074458 | System and method for searching video | VERINT AMERICAS INC. |
11074460 | Graphical management system for interactive environment monitoring | SECURITY SYSTEMS, L.L.C. |
11074491 | Emotionally intelligent companion device | -- |
11074533 | System and method for creating an optimized actionable interface for data access and visualization | COGNIZANT TECHNOLOGY SOLUTIONS INDIA PVT. LTD. |
11074538 | Adaptive alertness testing system and method | PREDICTIVE SAFETY SRP, INC. |
11074544 | System and method to incorporate node fulfillment capacity and capacity utilization in balancing fulfillment load across retail supply networks | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11074552 | Methods for using interactive huddle sessions and sub-applications | MASSACHUSETTS MUTUAL LIFE INSURANCE COMPANY |
11074572 | User interfaces for stored-value accounts | APPLE INC. |
11074610 | Sales promotion system, sales promotion method, non-transitory computer readable medium, and shelf system | -- |
11074618 | Method and apparatus pertaining to history-based content-sharing recommendations | BLACKBERRY LIMITED |
11074635 | Real-time recommendation monitoring dashboard | TARGET BRANDS, INC. |
11074639 | Cloud-based item storage system | -- |
11074645 | User-widget-based transaction system | EBAY INC. |
11074647 | Systems and methods of sharing an augmented environment with a companion | CAPITAL ONE SERVICES, LLC |
11074649 | Systems and methods for providing seamless transitions between graphical images on a binary options interface | CFPH, LLC |
11074705 | Information processing device, information processing method, and program | FUJIFILM CORPORATION |
11074714 | Eye tracking method and system | INNODEM NEUROSCIENCES |
11074725 | Rendering semi-transparent user interface elements | APPLE INC. |
11074731 | Dynamic visualization and data analytics based on parameter actions | TABLEAU SOFTWARE, LLC |
11074737 | Information processing apparatus and method | COLOPL, INC. |
11074747 | Computer-aided techniques for designing detailed three-dimensional objects | AUTODESK, INC. |
11074754 | Electronic device | LG ELECTRONICS INC. |
11074756 | Camera arrangements with backlighting detection and methods of using same | WORLDSCAPE, INC. |
11074757 | Display device, display method, and recording medium | CASIO COMPUTER CO., LTD. |
11074758 | Collaborative augmented reality | OPEN TEXT CORPORATION |
11074760 | Comparative analysis of anatomical items | BOSTON SCIENTIFIC SCIMED, INC. |
11074768 | Method and system for providing scanner jobs on diagnostic tool | SNAP-ON INCORPORATED |
11074789 | Technique to deter theft from automated teller machines | TEXAS INSTRUMENTS INCORPORATED |
11074835 | Flexible display device | SAMSUNG DISPLAY CO., LTD. |
11074855 | Devices and methods for providing access to internal component | APPLE INC. |
11074859 | AMOLED displays with multiple readout circuits | IGNIS INNOVATION INC. |
11074866 | Light emitting display apparatus | LG DISPLAY CO., LTD. |
11074876 | Stereoscopic display device | TIANMA MICROELECTRONICS CO., LTD. |
11074887 | Glasses-type wearable terminal and data processing method | KABUSHIKI KAISHA TOSHIBA |
11074889 | Adaptive compression by light level | DISPLAYLINK (UK) LIMITED |
11074890 | Display device and operation method thereof | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11074891 | Consolidation of data compression using common sectored cache for graphics streams | INTEL CORPORATION |
11074911 | Digital assistant | FIRST ADVANTAGE CORPORATION |
11074916 | Information processing system, and information processing method | SONY CORPORATION |
11074940 | Interface apparatus and recording apparatus | PARONYM INC. |
11074949 | Parallel access for memory subarrays | MICRON TECHNOLOGY, INC. |
11074959 | DDR memory bus with a reduced data strobe signal preamble timespan | INTEL CORPORATION |
11074960 | Interrupt-driven content protection of a memory device | MICRON TECHNOLOGY, INC. |
11074990 | Nonvolatile memory device including a plurality of input/output units and an operating method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11074993 | Computer graphical user interface with genomic workflow | PALANTIR TECHNOLOGIES INC. |
11074996 | Automated clinical documentation system and method | NUANCE COMMUNICATIONS, INC. |
11075000 | Method and system for using virtual avatars associated with medical professionals during exercise sessions | ROM TECHNOLOGIES, INC. |
11075003 | Assistance apparatus for assisting interpretation report creation and method for controlling the same | CANON KABUSHIKI KAISHA |
11075251 | Flexible display device | SAMSUNG DISPLAY CO., LTD. |
11075255 | Display panel, display device, input/output device, and data processing device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11075332 | Tactile temperature control | BAYERISCHE MOTOREN WERKE AKTIENGESELLSCHAFT |
11075365 | Display panel, method for fabricating the same, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11075454 | Multi-purpose smart tower | -- |
11075633 | Water-rejection proximity detector and method | SEMTECH CORPORATION |
11075634 | Switching operation sensing apparatus with touch input member identification | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11075865 | Method and apparatus for transmitting business object | ALIBABA GROUP HOLDING LIMITED |
11075920 | Providing access to structured stored data | LOOKIIMEDIA (UK) LIMITED |
11075932 | Appliance extension for remote communication with a cyber security appliance | DARKTRACE HOLDINGS LIMITED |
11075967 | Systems, methods, and devices for providing networked access to media signals | AUDINATE PTY LIMITED |
11075976 | Remoting application user interfaces | VMWARE, INC. |
11075979 | Optimized resource provisioning | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11075996 | Remote dashboard console | RED HAT ISRAEL, LTD. |
11076021 | Host device for obtaining operating instructions for a barcode reader from a remote server | THE CODE CORPORATION |
11076034 | Initiating application execution with trigger characters | AIRWATCH, LLC |
11076035 | Do not disturb feature for audio notifications | SONOS, INC. |
11076037 | Electronic device for synchronizing modification among screens and operation method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11076039 | Accelerated task performance | APPLE INC. |
11076042 | Favorite-object display method and terminal | HUAWEI TECHNOLOGIES CO., LTD. |
11076055 | Control apparatus detects an error in image processor and reboots the image processor before transmits printing data to printing apparatus | CANON KABUSHIKI KAISHA |
11076060 | System and method for monitoring, recommending and updating multifunction peripherals via a handheld device | TOSHIBA TEC KABUSHIKI KAISHA |
11076061 | Information processing apparatus and system and non-transitory computer readable medium that stores environmental information when providing a service has previously failed | FUJIFILM BUSINESS INNOVATION CORP. |
11076063 | Information processing system that performs synchronization of information related to display of a button related to an application, information processing apparatus, method of controlling the same, and storage medium | CANON KABUSHIKI KAISHA |
11076064 | Image forming apparatus to improve the speed of the copying process | KYOCERA DOCUMENT SOLUTIONS INC. |
11076070 | Information processing apparatus and non-transitory computer readable medium information processing apparatus and non-transitory computer readable medium for providing information regarding availability of a function performed by a device upon disconnection of the device from the information processing apparatus | FUJIFILM BUSINESS INNOVATION CORP. |
11076071 | Device having a camera overlaid by display and method for implementing same | LENOVO (SINGAPORE) PTE. LTD. |
11076080 | Under-display image sensor for eye tracking | SYNAPTICS INCORPORATED |
11076089 | Apparatus and method for presenting specified applications through a touch screen display | SAMSUNG ELECTRONICS CO., LTD. |
11076091 | Image capturing assistant | AMAZON TECHNOLOGIES, INC. |
11076093 | Image processing method | NATIONAL INSTITUTE OF ADVANCED INDUSTRIAL SCIENCE AND TECHNOLOGY |
11076125 | Information processing apparatus and information processing method | SONY CORPORATION |
11076128 | Determining video stream quality based on relative position in a virtual space, and applications thereof | KATMAI TECH HOLDINGS LLC |
11076147 | Stereoscopic display of objects | MICROSOFT TECHNOLOGY LICENSING, LLC |
11076177 | Grouped zones in a system with multiple media playback protocols | SONOS, INC. |
11076195 | Automatic screen navigation for media device configuration and control | CAAVO INC |
11076216 | Headphone interaction with media playback system | SONOS, INC. |
11076249 | Electronic device and control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11076254 | Audio processing apparatus, audio processing system, and audio processing method | YAMAHA CORPORATION |
11076469 | Visual tracking system and method | -- |
11076683 | Systems and methods for creating custom formulations | L'OREAL |
11076769 | Human body wearable device and operation method thereof | LG INNOTEK CO., LTD. |
11076779 | Optimization tool for auditory devices | SOUNDWAVE HEARING, LLC |
11076923 | Device and method for controlled motion of a tool | ELYTRA TECHNOLOGIES, LLC |
11077292 | System of medical indicators having multisensory, multipurpose and multifunctional features | STAR LUMINAL LLC |
11077311 | Modular defibrillator architecture | AVIVE SOLUTIONS, INC. |
11077312 | Defibrillator communications architecture | AVIVE SOLUTIONS, INC. |
11077343 | Monitoring device for a piece of sports equipment | NIKE, INC. |
11077360 | Information processing device, control method of information processing device, and program | SONY INTERACTIVE ENTERTAINMENT INC. |
11077361 | Interactive voice-controlled companion application for a video game | ELECTRONIC ARTS INC. |
11077562 | User-assisted robotic control systems | SOFT ROBOTICS, INC. |
11077858 | Vehicle driver state determination apparatus | DENSO CORPORATION |
11077958 | Systems and methods for generating cockpit displays having user defined display preferences | HONEYWELL INTERNATIONAL INC. |
11078319 | Method for forming a cast elastomer | TACTUS TECHNOLOGY, INC. |
11078378 | Polyimide film, polyimide varnish, and product and layered product using the polyimide film | ASAHI KASEI KABUSHIKI KAISHA |
11078603 | Method for controlling displays of a spinning machine or winder | MASCHINENFABRIK RIETER AG |
11079172 | Appliance having touch sensor assembly | LG ELECTRONICS INC. |
11079210 | Sensing distance to terminal | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11079249 | Haptic navigation device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11079529 | N4 phase retardation film, display apparatus and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11079598 | Augmented reality spectroscopy | MAGIC LEAP, INC. |
11079620 | Optimization of electronic display areas | FLEXTERRA, INC. |
11079636 | Active matrix substrate, liquid crystal display device with touch sensor using active matrix substrate, and method for manufacturing active matrix substrate | SHARP KABUSHIKI KAISHA |
11079643 | Active matrix substrate and liquid crystal display device with touch sensor | SHARP KABUSHIKI KAISHA |
11079645 | Stabilization for privacy display | REALD SPARK, LLC |
11079696 | Processing device and image forming apparatus | SHARP KABUSHIKI KAISHA |
11079724 | Electronic device for controlling watch face of smart watch and operation method therefor | SAMSUNG ELECTRONICS CO., LTD. |
11079742 | Automated breadboard wiring assembly | -- |
11079743 | Project autonomy in industrial automation design environments | ROCKWELL AUTOMATION TECHNOLOGIES, INC. |
11079751 | Gesturing for control input for a vehicle | SUPERIOR MARINE PRODUCTS LLC |
11079794 | Electronic device and method of controlling operation of electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11079797 | Electronic devices with adjustable display windows | APPLE INC. |
11079803 | Electronic device having plurality of displays enclosing multiple sides and method for controlling the same | SAMSUNG ELECTRONICS CO., LTD. |
11079806 | Display device and non-transitory computer readable medium storing display control program | FUJIFILM BUSINESS INNOVATION CORP. |
11079809 | Electronic apparatus | LENOVO (SINGAPORE) PTE. LTD. |
11079811 | Sound generator including an ultrasound unit, display device including the sound generator, and method of driving display device | SAMSUNG DISPLAY CO., LTD. |
11079812 | Modular button assembly for an electronic device | APPLE INC. |
11079816 | System and method for vapor chamber directional heat dissipation for a piezoelectric keyboard assembly | DELL PRODUCTS L.P. |
11079821 | Stylus communication with near-field coupling | WACOM CO., LTD. |
11079822 | Integrated power and thermal management in non-volatile memory | WESTERN DIGITAL TECHNOLOGIES, INC. |
11079823 | Method and apparatus for auxiliary port function distinction | GULFSTREAM AEROSPACE CORPORATION |
11079829 | Peak power management of dice in a power network | MICRON TECHNOLOGY, INC. |
11079835 | Display device and method for controlling same | CASIO COMPUTER CO., LTD. |
11079836 | Electronic device displays an image of an obstructed target | -- |
11079837 | Electronic device displays an image of an obstructed target | -- |
11079838 | Head mounted display with adjustable headband | SONY INTERACTIVE ENTERTAINMENT INC. |
11079839 | Eye tracking device and eye tracking method applied to video glasses and video glasses | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11079840 | Operation determination device and operation determination method | LAPIS SEMICONDUCTOR CO., LTD. |
11079841 | Enabling augmented reality using eye gaze tracking | QUALCOMM INCORPORATED |
11079842 | Image stream switcher | INTEL CORPORATION |
11079843 | Eye tracking apparatuses configured for degrading iris authentication | UNIVERSITY OF FLORIDA RESEARCH FOUNDATION, INCORPORATED |
11079844 | Electronic device | FUJIFILM BUSINESS INNOVATION CORP. |
11079845 | System, method, and apparatus for therapy and computer usage | -- |
11079846 | Systems, articles, and methods for capacitive electromyography sensors | FACEBOOK TECHNOLOGIES, LLC |
11079847 | Hands on wheel control with digital icons | -- |
11079848 | Generating a haptic model | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11079849 | System for extended key actions and haptic feedback and optimized key layout for a solid-state keyboard and touchpad | DELL PRODUCTS L.P. |
11079850 | Input apparatus | DENSO CORPORATION |
11079851 | Method and system for providing adjunct sensory information to a user | NEOSENSORY, INC. |
11079852 | Input device | DENSO CORPORATION |
11079853 | Actuator excitation signal processing method, device, computer equipment and storage medium | AAC TECHNOLOGIES PTE. LTD. |
11079854 | Method and system for haptic stimulation | NEOSENSORY, INC. |
11079855 | Method and apparatus for manipulating content in an interface | ATHEER, INC. |
11079856 | System and method for authenticating a user through unique aspects of the user's keyboard | NEURAMETRIX, INC. |
11079857 | Optical detecting device | -- |
11079858 | Controller movement tracking with light emitters | MENTOR ACQUISITION ONE, LLC |
11079859 | Electronic apparatus having a point stick in a keyboard | LENOVO (SINGAPORE) PTE. LTD. |
11079860 | Kinematic chain motion predictions using results from multiple approaches combined via an artificial neural network | FINCH TECHNOLOGIES LTD. |
11079861 | Dual-mode optical input device | LOGITECH EUROPE S.A. |
11079862 | Low-power and low-frequency data transmission for stylus and associated signal processing | WACOM CO., LTD. |
11079863 | Electronic pen | WACOM CO., LTD. |
11079864 | Stylus for electronic devices | APPLE INC. |
11079865 | Position detection device and control method for position detection sensor | WACOM CO., LTD. |
11079866 | Computer mice, computer mouse arrangements and mouse pad arrangements | RAZER (ASIA-PACIFIC) PTE. LTD. |
11079867 | Methods and apparatus to facilitate user interactions with foldable displays | INTEL CORPORATION |
11079868 | Touch panel recognizing virtual touches in addition to actual touches, method for operation of such touch panel, and touch display device | INTERFACE TECHNOLOGY (CHENGDU) CO., LTD. |
11079869 | Method for determining touch layer pattern, touch display device, computer device and storage medium | BOE TECHNOLOGY GROUP CO., LTD. |
11079870 | Display device | SAMSUNG DISPLAY CO., LTD. |
11079871 | Display panel with embedded fingerprint recognition and touch function, driving method thereof and display device | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. |
11079873 | Touch panel device | MITSUBISHI ELECTRIC CORPORATION |
11079874 | Virtual button characterization engine | CIRRUS LOGIC, INC. |
11079875 | Compact home assistant having touch sensitive housing | GOOGLE LLC |
11079876 | Touch display device, touch driving circuit and touch driving method thereof | LG DISPLAY CO., LTD. |
11079877 | Display driving circuit and display device having the same | -- |
11079878 | Noise mitigation touch sensing circuit | APPLE INC. |
11079879 | Flexible touch display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11079880 | Method for measuring a capacitance value | KOSTAL AUTOMOBIL ELEKTRIK GMBH & CO. KG |
11079881 | Signal processing circuit and system | -- |
11079882 | Diamond based touch sensor panel architectures | APPLE INC. |
11079883 | Input sensing circuit and display module having the same | SAMSUNG DISPLAY CO., LTD. |
11079884 | Touch display panel, operating method thereof and touch display device | SHANGHAI AVIC OPTO ELECTRONICS CO., LTD. |
11079885 | Display device having touch sensor | LG DISPLAY CO., LTD. |
11079886 | Display substrate and display device | SHARP KABUSHIKI KAISHA |
11079887 | Conductive member for touch panel and touch panel | FUJIFILM CORPORATION |
11079888 | Sensitivity region of interest processing (ROIP) for input/output (I/O) operative touch sensor device (TSD) | SIGMASENSE, LLC. |
11079889 | Input sensing using overlapping code division multiplexing (CDM) | SYNAPTICS INCORPORATED |
11079890 | Touch sensing unit and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11079891 | Touch screen device | MITSUBISHI ELECTRIC CORPORATION |
11079892 | Coordinate input device with controller arranged inside of region covered with shield sheet | WACOM CO., LTD. |
11079893 | Remotely restricting client devices | AIRWATCH LLC |
11079894 | Device configuration user interface | APPLE INC. |
11079895 | Method and apparatus for providing user interface | SAMSUNG ELECTRONICS CO., LTD. |
11079896 | Interactive system and method of instrumenting a bio-manufacturing process | EMD MILLIPORE CORPORATION |
11079897 | Two-way real-time 3D interactive operations of real-time 3D virtual objects within a real-time 3D virtual world representing the real world | THE CALANY HOLDING S. À R.L. |
11079898 | Electronic device for controlling display of VR image, control method of electronic device, and non-transitory computer readable medium | CANON KABUSHIKI KAISHA |
11079899 | Dynamic eye-gaze dwell times | MICROSOFT TECHNOLOGY LICENSING, LLC |
11079900 | Electronic device and computer program product for controlling display of information based on display areas of flexible display | SAMSUNG ELECTRONICS CO., LTD. |
11079901 | Systems and methods for presenting and discovering relationships between information units | GANALILA, LLC |
11079902 | Interactive dimensional hierarchy development | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11079903 | Method and system for quick selection by intelligent terminal, and intelligent terminal | .HUIZHOU TCL MOBILE COMMUNICATION CO., LTD |
11079904 | Technologies for indicating third party content and resources | APPESTEEM CORPORATION |
11079905 | Computer system for assembling graphical user interfaces from dynamic data | MARKETING ARCHITECTS, INC. |
11079907 | Systems and methods for reacting to messages | SLACK TECHNOLOGIES, INC. |
11079908 | Method and apparatus for adding icon to interface of android system, and mobile terminal | HUAWEI DEVICE (DONGGUAN) CO., LTD. |
11079909 | Macro building tool with an information pane and a macro building pane for compiling an ordered macro and determining data dependency | SALESFORCE.COM, INC. |
11079910 | Softphone control integration | FUZE, INC. |
11079911 | Enrollment-free offline device personalization | SYNAPTICS INCORPORATED |
11079912 | Method and apparatus for enhancing digital video effects (DVE) | GRASS VALLEY CANADA |
11079913 | User interface for status indicators | APPLE INC. |
11079915 | System and method of using multiple touch inputs for controller interaction in industrial control systems | INTELLIGENT PLATFORMS, LLC |
11079916 | Multiple source media management | MICROSOFT TECHNOLOGY LICENSING, LLC |
11079917 | Insights panel for presentation slides in a cloud collaboration platform | SALESFORCE.COM, INC. |
11079918 | Adaptive audio and video channels in a group exercise class | TECHNOGYM S.P.A. |
11079919 | Personal computing devices with improved graphical user interfaces | WELLS FARGO BANK, N.A. |
11079920 | Integrated agent player-client management system and method with automated event trigger initiated communications | DSTEPHENS & ASSOCIATES PARTNERSHIP |
11079921 | Tool selection systems | CATERPILLAR INC. |
11079922 | Analytics design system | SAP SE |
11079923 | User interface for a video capture device | TWITTER, INC. |
11079924 | Cognitive graphical control element | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11079925 | HMI-based pattern modification for robotic palletizing | INTELLIGRATED HEADQUARTERS, LLC |
11079926 | Method and apparatus for providing user interface of portable device | SAMSUNG ELECTRONICS CO., LTD. |
11079927 | Automated modification of graphical user interfaces | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11079928 | System and method for appliance control via a personal communication or entertainment device | UNIVERSAL ELECTRONICS INC. |
11079929 | Devices, methods, and graphical user interfaces for navigating between user interfaces, displaying a dock, and displaying system user interface elements | APPLE INC. |
11079930 | Method and terminal for displaying a plurality of content cards | HUAWEI TECHNOLOGIES CO., LTD. |
11079931 | User interface for in-vehicle system | HARMAN INTERNATIONAL INDUSTRIES, INCORPORATED |
11079932 | Pop-up adjustment for mobile devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11079933 | Method, device, and graphical user interface providing word recommendations for text input | APPLE INC. |
11079934 | Electronic device and method for processing letter input in electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11079935 | Processing a space release command to free release space in a consistency group | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11079936 | 3-D stacked memory with reconfigurable compute logic | SAMSUNG ELECTRONICS CO., LTD. |
11079937 | Client application program interface for network-attached storage system | ORACLE INTERNATIONAL CORPORATION |
11079938 | Congestion mitigation in a distributed storage system | WEKA.IO LTD. |
11079939 | Distributing I/O Q-connections of subsytems among hosts | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11079940 | Bandwidth management of memory through containers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11079941 | Data management system | RUBRIK, INC. |
11079942 | Shared filesystem for distributed data storage system | SAP SE |
11079943 | Storage devices including improved over provision management and operating methods of such storage devices | SAMSUNG ELECTRONICS CO., LTD. |
11079944 | Dynamic provisioning of container storage | ZETTASET, INC. |
11079945 | Dynamic configuration of memory timing parameters | ATI TECHNOLOGIES ULC |
11079946 | Write training in memory devices | MICRON TECHNOLOGY, INC. |
11079947 | Ensuring hardware-management-console powerup after external AC power loss | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11079948 | Memory system for updating firmware when SPO occurs and operating method thereof | SK HYNIX INC. |
11079949 | Quick activate for memory sensing | MICRON TECHNOLOGY, INC. |
11079950 | Enabling application control path over a remote data facility link | EMC IP HOLDING COMPANY LLC |
11079951 | Multi-tier storage and mirrored volumes | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11079952 | Data storage device performing scan operations on memory regions to move data and operation method thereof | SK HYNIX INC. |
11079953 | Packing deduplicated data into finite-sized containers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11079954 | Embedded reference counter and special data pattern auto-detect | SAMSUNG ELECTRONICS CO., LTD. |
11079955 | Concept for approximate deduplication in storage and memory | INTEL CORPORATION |
11079956 | Storage system and storage control method | HITACHI, LTD. |
11079957 | Storage system capacity expansion using mixed-capacity storage devices | DELL PRODUCTS L.P. |
11079958 | Apparatus, system and method for offloading data transfer operations between source and destination storage devices to a hardware accelerator | INTEL CORPORATION |
11079959 | Migration of memory devices | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11079960 | Object storage system with priority meta object replication | WESTERN DIGITAL TECHNOLOGIES, INC. |
11079961 | Storage system with write-via-hash functionality for synchronous replication of logical storage volumes | EMC IP HOLDING COMPANY LLC |
11079962 | Addressable non-volatile random access memory | PURE STORAGE, INC. |
11079963 | Storage device and communication control method | TOSHIBA MEMORY CORPORATION |
11079964 | Memory system | TOSHIBA MEMORY CORPORATION |
11079965 | Data processing method for computer system with solid state drive | -- |
11079966 | Enhanced soft fence of devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11079967 | Memory system, memory controller and operating method of memory controller | SK HYNIX INC. |
11079968 | Queue management in multi-site storage systems | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11079969 | Disk array enclosure configured for metadata and data storage processing | EMC IP HOLDING COMPANY LLC |
11079970 | Storage array supporting multi-thread access | QUANTUM CORPORATION |
11079971 | Input/output (i/o) fencing without dedicated arbitrators | VERITAS TECHNOLOGIES LLC |
11079972 | Semiconductor device | RENESAS ELECTRONICS CORPORATION |
11079973 | Printing of electronic messages based on keyword matching | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11079974 | Server, printer, and program for performing RFID communication | SATO HOLDINGS KABUSHIKI KAISHA |
11079975 | Heuristic-based acoustic emission and energy conservation | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11079976 | Control apparatus, control method, and storage medium | CANON KABUSHIKI KAISHA |
11079977 | Printing system, information processing apparatus, control method therefor, and storage medium | CANON KABUSHIKI KAISHA |
11079978 | Print in a user defined print area of a print media | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11079979 | Information processing apparatus, control method therefor, and storage medium | CANON KABUSHIKI KAISHA |
11079980 | Storage medium storing image processing program and information processing apparatus to install driver associated with user and image processing device identifications | BROTHER KOGYO KABUSHIKI KAISHA |
11079981 | Image forming apparatus having a replacement unit | CANON KABUSHIKI KAISHA |
11079982 | Image forming apparatus, recording medium, and control method for visually displaying unresolved errors | SHARP KABUSHIKI KAISHA |
11079983 | Information processing apparatus that saves, in a shared memory, information for accessing a device using a URL via a USB, and method of controlling same | CANON KABUSHIKI KAISHA |
11079984 | Image processing mechanism | RICOH COMPANY, LTD. |
11079985 | Information processing system, and control method for printing downloaded print data | CANON KABUSHIKI KAISHA |
11079986 | Printing apparatus having print setting control, method of controlling the same, and computer-readable storage medium | CANON KABUSHIKI KAISHA |
11079987 | Creation of component templates | OPEN TEXT CORPORATION |
11079988 | Image forming apparatus, setting system therefor, setting method, and computer-readable recording medium having program stored thereon | SHARP KABUSHIKI KAISHA |
11079989 | Printing system, printing method, image forming apparatus and method for controlling the same, and computer-readable medium | CANON KABUSHIKI KAISHA |
11079990 | Information processing device, system, and non-transitory computer readable medium for display of execution instructions | FUJIFILM BUSINESS INNOVATION CORP. |
11079991 | Policy-based printing system and methods using a proxy device | KYOCERA DOCUMENT SOLUTIONS INC. |
11079992 | Information processing apparatus having software key display, control method thereof, and program | CANON KABUSHIKI KAISHA |
11079993 | Image formation apparatus and control method for print execution processing where print data is transmitted to a folder | CANON KABUSHIKI KAISHA |
11079994 | Mounting apparatus | FUJI CORPORATION |
11079995 | User interfaces for devices with multiple displays | APPLE INC. |
11079996 | Cellular display device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11079997 | Display apparatus and methods | NANLUMENS ACQUISITION, INC. |
11079998 | Executing a demo in viewer's own environment | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11079999 | Display screen front panel of HMD for viewing by users viewing the HMD player | SONY INTERACTIVE ENTERTAINMENT INC. |
11080000 | Multiple-device setup | SONOS, INC. |
11080001 | Concurrent transmission and playback of audio information | SONOS, INC. |
11080002 | Methods and systems for personalizing user experience based on use of service | SPOTIFY AB |
11080003 | Pace-aware music player | VOYETRA TURTLE BEACH, INC. |
11080004 | Methods and user interfaces for sharing audio | APPLE INC. |
11080005 | Dynamic computation of system response volume | SONOS, INC. |
11080006 | Methods and system for cue detection from audio input, low-power data processing and related arrangements | DIGIMARC CORPORATION |
11080007 | Intelligent audio playback resumption | SAP SE |
11080008 | Command dial in a media playback system | SONOS, INC. |
11080009 | Selectable dynamic channels between multimedia devices configured to capture local incident events | -- |
11080010 | System and method for optimizing loudness and dynamic range across different playback devices | DOLBY LABORATORIES LICENSING CORPORATION |
11080011 | Audio rendering device and audio configurator device for audio stream selection, and related methods | TAP SOUND SYSTEM |
11080012 | Interface for a virtual digital assistant | APPLE INC. |
11080013 | Audio menu navigation and option selection via display device | SALESFORCE.COM, INC. |
11080014 | System and method for managing multiple applications in a display-limited environment | XEVO INC. |
11080015 | Component libraries for voice interaction services | GOOGLE LLC |
11080016 | Speech-based interaction with a display window | BANMA ZHIXING NETWORK (HONGKONG) CO., LIMITED |
11080044 | System, method, and GUI client for publishing node package manager (NPM) packages on NPM repository | -- |
11080068 | Adaptive user-interface assembling and rendering | MICROSOFT TECHNOLOGY LICENSING, LLC |
11080069 | Desktop launcher | MICROSOFT TECHNOLOGY LICENSING, LLC |
11080071 | Group editing software enhancement | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11080072 | Custom user interface for a trihybrid data movement, data governance and data provenance system | BANK OF AMERICA CORPORATION |
11080073 | Computerized task guidance across devices and applications | -- |
11080080 | Virtual machine and volume allocation in hyperconverged infrastructure environment and storage system | HITACHI, LTD. |
11080081 | Virtual machine and volume allocation in hyperconverged infrastructure environment and storage system | HITACHI, LTD. |
11080089 | Systems and methods for managing execution of a sequence of software applications | PARALLELS INTERNATIONAL GMBH |
11080103 | API for interface computations for models of disparate type | ANSYS, INC. |
11080105 | Systems, methods, and apparatuses for routing API calls | CDK GLOBAL, LLC |
11080108 | System using adaptive interrupts for controlling notifications to a user | DELL PRODUCTS L.P. |
11080110 | In-memory storage of aggregated data for real-time event tracking | EXPERIAN HEALTH, INC. |
11080114 | Controlling interaction with a scalable application | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11080116 | Methods for decomposing events from managed infrastructures | MOOGSOFT INC. |
11080132 | Generating error checking data for error detection during modification of data in a memory sub-system | MICRON TECHNOLOGY, INC. |
11080133 | Systems and methods for reduced latency in data processing | JERSEY CITY |
11080136 | Dropped write error detection | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11080138 | Storing integrity information in a vast storage system | PURE STORAGE, INC. |
11080145 | Dictionary synchronization over a transport with guaranteed ordering | EMC IP HOLDING COMPANY LLC |
11080149 | Restore current version of a track from a non-volatile storage into a new location in cache | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11080153 | Modifying journaling associated with data mirroring within a storage system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11080154 | Recovering error corrected data | PURE STORAGE, INC. |
11080164 | Time travel source code debugger incorporating redaction of sensitive information | OZCODE LTD. |
11080186 | Storage device and storage system | SAMSUNG ELECTRONICS CO., LTD. |
11080192 | Storage system and storage control method | HITACHI, LTD. |
11080197 | Pre-allocating cache resources for a range of tracks in anticipation of access requests to the range of tracks | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11080217 | Storage device for interfacing with host and method of operating the host and the storage device | SAMSUNG ELECTRONICS CO., LTD. |
11080218 | Interface chip used to select memory chip and storage device including interface chip and memory chip | SAMSUNG ELECTRONICS CO., LTD. |
11080239 | Key value store using generation markers | WESTERN DIGITAL TECHNOLOGIES, INC. |
11080245 | Multi-tier cloud file system | DASTRATUM, INC. |
11080252 | Proxy hash table | BAREFOOT NETWORKS, INC. |
11080253 | Dynamic splitting of contentious index data pages | AMAZON TECHNOLOGIES, INC. |
11080254 | Maintaining data associated with a storage device | PURE STORAGE, INC. |
11080277 | Data set compression within a database system | OCIENT INC. |
11080283 | Systems and methods for selectively prefetching data | ATLASSIAN PTY LTD. |
11080297 | Incremental client synchronization | DROPBOX, INC. |
11080299 | Methods and apparatus to partition a database | MCAFEE, LLC |
11080321 | Systems and methods for a scalable, collaborative, real-time, graphical life-management interface | -- |
11080326 | Intelligently organizing displays of medical imaging content for rapid browsing and report creation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11080328 | Predictively presenting search capabilities | GOOGLE LLC |
11080330 | Generation of digital content navigation data | ADOBE INC. |
11080335 | Concept-based autosuggest based on previously identified items | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11080337 | Storage edge controller with a metadata computational engine | MARVELL ASIA PTE, LTD. |
11080339 | System and method for discovery and retrieval using an enumerator | DELL PRODUCTS L.P. |
11080343 | Iterative search tool and user interface | ITERATIVE SEARCH, LLC |
11080353 | Method and device for processing hyperlink object | ADVANCED NEW TECHNOLOGIES CO., LTD. |
11080356 | Enhancing online remote meeting/training experience using machine learning | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11080358 | Collaboration and sharing of curated web data from an integrated browser experience | MICROSOFT TECHNOLOGY LICENSING, LLC |
11080383 | Radar-based behaviometric user authentication | BEHAVIOSEC INC |
11080409 | SSD content encryption and authentication | NGD SYSTEMS, INC. |
11080413 | Methods and systems for creating, verifying, and entering security information | INTEGRITY SECURITY SERVICES LLC |
11080415 | Document proportional allocation system | TOTAL EXPERT LLC |
11080417 | Private eye-to-eye communications with wearable heads up display | GOOGLE LLC |
11080435 | System architecture with visual modeling tool for designing and deploying complex models to distributed computing clusters | ACCENTURE GLOBAL SOLUTIONS LIMITED |
11080463 | Scrolling for multi-platforms | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11080465 | Method of expanding stacked elements | 9224-5489 QUEBEC INC. |
11080467 | Visual tag builder | QUANTCAST CORPORATION |
11080469 | Modular systems and methods for selectively enabling cloud-based assistive technologies | AUDIOEYE, INC. |
11080472 | Input processing method and input processing device | FUJITSU LIMITED |
11080473 | Information processing device, method for controlling information processing device, program, and web server | RAKUTEN, INC. |
11080474 | Calculations on sound associated with cells in spreadsheets | MICROSOFT TECHNOLOGY LICENSING, LLC |
11080477 | Form-based formula editing in spreadsheets | MICROSOFT TECHNOLOGY LICENSING, LLC |
11080501 | Fingerprint sensing display apparatus | LG DISPLAY CO., LTD. |
11080503 | Method and apparatus for identifying fingerprint, electronic device, and computer readable storage medium | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11080505 | Under-screen fingerprint reader | -- |
11080515 | Virtual window device and method for operating a virtual window device | AIRBUS OPERATIONS GMBH |
11080519 | Method and apparatus for gesture recognition | WRT LAB CO., LTD. |
11080553 | Image search method and apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11080556 | User-customizable machine-learning in radar-based gesture detection | GOOGLE LLC |
11080594 | Augmenting neural networks with external memory using reinforcement learning | DEEPMIND TECHNOLOGIES LIMITED |
11080616 | Artificial intelligence model and data collection/development platform | CLARIFAI, INC. |
11080636 | Systems and method for workflow editing | COUPANG CORP. |
11080658 | Integrating rich media into a web-based display interface | VERIZON MEDIA INC. |
11080667 | System and method for automated chatbots | VISA INTERNATIONAL SERVICE ASSOCIATION |
11080674 | Point of sale system | SQUARE, INC. |
11080682 | Easy creation of mobile code | PAYPAL, INC. |
11080729 | Survey administration system and methods | -- |
11080731 | Online situational awareness and video survey system | 1Q, LLC |
11080732 | Audience comparison | ADOBE INC. |
11080767 | Systems and methods for creating an interstitial ad experience within a scrolling content frame | GOOGLE LLC |
11080774 | Online system and method for personalising a greeting card or stationery with handwriting and doodles using a computer | CARDLY PTY LTD |
11080778 | Enhanced on-demand service functionality implemented in casino gaming networks | SYNERGY BLUE LLC |
11080800 | Thermostat having network connected branding features | JOHNSON CONTROLS TYCO IP HOLDINGS LLP |
11080811 | Compute optimization mechanism | INTEL CORPORATION |
11080813 | Compute optimization mechanism | INTEL CORPORATION |
11080815 | Method and wearable device for adjusting overdriving information of display on basis of user's motion information | SAMSUNG ELECTRONICS CO., LTD. |
11080818 | Image display apparatus and non-transitory computer readable medium storing image display program for deforming a display target | FUJIFILM BUSINESS INNOVATION CORP. |
11080820 | System and method for displaying a portion of an image displayed on a screen on a display of a mobile device in magnified form | DISPLAYLINK (UK) LIMITED |
11080871 | Method and system for obtaining pair-wise epipolar constraints and solving for panorama pose on a mobile device | GOOGLE LLC |
11080888 | Information processing device and information processing method | SONY CORPORATION |
11080913 | Page image display control system, page image display control method, and program therefor | FUJIFILM CORPORATION |
11080914 | Interactive layout-aware construction of bespoke charts | MICROSOFT TECHNOLOGY LICENSING, LLC |
11080915 | Gesture based controls for adjusting display areas | APPLE INC. |
11080917 | Dynamic parameterized user avatar stories | SNAP INC. |
11080931 | Virtual x-ray vision in a process control environment | FISHER-ROSEMOUNT SYSTEMS, INC. |
11080933 | Method for guiding pose using augmented reality (AR) apparatus and multimedia device for same | LG ELECTRONICS INC. |
11080935 | Systems and methods for scene-independent augmented reality interfaces | WAYFAIR LLC |
11080936 | First-person perspective-mediated reality | NOKIA TECHNOLOGIES OY |
11080937 | Wearable augmented reality devices with object detection and tracking | ULTRAHAPTICS IP TWO LIMITED |
11080943 | Method and apparatus for displaying with 3D parallax effect | HUAWEI TECHNOLOGIES CO., LTD. |
11080947 | Method for timing multiple entities, timekeeping device and timing application | BLUE MEDIA LABS GMBH |
11080950 | Cooperative vehicle diagnosis system | ZONAR SYSTEMS, INC. |
11080970 | End user protection against ATM keypad overlay | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11080993 | Vehicle to everything communication management according to a vulnerable roadside user device configuration | QUALCOMM INCORPORATED |
11081015 | Training device, training method, and program | SEIKO EPSON CORPORATION |
11081078 | Common voltage compensation circuit unit, display panel, display device, and common voltage compensation method for display panel | BOE TECHNOLOGY GROUP CO., LTD. |
11081083 | Display region based gamma curve control | SYNAPTICS INCORPORATED |
11081084 | Electronic apparatus, luminance control method, and storage medium | CASIO COMPUTER CO., LTD. |
11081085 | On-board information display control system | MITSUBISHI ELECTRIC CORPORATION |
11081086 | Display method and apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
11081087 | Multiple primary user interfaces | HAND HELD PRODUCTS, INC. |
11081088 | Image display method, apparatus, and terminal device | HUAWEI TECHNOLOGIES CO., LTD. |
11081089 | Flicker-fusion-based image capture privacy control system | -- |
11081090 | Method for displaying objects and electronic device using the same | SAMSUNG ELECTRONICS CO., LTD. |
11081091 | Adaptive multibit bus for energy optimization | INTEL CORPORATION |
11081099 | Automated speech pronunciation attribution | GOOGLE LLC |
11081107 | Contextual entity resolution | AMAZON TECHNOLOGIES, INC. |
11081111 | Systems and methods for enhancing responsiveness to utterances having detectable emotion | SPOTIFY AB |
11081118 | Methods and systems for interactive rendering of object based audio | DOLBY LABORATORIES LICENSING CORPORATION |
11081125 | Noise cancellation in voice communication systems | -- |
11081152 | Dynamic random access memory (DRAM) device, memory controller therefor, and memory system | SAMSUNG ELECTRONICS CO., LTD. |
11081163 | Information processing apparatus, information processing system, and semiconductor storage device | KIOXIA CORPORATION |
11081183 | Memory system and control method of memory system for controlling of first and second writing operations | KIOXIA CORPORATION |
11081191 | Dynamic switching for improved power utilization | WESTERN DIGITAL TECHNOLOGIES, INC. |
11081203 | Leakage source detection by scanning access lines | MICRON TECHNOLOGY, INC. |
11081238 | Interactive graphical user interfaces for implementing personalized health and wellness programs | MD REVOLUTION, INC. |
11081676 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11081808 | Antenna having radiation structure of given direction and electronic device including same | SAMSUNG ELECTRONICS CO., LTD. |
11082022 | Audio equalization system and method | DOLBY LABORATORIES LICENSING CORPORATION |
11082042 | Material-discernment proximity sensor | TEXAS INSTRUMENTS INCORPORATED |
11082052 | Frequency lock loop circuits, low voltage dropout regulator circuits, and related methods | TEXAS INSTRUMENTS INCORPORATED |
11082077 | Integrous signal combiner | SKYWORKS SOLUTIONS, INC. |
11082247 | Channeling messaging communications in a selected group-based communication interface | SLACKTECHNOLOGIES, INC. |
11082252 | Systems and methods for modifying playback of a media asset in response to a verbal command unrelated to playback of the media asset | ROVL GUIDES, INC. |
11082302 | System and method facilitating reusability of distributed computing pipelines | IMPETUS TECHNOLOGIES, INC. |
11082375 | Object replication inside collaboration systems | SAP SE |
11082377 | Scripted digital media message generation | NIGHTLIGHT SYSTEMS LLC |
11082381 | Systems and methods for generating tasks based on chat sessions between users of a collaboration environment | ASANA, INC. |
11082411 | RDMA-based data transmission method, network interface card, server and medium | ADVANCED NEW TECHNOLOGIES CO., LTD. |
11082425 | Pressure-based authentication | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11082459 | System and method for determining trust for SIP messages | BLACKBERRY LIMITED |
11082460 | Audio source enhancement facilitated using video data | SYNAPTICS INCORPORATED |
11082461 | Information processing apparatus, information processing system, and information processing method | RICOH COMPANY, LTD. |
11082462 | System and method for augmented and virtual reality | MAGIC LEAP, INC. |
11082465 | Intelligent detection and automatic correction of erroneous audio settings in a video conference | AVAYA MANAGEMENT L.P. |
11082472 | Methods, systems and media for associating multiple users with a media presentation device | GOOGLE LLC |
11082477 | Data sharing apparatus and method of mobile terminal | SAMSUNG ELECTRONICS CO., LTD. |
11082492 | System and method for dynamic backup sessions | EMC IP HOLDING COMPANY LLC |
11082507 | Integration of social interactions into media sharing | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11082523 | System, method and computer program product for a distributed virtual address space | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11082535 | Location enabled augmented reality (AR) system and method for interoperability of AR applications | HERE GLOBAL B.V. |
11082549 | Method for processing event notification and electronic device for supporting the same | SAMSUNG ELECTRONICS CO., LTD. |
11082550 | Proximity sensor and mobile wireless device | SEMTECH CORPORATION |
11082568 | Communication system and printing apparatus | FUJITSU COMPONENT LIMITED |
11082570 | Information processor, control method, and computer-readable recording medium having stored program that control display visibility | SHARP KABUSHIKI KAISHA |
11082571 | Image forming apparatus having an operation portion with a near field communication portion, a touch panel, and an input key | CANON KABUSHIKI KAISHA |
11082577 | Image forming apparatus, control method, and non-transitory computer readable medium | CANON KABUSHIKI KAISHA |
11082579 | Information processing apparatus, method of controlling information processing apparatus and non-transitory computer-readable medium storing program | SHARP KABUSHIKI KAISHA |
11082583 | Information processing apparatus and non-transitory computer readable medium storing program | FUJIFILM BUSINESS INNOVATION CORP. |
11082584 | Image forming device, method, and program product with correction image not recognized as post-processing image | KONICA MINOLTA, INC. |
11082599 | Controlling camera field-of-view based on remote viewer voting | HANGZHOU TARUO INFORMATION TECHNOLOGY LLC |
11082600 | Electronic apparatus that performs wireless communication with an image capturing device at two different communication speeds, and method for controlling same | CANON KABUSHIKI KAISHA |
11082637 | Video processing in virtual reality environments | INTEL CORPORATION |
11082657 | Camera system for use in a vehicle with settable image enlargement values | SONY GROUP CORPORATION |
11082682 | Image processing apparatus and storage medium for deforming or moving displayed objects | NINTENDO CO., LTD. |
11082683 | Digital inter-pupillary distance adjustment | SONY INTERACTIVE ENTERTAINMENT INC. |
11082737 | Display device, display system and method for controlling display device | SAMSUNG ELECTRONICS CO., LTD. |
11082769 | Audio visualization in telecommunications applications | BOSE CORPORATION |
11082770 | Multi-channel pairing in a media system | SONOS, INC. |
11082773 | Context-aware voice guidance | APPLE INC. |
11082785 | Multi-zone media system and method for providing multi-zone media | SOUND UNITED, LLC. |
11082786 | Patient monitor alarm speaker analyzer | MASIMO CORPORATION |
11082789 | Audio production assistant for style transfers of audio recordings using one-shot parametric predictions | ADOBE INC. |
11082845 | Terminal apparatus, device, and non-transitory computer-readable recording medium | SEIKO EPSON CORPORATION |
11083041 | Method and system for integration of portable devices with flight deck displays | THE BOEING COMPANY |
11083054 | Flexible display panel and display apparatus including the same | SAMSUNG DISPLAY CO., LTD. |
11083069 | Lighting control system, lighting control method, and program | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11083292 | Electronic desk | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11083390 | Biometric sensor | TACTUAL LABS CO. |
11083413 | Methods and systems for selecting media guidance applications based on a position of a brain monitoring user device | ROVI GUIDES, INC. |
11083527 | Systems and methods for assisted surgical navigation | GLOBUS MEDICIAL INC. |
11083600 | Prosthetic digit for use with touchscreen devices | TOUCH BIONICS LIMITED |
11083654 | Bed system | PARAMOUNT BED CO., LTD. |
11083810 | Control panel having UV disinfection | GHSP, INC. |
11083950 | Information processing apparatus and information processing method | SONY CORPORATION |
11083958 | Actionable push notifications for computer-implemented games | ZYNGA INC. |
11083967 | Virtual reality haptic system and apparatus | ETHEREAL MATTER, INC. |
11084031 | Methods of fabricating microfluidic valves and systems | FACEBOOK TECHNOLOGIES, LLC |
11084091 | System and method for detecting 3D printing errors | -- |
11084173 | Robot system and control method for robot system | FANUC CORPORATION |
11084222 | Systems and methods for determining dynamic forces in a liquefier system in additive manufacturing | AUTODESK, INC. |
11084250 | Display and electronic device comprising same | SAMSUNG ELECTRONICS CO., LTD. |
11084378 | Electrical device and conveyance | -- |
11084379 | In-vehicle function control apparatus using detachable knob and method of controlling the same | HYUNDAI MOTOR COMPANY |
11084408 | Dedicated massage function button for vehicle | HONDA MOTOR CO., LTD. |
11084581 | Mobile terminal and control method therefor | LG ELECTRONICS INC. |
11084701 | Beverage supply apparatus | THE COCA-COLA COMPANY |
11084704 | Dispenser control architecture | THE COCA-COLA COMPANY |
11084928 | Transparent siloxane encapsulant and adhesive | INKRON OY |
11084982 | Optically transparent polymeric actuator and display apparatus employing same | UNIVERSITY OF PITTSBURGH-OF THE COMMONWEALTH SYSTEM OF HIGHER EDUCATION |
11085660 | System and method for crowd-sourced environmental system control and maintenance | CROWDCOMFORT, INC. |
11085756 | Human body distance detection module for electronic device, electronic device and control method thereof | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11085790 | Venues map application and system providing indoor routing | APPLE INC. |
11086000 | Method for tracking trajectory of an object with time of flight sensor and related device | STMICROELECTRONICS (RESEARCH & DEVELOPMENT) LIMITED |
11086124 | Detecting velocity state of a device | REAVIRE, INC. |
11086126 | Distributed artificial reality system with a removable display | FACEBOOK TECHNOLOGIES, LLC |
11086129 | Head-mounted display with narrow angle backlight | VALVE CORPORATION |
11086148 | Wearable devices such as eyewear customized to individual wearer parameters | OAKLEY, INC. |
11086158 | Array substrate, display panel and man-machine interactive terminal | BOE TECHNOLOGY GROUP CO., LTD. |
11086159 | Electronic device provided with input detection panel | SAMSUNG ELECTRONICS CO., LTD. |
11086160 | Light control device | TOPPAN PRINTING CO., LTD. |
11086175 | Display device and electronic appliance | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11086313 | Gesture-based unmanned aerial vehicle (UAV) control | ATLAS DYNAMIC LIMITED |
11086335 | Driving assistance system and vehicle comprising the same | LG ELECTRONICS INC. |
11086350 | Input apparatus, method for controlling input apparatus, and control program | ALPS ALPINE CO., LTD. |
11086362 | Method, apparatus and computer program product | LENOVO (BEIJING) CO., LTD. |
11086363 | Display panel, display apparatus, and foldable display apparatus | SHANGHAI AVIC OPTO ELECTRONICS CO., LTD. |
11086368 | Devices and methods for processing and disambiguating touch inputs using intensity thresholds based on prior input intensity | APPLE INC. |
11086374 | Transmission interface circuit having a plurality of power supply paths whereby transmission are placed in one of a conductive or nonconductive state | -- |
11086391 | Methods, and devices for generating a user experience based on the stored user information | AT&T INTELLECTUAL PROPERTY I, L.P. |
11086392 | Devices, systems, and methods for virtual representation of user interface devices | FACEBOOK TECHNOLOGIES, LLC |
11086393 | Information processing device, information processing method, and computer program | SONY CORPORATION |
11086394 | Gaming cabin for virtual reality application | -- |
11086395 | Image processing apparatus, image processing method, and storage medium | CANON KABUSHIKI KAISHA |
11086396 | Depth-keying of web content | SONY INTERACTIVE ENTERTAINMENT LLC |
11086397 | Method and apparatus for construction machine visualization | TOPCON POSITIONING SYSTEMS, INC. |
11086398 | Haptic rendering | MICROSOFT TECHNOLOGY LICENSING, LLC |
11086399 | Systems and methods for providing variable haptic feedback | CAPITAL ONE SERVICES, LLC |
11086400 | Graphical user interface for controlling haptic vibrations | SONICSENSORY, INC |
11086401 | Tactile display using microscale electrostatic accelerators | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11086402 | Multi rate processing device for rendering haptic feedback | TANVAS, INC. |
11086403 | Systems and methods for multi-user shared virtual and augmented reality-based haptics | IMMERSION CORPORATION |
11086404 | Gesture identification | PAYPAL, INC. |
11086405 | Display device and projection device | NIKON CORPORATION |
11086406 | Three-state gesture virtual controls | FACEBOOK TECHNOLOGIES, LLC |
11086407 | Device with a keypad that includes a bezel assembled with a flexible substrate | MOTOROLA SOLUTIONS, INC. |
11086408 | Keypad | HUIZHOU TCL MOBILE COMMUNICATION CO., LTD. |
11086409 | System, device, and method for inputting information on basis of sound wave | CAFE24 CORP. |
11086410 | Apparatus for text entry and associated methods | NOKIA TECHNOLOGIES OY |
11086411 | Character input device, character input method, and character input program for predictive suggestions | OMRON CORPORATION |
11086412 | Method for determining display orientation and electronic apparatus using the same and computer readable recording medium | -- |
11086413 | Context-dependent wheels and buttons | -- |
11086414 | Mouse device | -- |
11086415 | Haptic pen for an information handling system | DELL PRODUCTS L.P. |
11086416 | Input device for use in an augmented/virtual reality environment | LOGITECH EUROPE S.A. |
11086417 | Stylus for addressing magnetically-actuated display medium | E INK CORPORATION |
11086418 | Method and system for providing input to a device | DOUZEN, INC. |
11086419 | Information processing device, information processing method, and recording medium | SHARP KABUSHIKI KAISHA |
11086420 | Position indicator | WACOM CO., LTD. |
11086421 | Touch panel | TSINGHUA UNIVERSITY |
11086422 | Wearable information terminal | MAXELL, LTD. |
11086423 | Touch display device and touch display substrate for reducing sheet resistance and thickness | BEIJING BOE TECHNOLOGY DEVELOPMENT CO., LTD. |
11086425 | Touch display apparatus and driving method therefor | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11086426 | Apparatus and method for driving a touch display panel | -- |
11086427 | Pressure sensor and display device having the same | SAMSUNG DISPLAY CO., LTD. |
11086428 | Touch circuit including pressure sensitive circuit, touch driving method and touch display panel | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
11086429 | Touch detection device, display device with touch detection function, and covering member | JAPAN DISPLAY INC. |
11086430 | Display device having touch panel | JAPAN DISPLAY INC. |
11086431 | Display device and method for providing haptic feedback by display device | SAMSUNG DISPLAY CO., LTD. |
11086432 | Display device and sensor | JAPAN DISPLAY INC. |
11086433 | Display panel and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11086434 | Touch sensing unit having force sensor and display device including the touch sensing unit | SAMSUNG DISPLAY CO., LTD. |
11086435 | Drive control device, electronic device, and drive control method | FUJITSU LIMITED |
11086436 | Electronic device comprising plurality of displays and method for operating same | SAMSUNG ELECTRONICS CO., LTD. |
11086437 | Capacitance detection circuit and electrostatic capacitance sensor device with a high-pass filter having first and second passive circuit elements for outputting an attenuated current signal | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
11086438 | Display device having a pressure sensor including a protrusion inserted in a waterproof member | SAMSUNG DISPLAY CO., LTD. |
11086439 | Display panel with metal mesh units and display apparatus | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
11086440 | Matrix sensors | TACTUAL LABS CO. |
11086441 | Information processing apparatus, method for controlling information processing apparatus, and control program for information processing apparatus | SEIKO EPSON CORPORATION |
11086442 | Method for responding to touch operation, mobile terminal, and storage medium | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
11086443 | Touch apparatus, touch driving device and operation method thereof | -- |
11086444 | Integrated touch and display architectures for self-capacitive touch sensors | APPLE INC. |
11086445 | Device and method for controlling touch display, and touch display system | SK TELECOM CO., LID. |
11086446 | Touch detection method, touch chip and electronic device | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
11086447 | Electronic device and method for controlling touch sensor controller on basis of image synchronization signal | SAMSUNG ELECTRONICS CO., LTD. |
11086448 | Parallel analysis of different sampling rates in a touch screen controller | STMICROELECTRONICS ASIA PACIFIC PTE LTD |
11086449 | Driving circuit, touch display device, and driving method thereof | LG DISPLAY CO., LTD. |
11086450 | Touch circuit, touch device and touch method | BOE TECHNOLOGY GROUP CO., LTD. |
11086451 | Electronic apparatus and object information recognition method by using touch data thereof | -- |
11086452 | Pixel array substrate | -- |
11086453 | Layer for inducing varying delays in ultrasonic signals propagating in ultrasonic sensor | QUALCOMM INCORPORATED |
11086454 | Touch substrate and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11086455 | Auto-tunable drive system for high efficiency synchronized charge pump for use with touch screen system | STMICROELECTRONICS ASIA PACIFIC PTE LTD |
11086456 | Touch module and touch display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11086457 | Position pointer | WACOM CO., LTD. |
11086458 | Fabricated electrical circuit on touch sensor substrate | BOE TECHNOLOGY GROUP CO., LTD. |
11086459 | Touch panel and manufacturing method thereof | CAMBRIOS FILM SOLUTIONS CORPORATION |
11086460 | Touch substrate, method for manufacturing same, and touch device | CHONGQING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11086461 | Array substrate, embedded touch screen, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11086462 | Touch screen panel | SAMSUNG DISPLAY CO., LTD. |
11086463 | Multi modal touch controller | APPLE INC. |
11086464 | Display device with touch panel providing touched position detection and pressing force detection | SHARP KABUSHIKI KAISHA |
11086465 | Touch sensor and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11086466 | Electronic device with touch sensing function and touch sensing method | TPKTOUCH SOLUTIONS (XIAMEN) INC. |
11086467 | Display device | SAMSUNG DISPLAY CO., LTD. |
11086468 | Touch device, display device and method for manufacturing the touch device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11086469 | Digital sign network | TEXAS EMERGENCY NETWORK, LLC |
11086470 | Mobile terminal performing method of providing brewing information of beer maker and recording medium recording program performing the method | LG ELECTRONICS INC. |
11086471 | Visualizing neural networks | SALESFORCE.COM, INC. |
11086472 | Applying a visual effect to a region of a content item responsive to detecting a user action in conjunction with presenting the content item at a client device | FACEBOOK, INC. |
11086473 | System and method for aiding communication | TATA CONSULTANCY SERVICES LIMITED |
11086474 | Augmented reality computing environments—mobile device join and load | SPATIAL SYSTEMS INC. |
11086475 | Artificial reality systems with hand gesture-contained content window | FACEBOOK TECHNOLOGIES, LLC |
11086476 | 3D interactions with web content | FACEBOOK TECHNOLOGIES, LLC |
11086477 | Facilitating automatic execution of user interactions in a virtual space | KABAM, INC. |
11086478 | Icon display method and terminal device | HUAWEI TECHNOLOGIES CO., LTD. |
11086479 | Display device and method of controlling the same | SAMSUNG ELECTRONICS CO., LTD. |
11086480 | Information processing apparatus of setting screen and non-transitory computer readable medium storing program | FUJIFILM BUSINESS INNOVATION CORP. |
11086481 | Displaying data for a preferred well | LANDMARK GRAPHICS CORPORATION |
11086482 | Method and device for displaying history pages in application program and computer-readable medium | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11086483 | Global filter | SALESFORCE.COM, INC. |
11086484 | Techniques for context sensitive illustrated graphical user interface elements | FACEBOOK, INC. |
11086485 | Connection control device and connection control method | SONY GROUP CORPORATION |
11086486 | Extraction and restoration of option selections in a user interface | KLARNA BANK AB |
11086487 | Apparatuses and methods for identifying a contactee for a message | GRUS TECH, LLC |
11086488 | Modifying presentation of content items on a page of content maintained by an online system in response to user interactions with content via a third party system | FACEBOOK, INC. |
11086489 | Information processing device and information processing method for moving or advancing a display region | SONY INTERACTIVE ENTERTAINMENT INC. |
11086490 | Treatment of teeth by aligners | ALIGN TECHNOLOGY, INC. |
11086491 | Systems and methods for displaying video streams on a display | HONEYWELL INTERNATIONAL INC. |
11086492 | Method and system for monitoring of drilling parameters | CHEVRON U.S.A. INC. |
11086493 | Identifying and controlling smart devices | GOOGLE LLC |
11086494 | Electronic device and method for managing window | SAMSUNG ELECTRONICS CO., LTD. |
11086495 | Visualization object receptacle | APPLE INC. |
11086496 | Approach for selecting a desired object on a small touch screen | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11086497 | Electronic apparatus and notification displaying method for electronic apparatus | SAMSUNG ELECTRONICS CO., LTD. |
11086498 | Server-side chart layout for interactive web application charts | MICROSOFT TECHNOLOGY LICENSING, LLC |
11086499 | Portable information terminal, biological information management method, biological information management program and computer-readable storage medium | NIHON KOHDEN CORPORATION |
11086500 | Foldable electronic device and method for displaying information in foldable electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11086501 | Methods, systems, and media for providing media guidance with contextual controls | GOOGLE LLC |
11086502 | Actionable business entity operating models to drive user interface behavior | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11086503 | Reflecting on-screen visuals to hardware lighting | DELL PRODUCTS L.P. |
11086504 | Scroll focus | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11086505 | Program, information processing device, and control method for the same | SONY INTERACTIVE ENTERTAINMENT INC. |
11086506 | Methods and systems for managing data and files | ICEBOX, INC. |
11086507 | Unlocking a device by performing gestures on an unlock image | APPLE INC. |
11086508 | Electronic device with touch gesture adjustment of a graphical representation | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11086509 | Calibrated finger-mapped gesture systems | -- |
11086510 | Split screen control method based on screen-off gestures, and storage medium and mobile terminal thereof | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
11086511 | Operation input device, information processing system, and operation determining method | MITSUBISHI ELECTRIC CORPORATION |
11086512 | Information processing apparatus of displaying text with semantic segments thereof marked and determining and displaying candidate operations matching user intent corresponding to the text, information processing method thereof, and computer-readable storage medium | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
11086513 | Method and apparatus for displaying function of button of ultrasound apparatus on the button | SAMSUNG ELECTRONICS CO., LTD. |
11086514 | Systems and methods for obfuscating user navigation and selections directed by free-form input | MICROSOFT TECHNOLOGY LICENSING, LLC |
11086515 | Modifying captured stroke information into an actionable form | MICROSOFT TECHNOLOGY LICENSING, LLC |
11086516 | Mobile, versatile, transparent, double-sided data input or control device | -- |
11086517 | Page frame security | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11086518 | Memory system and operating method thereof | SK HYNIX INC. |
11086519 | System and method for granular deduplication | VIOLIN SYSTEMS LLC |
11086520 | Method and apparatus for dynamically allocating storage resources to compute nodes | INTEL CORPORATION |
11086521 | Object memory data flow instruction execution | ULTRATA, LLC |
11086522 | Optimal port selection for data migration | EMC IP HOLDING COMPANY LLC |
11086523 | Automatic tiering of storage using dynamic grouping | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11086524 | System and method for non-volatile memory based optimized, versioned, log-structured metadata storage with efficient data retrieval | DATADIRECT NETWORKS, INC. |
11086525 | Resilient external memory | KOVE IP, LLC |
11086526 | Adaptive line width cache systems and methods | MICRON TECHNOLOGY, INC. |
11086527 | Pre-fetching data based on memory usage patterns | PARALLELS INTERNATIONAL GMBH |
11086528 | Memory controller and memory system having the same | SK HYNIX INC. |
11086529 | Data storage systems and methods for improved data relocation based on read-level voltages associated with error recovery | WESTERN DIGITAL TECHNOLOGIES, INC. |
11086530 | Providing service address space for diagnostics collection | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11086531 | Scaling events for hosting hierarchical data structures | AMAZON TECHNOLOGIES, INC. |
11086532 | Data rebuild with changing erase block sizes | PURE STORAGE, INC. |
11086533 | Method and system for optimizing storage space in a storage unit | WIPRO LIMITED |
11086534 | Memory data distribution based on communication channel utilization | APPLE INC. |
11086535 | Thin provisioning using cloud based ranks | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11086536 | Techniques for multiple directors concurrently servicing I/Os directed to same physical storage devices | EMC IP HOLDING COMPANY LLC |
11086537 | Method and system to perform urgency level garbage collection based on write history of memory blocks | SK HYNIX INC. |
11086538 | Method and electronic device for initializing storage | SAMSUNG ELECTRONICS CO., LTD. |
11086539 | Mapping consecutive logical block addresses to consecutive good blocks in memory device | SANDISK TECHNOLOGIES LLC |
11086540 | Memory system, memory controller and memory device for configuring super blocks | SK HYNIX INC. |
11086541 | Memory system, memory controller, and operating method | SK HYNIX INC. |
11086542 | Network-configurable snapshot load order properties | AMAZON TECHNOLOGIES, INC. |
11086543 | Data preservation in linear tape file systems | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11086544 | Autonomous driving control apparatus, vehicle having the same and method for controlling the vehicle | HYUNDAI MOTOR COMPANY |
11086545 | Optimizing a storage system snapshot restore by efficiently finding duplicate data | TINTRI BY DDN, INC. |
11086546 | Preserve write for solid-state drives | MICROSOFT TECHNOLOGY LICENSING, LLC |
11086547 | Archive center for content management | OPEN TEXT SA ULC |
11086548 | Dynamic re-balancing in heterogeneous storage systems | EMC IP HOLDING COMPANY LLC |
11086549 | Just-in-time data migration in a live system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11086550 | Transforming dark data | EMC IP HOLDING COMPANY LLC |
11086551 | Freeing and utilizing unused inodes | NETAPP, INC. |
11086552 | System and method for selective backup promotion using a dynamically reserved memory register | EMC IP HOLDING COMPANY LLC |
11086553 | Tiering duplicated objects in a cloud-based object store | PURE STORAGE, INC. |
11086554 | Writing same data on a storage system | MICRON TECHNOLOGY, INC. |
11086555 | Synchronously replicating datasets | PURE STORAGE, INC. |
11086556 | System and method for overprotection mitigation | EMC IP HOLDING COMPANY LLC |
11086557 | Continuous asynchronous replication from on-premises storage to cloud object stores | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11086558 | Storage system with storage volume undelete functionality | EMC IP HOLDING COMPANY LLC |
11086559 | Cloud based store and restore with copy services | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11086560 | Data storage access method, device and apparatus for persistent memory | TSINGHUA UNIVERSITY |
11086561 | Integrated circuit memory devices with enhanced buffer memory utilization during read and write operations and methods of operating same | SAMSUNG ELECTRONICS CO., LTD. |
11086562 | Computer system having data amount reduction function and storage control method | HITACHI, LTD. |
11086563 | Storage device including a memory controller and a method of operating an electronic system including memory | SAMSUNG ELECTRONICS CO., LTD. |
11086564 | Temperature control method, memory storage device and memory control circuit unit | -- |
11086565 | Reducing effects of read array operations of read apparent voltage | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11086566 | Storage device and operating method thereof | SK HYNIX INC. |
11086567 | Flash memory controller, flash memory module and associated electronic device | -- |
11086568 | Memory system for writing fractional data into nonvolatile memory | TOSHIBA MEMORY CORPORATION |
11086569 | Memory system and method | TOSHIBA MEMORY CORPORATION |
11086570 | Storage device, controller and method for operating controller | SK HYNIX INC. |
11086571 | Nonvolatile memory capable of outputting data using wraparound scheme, computing system having the same, and read method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11086572 | Self adapting iterative read calibration to retrieve data from memory cells | MICRON TECHNOLOGY, INC. |
11086573 | Memory system | TOSHIBA MEMORY CORPORATION |
11086574 | Machine perception and dense algorithm integrated circuit | QUADRIC.IO, INC. |
11086575 | Information processing apparatus and method | FUJIFILM BUSINESS INNOVATION CORP. |
11086576 | Recommending proposed print saving attributes based on paper available in printer | LENOVO (SINGAPORE) PTE. LTD. |
11086577 | Information processing apparatus, information processing system, and non-transitory computer readable medium | FUJIFILM BUSINESS INNOVATION CORP. |
11086578 | Non-transitory computer-readable recording medium, information processing apparatus and printing method for selective distributed printing by a plurality of printers | BROTHER KOGYO KABUSHIKI KAISHA |
11086579 | Server system having print notification and control method thereof | CANON KABUSHIKI KAISHA |
11086580 | Method for checking a validity of image data | ROBERT BOSCH GMBH |
11086581 | Controlling external devices using reality interfaces | APPLE INC. |
11086582 | System for determining positional relationships between display devices | AMAZON TECHNOLOGIES, INC. |
11086583 | Display control device, display system, and display control method | SHARP KABUSHIKI KAISHA |
11086584 | Electronic device, system of electronic device, and system operating method of electronic device | SAMSUNG DISPLAY CO., LTD. |
11086585 | Information processing device, information processing method and storage medium | NS SOLUTIONS CORPORATION |
11086586 | Apparatuses and methodologies relating to the generation and selective synchronized display of musical and graphic information on one or more devices capable of displaying musical and graphic information | AURYN, LLC |
11086587 | Sound outputting apparatus and method for head-mounted display to enhance realistic feeling of augmented or mixed reality space | SONY INTERACTIVE ENTERTAINMENT INC. |
11086588 | Display apparatus | LG DISPLAY CO., LTD. |
11086589 | Systems and methods for podcast playback | SONOS, INC. |
11086590 | Method and system for processing audio signals | LENOVO (BEIJING) CO., LTD. |
11086591 | Methods and apparatus for dynamic volume adjustment via audio classification | GRACENOTE, INC. |
11086592 | Distribution of audio recording for social networks | TWITTER, INC. |
11086593 | Voice assistant for wireless earpieces | BRAGI GMBH |
11086594 | Method and apparatus for controlling surveillance system with gesture and/or audio commands | AVIGILON CORPORATION |
11086595 | Electronic device having character input function, and method of control thereof | KYOCERA CORPORATION |
11086596 | Electronic device, server and control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11086597 | Methods and systems for attending to a presenting user | GOOGLE LLC |
11086598 | Providing a communications channel between instances of automated assistants | GOOGLE LLC |
11086602 | Workflow application and user interface builder integrating objects, relationships, and actions | PALANTIR TECHNOLOGIES INC. |
11086634 | Data processing apparatus and method | SHANGHAI CAMBRICON INFORMATION TECHNOLOGY CO., LTD. |
11086639 | Method for processing a user input and motor vehicle having a data-processing device | AUDI AG |
11086640 | Composite graphical interface with shareable data-objects | PALANTIR TECHNOLOGIES INC. |
11086641 | Logging user interface activity with control placement and activity information | EMC IP HOLDING COMPANY LLC |
11086642 | True wireless headphones with improved user interface to an experiential eco-system and related devices, methods, and systems | MUZIK INC. |
11086644 | Interface and mode selection for digital action execution | GOOGLE LLC |
11086651 | Workflow version management | SALESFORCE.COM, INC. |
11086662 | Method and system of migrating applications to a cloud-computing environment | -- |
11086665 | Scheduling services for quantum computing | RED HAT, INC. |
11086678 | Information processing device, method of processing information, and method of providing information | SONY CORPORATION |
11086705 | Managing the reliability of pages in non-volatile random access memory | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11086713 | Optimized end-to-end integrity storage system | PURE STORAGE, INC. |
11086718 | Memory system | KIOXIA CORPORATION |
11086719 | Use of error correction codes to prevent errors in neighboring storage | MICROSOFT TECHNOLOGY LICENSING, LLC |
11086722 | Memory system and operating method thereof | SK HYNIX INC. |
11086725 | Orchestration of heterogeneous multi-role applications | ROBIN SYSTEMS, INC. |
11086727 | Systems and methods for continuous data protection | RUBRIK, INC. |
11086728 | Method for transmitting insulator on-site monitoring data backup | NANJING INSTITUTE OF RAILWAY TECHNOLOGY |
11086737 | Non-volatile storage system with rapid recovery from ungraceful shutdown | WESTERN DIGITAL TECHNOLOGIES, INC. |
11086740 | Maintaining storage array online | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11086772 | Memory system performing garbage collection operation and operating method of memory system | SK HYNIX INC. |
11086776 | Maintaining correctness of pointers from a managed heap to off-heap memory | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11086778 | Multicore shared cache operation engine | TEXAS INSTRUMENTS INCORPORATED |
11086780 | Scratchpad journaling mechanism for performance optimization | EMC IP HOLDING COMPANY LLC |
11086782 | Method of logging process data in a PLC controlled equipment | TETRA LAVAL HOLDINGS & FINANCE S.A. |
11086783 | Dynamic premigration throttling for tiered storage | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11086784 | Invalidating track format information for tracks in cache | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11086789 | Flash memory drive with erasable segments based upon hierarchical addressing | RADIAN MEMORY SYSTEMS, INC. |
11086790 | Methods of memory address verification and memory devices employing the same | MICRON TECHNOLOGY, INC. |
11086794 | Managing eviction from a deduplication cache | EMC IP HOLDING COMPANY LLC |
11086799 | Method and device for configuring controller in master control chip | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11086801 | Dynamic resource management of network device | AMAZON TECHNOLOGIES, INC. |
11086803 | Dynamically configuring transmission lines of a bus | MICRON TECHNOLOGY, INC. |
11086824 | Inline document conversation system | MICROSOFT TECHNOLOGY LICENSING, LLC |
11086862 | Method and apparatus for determining and presenting answers to content-related questions | ROVI GUIDES, INC. |
11086877 | Method, system, and non-transitory computer-readable record medium for searching for non-text using text in conversation | LINE PLUS CORPORATION |
11086884 | Automatically updating a remote database using a client-side word processor | MICROSOFT TECHNOLOGY LICENSING, LLC |
11086890 | Extraction rule validation | SPLUNK INC. |
11086898 | Token-based admission control for replicated writes | AMAZON TECHNOLOGIES, INC. |
11086910 | Information mapping approaches | NEVER-SEARCH, INC. |
11086923 | Communication apparatus, control method, and storage medium | CANON KABUSHIKI KAISHA |
11086926 | Thumbnail generation from panoramic images | ADOBE INC. |
11086934 | Play control of content on a display device | TOUCHSTREAM TECHNOLOGIES, INC. |
11086956 | Method and device for processing hyperlink object | ADVANCED NEW TECHNOLOGIES CO., LTD. |
11086958 | Navigation menu based on crowd data | MICRO FOCUS LLC |
11086959 | Secure digital assistant integration in web pages | GOOGLE LLC |
11086961 | Visual leaf page identification and processing | GOOGLE LLC |
11086963 | Adaptive data platforms | EBAY INC. |
11086975 | Input method and electronic device | HUAWEI TECHNOLOGIES CO., LTD. |
11086981 | Method for realizing the captcha with 3D models and device thereof | HANGZHOU DUOYAN NETWORK TECHNOLOGY CO., LTD |
11086992 | Scanning files using antivirus software | VIRUSTOTAL SLU |
11087011 | Data storage device with secure access based on tap inputs | WESTERN DIGITAL TECHNOLOGIES, INC. |
11087037 | Tracking CAD objects | APPLIED SOFTWARE TECHNOLOGY, INC. |
11087051 | Designing a multi-physics system | DASSAULT SYSTEMES |
11087053 | Method, electronic device, and computer program product for information display | EMC IP HOLDING COMPANY LLC |
11087054 | Structural design systems and methods for automatic selection of equipment and generation of wiring diagrams by modeling and simulation | BEAMUP LTD. |
11087068 | Systems and methods for bringing document interactions into the online conversation stream | FUJIFILM BUSINESS INNOVATION CORP. |
11087069 | Method of visually interacting with a document by dynamically displaying a fill area in a boundary | BLUEBEAM, INC. |
11087074 | Hybrid web publishing system | AXURE SOFTWARE SOLUTIONS, INC. |
11087077 | Techniques for extracting contextually structured data from document images | SAS INSTITUTE INC. |
11087078 | System and method for real time digitization of hand written input data | TATA CONSULTANCY SERVICES LIMITED |
11087080 | Systems and methods for collaborative data entry and integration | PALANTIR TECHNOLOGIES INC. |
11087082 | System and method for content sharing in enterprise content management | VEEVA SYSTEMS INC. |
11087107 | Ultrasonic sensor with bi-poled or uni-poled transmitter/receiver | QUALCOMM INCORPORATED |
11087109 | Apparatus and method for ultrasonic fingerprint and force sensing | QUALCOMM INCORPORATED |
11087110 | Display device including an optical fingerprint sensor | SAMSUNG DISPLAY CO., LTD. |
11087111 | Method and apparatus for fingerprint collection | SHANGHAI HARVEST INTELLIGENCE TECHNOLOGY CO., LTD. |
11087112 | Display panel and method for fabricating the same, display device and fingerprint recognition method | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11087116 | Method and apparatus for determining fingerprint collection region | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11087134 | Augmented reality smartglasses for use at cultural sites | ARTGLASS USA, LLC |
11087167 | First-person camera based visual context aware system | ROBERT BOSCH GMBH |
11087188 | Smart page decoding system including linearization for viewing and printing | KYOCERA DOCUMENT SOLUTIONS INC. |
11087190 | Information processing apparatus, control method thereof, and storage medium for controlling an image forming apparatus | CANON KABUSHIKI KAISHA |
11087218 | Electronic device, presentation method for decision-making process module and computer readable medium | -- |
11087224 | Out-of-vehicle communication device, out-of-vehicle communication method, information processing device, and computer readable medium | MITSUBISHI ELECTRIC CORPORATION |
11087250 | Interactive real time system and real time method of use thereof in conveyance industry segments | TELEPORT MOBILITY, INC. |
11087252 | Interactive real time system and real time method of use thereof in conveyance industry segments | TELEPORT MOBILITY, INC. |
11087253 | Interactive real time system and real time method of use thereof in conveyance industry segments | TELEPORT MOBILITY, INC. |
11087256 | Graphical user interface for discovering consumption of services | SERVICENOW, INC. |
11087257 | System and method for modular customization of intermediate business documentation generation | JABIL INC. |
11087259 | Business designer | NINTEX UK LTD. |
11087270 | Indexing video for retail investigations | TARGET BRANDS, INC. |
11087271 | Identifying user-item interactions in an automated facility | AMAZON TECHNOLOGIES, INC. |
11087305 | Social networking method for organizing nighttime activities | -- |
11087325 | Systems and methods for active signature detection | CAPITAL ONE SERVICES, LLC |
11087387 | Virtual facility platform | EBAY INC. |
11087407 | Systems and methods for mobile image capture and processing | KOFAX, INC. |
11087417 | Thermostat with bi-directional communications interface for monitoring HVAC equipment | JOHNSON CONTROLS TYCO IP HOLDINGS LLP |
11087437 | Temporal supersampling for foveated rendering systems | SONY INTERACTIVE ENTERTAINMENT INC. |
11087443 | Augmented reality system and color compensation method thereof | -- |
11087471 | 2D obstacle boundary detection | MICROSOFT TECHNOLOGY LICENSING, LLC |
11087488 | Automated gesture identification using neural networks | AVODAH, INC. |
11087491 | Method for determining a coordinate of a feature point of an object in a 3D space | APTIV TECHNOLOGIES LIMITED |
11087502 | Multimodal data visualization using bandwidth profiles and optional environmental compensation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11087503 | Interactive color palette interface for digital painting | ADOBE INC. |
11087512 | High visibility overlay systems and methods | FLIR SYSTEMS, INC. |
11087514 | Image object pose synchronization | ADOBE INC. |
11087518 | Second-person avatars | MICROSOFT TECHNOLOGY LICENSING, LLC |
11087519 | Facial animation implementation method, computer device, and storage medium | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
11087520 | Avatar facial expression generating system and method of avatar facial expression generation for facial model | -- |
11087522 | Apparatus and method for asynchronous ray tracing | INTEL CORPORATION |
11087527 | Selecting an omnidirectional image for display | KONINKLIJKE KPN N.V. |
11087538 | Presentation of augmented reality images at display locations that do not obstruct user's view | LENOVO (SINGAPORE) PTE. LTD. |
11087539 | Systems and methods for generating augmented reality-based profiles | MASTERCARD INTERNATIONAL INCORPORATED |
11087546 | Wearable electronic device that moves a virtual object in response to movement of the wearable electronic device | -- |
11087548 | Authoring and presenting 3D presentations in augmented reality | MICROSOFT TECHNOLOGY LICENSING, LLC |
11087549 | Methods and apparatuses for dynamic navigable 360 degree environments | UNIVERSITY OF MARYLAND, COLLEGE PARK |
11087550 | Wearable electronic glasses with eye tracking | -- |
11087551 | Systems and methods for attaching synchronized information between physical and virtual environments | EON REALITY, INC. |
11087552 | Collaborative on-demand experiences | -- |
11087555 | Recognizing objects in a passable world model in augmented or virtual reality systems | MAGIC LEAP, INC. |
11087557 | Methods and systems for remote augmented reality communication for guided surgery | -- |
11087561 | Three-dimensional sketching in mobile augmented reality | CITY UNIVERSITY OF HONG KONG |
11087562 | Methods of data processing for an augmented reality system by obtaining augmented reality data and object recognition data | APICAL LIMITED |
11087563 | Object creation with physical manipulation | MAGIC LEAP, INC. |
11087577 | Systems and methods of secure pin code entry | JOHNSON CONTROLS TYCO IP HOLDINGS LLP |
11087578 | Voting booth, system, and methods of making and using same | -- |
11087581 | Correctly interpreting failed touch input using gesture input at gaming devices, and related devices, systems, and methods | IGT |
11087637 | Finger reading method and device based on visual gestures | SOUTH CHINA UNIVERSITY OF TECHNOLOGY |
11087658 | Displays with pixel elements | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11087659 | System, method and device for presenting different functional displays when orientation of the device changes | COX COMMUNICATIONS, INC. |
11087679 | Pixel and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11087696 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11087718 | Electronic device, and method for controlling operation of electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11087719 | Method and system for correcting OSD triggering region offset | SHENZHEN CHUANGWEI-RGB ELECTRONIC CO., LTD |
11087736 | Systems and methods for selecting a voice to use during a communication with a user | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11087748 | Adaptive interface in a voice-activated network | GOOGLE LLC |
11087751 | Detection of duplicate packetized data for selective transmission into one of a plurality of a user's devices | GOOGLE LLC |
11087754 | Intelligent voice system and method for controlling projector by using the intelligent voice system | -- |
11087755 | Electronic device for voice recognition, and control method therefor | SAMSUNG ELECTRONICS CO., LTD. |
11087756 | Auto-completion for multi-modal user input in assistant systems | FACEBOOK TECHNOLOGIES, LLC |
11087758 | Method and voice input apparatus for converting voice input to text input | SHENZHEN DONGTING AUTOMOBILE BROKERAGE CO., LTD. |
11087759 | Virtual assistant activation | APPLE INC. |
11087760 | Multimodal transmission of packetized data | GOOGLE, LLC |
11087765 | Virtual assistant identification of nearby computing devices | GOOGLE LLC |
11087775 | Device and method of noise suppression based on noise source positions | SONY CORPORATION |
11087777 | Audio visual correspondence based signal augmentation | FACEBOOK TECHNOLOGIES, LLC |
11087797 | Tape storage system including at least two tape storage apparatuses for improved writing of data to be synchronized | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11087798 | Selective curation of user recordings | HONDA MOTOR CO., LTD. |
11087821 | Memory module including register clock driver detecting address frequently accessed | SAMSUNG ELECTRONICS CO., LTD. |
11087826 | Storing method and apparatus of data | SAMSUNG ELECTRONICS CO., LTD. |
11087836 | Multi-state programming for memory devices | WESTERN DIGITAL TECHNOLOGIES, INC. |
11087846 | Memory system with single decoder, multiple memory sets and method for decoding multiple codewords from memory sets using the single decoder | SK HYNIX INC. |
11087847 | Program suspend-resume techniques in non-volatile storage | INTEL CORPORATION |
11087852 | Semiconductor storage device and memory system | TOSHIBA MEMORY CORPORATION |
11087865 | System and method for use of treatment device to reduce pain medication dependency | ROM TECHNOLOGIES, INC. |
11087872 | Medical scan annotator system | ENLITIC, INC. |
11087935 | Key switch structure and assembling method thereof | LITE-ON ELECTRONICS (GUANGZHOU) LIMITED |
11087936 | Light guide structure and light-emitting keyboard device | LITE-ON ELECTRONICS (GUANGZHOU) LIMITED |
11087937 | Interkey support for keyboards | APPLE INC. |
11088129 | Display apparatus | -- |
11088218 | Electric panel and electronic device including the same | SAMSUNG DISPLAY CO., LTD. |
11088220 | Display device including touch sensor and method of manufacturing the same | LG DISPLAY CO., LTD. |
11088222 | Display device comprising a thin glass material layer | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11088349 | Display module | SAMSUNG DISPLAY CO., LTD. |
11088350 | Display device and method for manufacturing the same | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
11088437 | Electronic device including antenna | SAMSUNG ELECTRONICS CO., LTD. |
11088572 | Detachable charging pad | DEXIN ELECTRONIC LTD. |
11088606 | Linear vibration generating device | MPLUS CO., LTD. |
11088861 | Video conference system | LOGITECH EUROPE S.A. |
11088866 | Drawing performance improvement for an external video output device | LENOVO (SINGAPORE) PTE. LTD. |
11088899 | On-site audio center system based on audio over IP | -- |
11088970 | Interactive message display method, apparatus and system, and storage medium | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
11088971 | Virtual area communications | SOCOCO, INC. |
11088973 | Conversion of text relating to media content and media extension apps | APPLE INC. |
11089009 | System and methods for secure entry of a personal identification number (PIN) | PAYPAL, INC. |
11089052 | Systems and methods for direct in-browser markup of elements in internet content | OPSEC ONLINE LIMITED |
11089063 | Method and system for automated cybersecurity incident and artifact visualization and correlation for security operation centers and computer emergency response teams | DFLABS S.P.A. |
11089067 | Progressive rendering | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11089070 | Adaptive bitrate optimization upon video streaming initialization | SLING MEDIA PVT LTD |
11089125 | Interactive notification panels in a computing system | MICROSOFT TECHNOLOGY LICENSING, LLC |
11089132 | Extensibility for context-aware digital personal assistant | MICROSOFT TECHNOLOGY LICENSING, LLC |
11089134 | System, method, and computer program product for coordination among multiple devices | MAJEN TECH, LLC |
11089144 | Head-mounted display apparatus for retaining a portable electronic device with display | APPLE INC. |
11089148 | Notification processing method and electronic device | HUAWEI TECHNOLOGIES CO., LTD. |
11089151 | Handling calls on a shared speech-enabled device | GOOGLE LLC |
11089168 | Image processing apparatus, method to generate image data and registering template for transmitting to a folder named by a character string | CANON KABUSHIKI KAISHA |
11089174 | Intelligent control of media unit output of a printer | KYOCERA DOCUMENT SOLUTIONS INC. |
11089181 | Image forming apparatus, system and program product acquiring color information of image printed on first side before printing on second side of print medium | RICOH COMPANY, LTD. |
11089206 | Electronic device and operating method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11089213 | Information management apparatus and information management method, and video reproduction apparatus and video reproduction method | SONY GROUP CORPORATION |
11089254 | Image sensor for vision based on human computer interaction | TOBII AB |
11089263 | System and method for facilitating consumer engagement through a video communication network | -- |
11089264 | Interactive videoconference apparatus | -- |
11089265 | Telepresence devices operation methods | MICROSOFT TECHNOLOGY LICENSING, LLC |
11089266 | Communication processing method, terminal, and storage medium | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LTD |
11089280 | Apparatus and method for capturing and displaying segmented content | SONY INTERACTIVE ENTERTAINMENT INC. |
11089351 | Display apparatus and remote operation control apparatus | MAXELL, LTD. |
11089353 | Hot key systems and methods | AMERICAN INVENTOR TECH, LLC |
11089364 | Causing display of user-selectable content types | COMCAST CABLE COMMUNICATIONS MANAGEMENT, LLC |
11089374 | Direct navigation in a video clip | ORANGE |
11089388 | System, method and apparatus for wireless sensor network configuration | SENSEWARE, INC. |
11089390 | System, method and apparatus for sensor activation | SENSEWARE, INC. |
11089402 | Conversation assistance audio device control | BOSE CORPORATION |
11089403 | Directivity control system | DREAM INCORPORATED |
11089405 | Spatial audio signaling filtering | NOKIA TECHNOLOGIES OY |
11089416 | Sensors for determining don/doff status of a wearable device | AMAZON TECHNOLOGIES, INC. |
11089430 | System and methods for efficient processing and mixing of audio receivers from multiple sources | ZAXCOM, INC. |
11089431 | Method and system for in-game visualization based on audio analysis | VOYETRA TURTLE BEACH, INC. |
11089461 | System and method for varying a function triggered by near field communication | CAPITAL ONE SERVICES, LLC |
11089635 | Wireless network device provisioning | AMAZON TECHNOLOGIES, INC. |
11089636 | Vehicle-based wireless hotspot management | MOJ.IO, INC. |
11089662 | Adaptable lighting controller | -- |
11090404 | Systems for dispensing fluid materials | THE PROCTER & GAMBLE COMPANY |
11090440 | Independent workflow aware user interfaces for power injector system operation | BAYER HEALTHCARE LLC |
11090494 | User interface with 3D environment for configuring stimulation therapy | MEDTRONIC, INC. |
11090556 | Entertainment device, display control method, program, and information storage medium | SONY INTERACTIVE ENTERTAINMENT INC. |
11090804 | Information processing method and information processing apparatus | CANON KABUSHIKI KAISHA |
11090813 | Augmented reality interface to robots | BROWN UNIVERSITY |
11090929 | Complex impedance detection | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11090948 | Label printer configured to reissue a label with stored print information | TOSHIBA TEC KABUSHIKI KAISHA |
11091005 | Ventilation bed for vehicle and method for controlling the same | HYUNDAI MOTOR COMPANY |
11091623 | Cyclic olefin-based resin composition film | DEXERIALS CORPORATION |
11091671 | High-refractive adhesive film and touch panel including the same | LG CHEM, LTD. |
11092219 | Linear motion system | -- |
11092481 | Integrated circuit (IC) integrated structure for optical mouse comprisinga light shield with a through hole for transmitting light arranged between an optical lens and a main control IC wafer | DONGGUAN OUYUE ELECTRONIC TECHNOLOGY CO., LTD |
11092633 | Capacitance detection circuit, semiconductor device, input device and electronic apparatus including the same, and method of detecting capacitance | ROHM CO., LTD. |
11092657 | Compensation of changes in a resonant phase sensing system including a resistive-inductive-capacitive sensor | CIRRUS LOGIC, INC. |
11092805 | Vehicular display device | DENSO CORPORATION |
11092809 | Display system with optical module having pressure-relieving feature | APPLE INC. |
11092812 | Augmented reality viewer with automated surface selection placement and content orientation placement | MAGIC LEAP, INC. |
11092827 | Booth and apparatus | FUJIFILM BUSINESS INNOVATION CORP. |
11092836 | Array substrate, manufacturing method for the same and in-cell touch panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11092929 | Visualization system and method for generating holographic presentations from optical signals | CARL ZEISS MEDITEC AG |
11092939 | Preview mode for configuration logic | FISHER-ROSEMOUNT SYSTEMS, INC. |
11092979 | Introduction of olfactory cues into a virtual reality system | NORTHWESTERN UNIVERSITY |
11092999 | Wearable electronic device and display method of wearable electronic device | HUAWEI TECHNOLOGIES CO., LTD. |
11093000 | Virtual reality viewer and input mechanism | DDC TECHNOLOGY, LLC |
11093001 | Virtual reality viewer and input mechanism | DDC TECHNOLOGY, LLC |
11093003 | Display device and driving method thereof | SAMSUNG DISPLAY CO., LTD. |
11093004 | Foldable display device and operation method of electronic device | -- |
11093005 | Virtual reality rollable display device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11093022 | Hands-free gestures for account authentication | EBAY INC. |
11093023 | System, method and apparatus of simulating physics in a virtual environment | PFAQUTRUMA RESEARCH LLC |
11093024 | Generating and providing immersive experiences to users isolated from external stimuli | OTOY, INC. |
11093025 | Virtual-reality provision system, three-dimensional-display-data provision device, virtual-space provision system, and program | BASCULE INC. |
11093026 | Electronic device displays an image of an obstructed target | -- |
11093027 | System for assessing the usage of an envisaged manually movable consumer product | BRAUN GMBH |
11093028 | Trailer mounted aircraft fuselages with virtual environment systems | GULFSTREAM AEROSPACE CORPORATION |
11093029 | Position determination and alignment of a virtual reality headset and fairground ride with a virtual reality headset | VR COASTER GMBH & CO. KG |
11093030 | Operation determination device and operation determination method | LAPIS SEMICONDUCTOR CO., LTD. |
11093031 | Display apparatus for computer-mediated reality | TRUMPF PHOTONIC COMPONENTS GMBH |
11093032 | Sight line direction estimation device, sight line direction estimation method, and sight line direction estimation program | AISIN SEIKI KABUSHIKI KAISHA |
11093033 | Identifying object of user focus with eye tracking and visually evoked potentials | FACEBOOK, INC. |
11093034 | Eye tracking method and system and integration of the same with wearable heads-up displays | GOOGLE LLC |
11093035 | Finger pinch detection | FACEBOOK TECHNOLOGIES, LLC |
11093036 | Tracking arm movements to generate inputs for computer systems | FINCH TECHNOLOGIES LTD. |
11093037 | Computer-implemented method, system and computer program product for simulating the behaviour of a hand that interacts with objects in a virtual environment | UNIVERSIDAD REY JUAN CARLOS |
11093038 | Systems and methods for generic control using a neural signal | SYNCHRON AUSTRALIA PTY LIMITED |
11093039 | Control interface for a motor vehicle | -- |
11093040 | Flexible device and method operating the same | SAMSUNG ELECTRONICS CO., LTD. |
11093041 | Computer system gesture-based graphical user interface control | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11093042 | System for interacting with objects using gestures in an environment | EPIC SEMICONDUCTORS INC |
11093043 | Detecting hand gestures using ring-shaped electronic devices | AMAZON TECHNOLOGIES, INC. |
11093044 | Method for detecting input using audio signal, and electronic device therefor | SAMSUNG ELECTRONICS CO., LTD. |
11093045 | Systems and methods to augment user interaction with the environment outside of a vehicle | EYESIGHT MOBILE TECHNOLOGIES LTD. |
11093046 | Sub-display designation for remote content source device | MICROSOFT TECHNOLOGY LICENSING, LLC |
11093047 | System and method for controlling a user experience | COMCAST CABLE COMMUNICATIONS, LLC |
11093048 | System for modified key actions and haptic feedback for smart typing assist with a solid-state keyboard and touchpad | DELL PRODUCTS L.P. |
11093049 | Electronic device and method for controlling display in electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11093050 | Electronic pen and inclined handwriting adjustment method thereof, inclined handwriting adjustment system, and adjustment method thereof | HEFEI BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11093051 | Method and apparatus for a head display unit with a movable high resolution field of view | -- |
11093052 | Faceted eight-direction control pad | MICROSOFT TECHNOLOGY LICENSING, LLC |
11093053 | Input device | LOGITECH EUROPE S.A. |
11093054 | Electronic input devices with radial force sensor array | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11093055 | Stylus to host synchronization using a magnetic field | WACOM CO., LTD. |
11093056 | Touch sensor, and window laminate and image display device including the same | DONGWOO FINE-CHEM CO., LTD. |
11093057 | Flexible touch display panel and flexible touch display apparatus | SHANGHAI TIANMA AM-OLED CO., LTD. |
11093058 | Single layer sensor pattern and sensing method | SYNAPTICS INCORPORATED |
11093059 | Display device | SAMSUNG DISPLAY CO., LTD. |
11093060 | Interference detection and mitigation in inductive sensor applications and dynamic adaptation based on real-time noise detection | CIRRUS LOGIC, INC. |
11093061 | Touch-type display panel and short-repair method thereof | LG DISPLAY CO., LTD. |
11093062 | Display device and detection device | JAPAN DISPLAY INC. |
11093063 | Display system for electronic devices | APPLE INC. |
11093064 | Touch panel display | MIKUNI ELECTRON CORPORATION |
11093065 | Display device | SAMSUNG DISPLAY CO., LTD. |
11093066 | Touch substrate and manufacturing method thereof, touch display panel and touch display apparatus | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11093067 | User authentication | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11093068 | Touch control array substrate and touch control display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11093069 | Method and apparatus for performing a function based on a touch event and a relationship to edge and non-edge regions | SAMSUNG ELECTRONICS CO., LTD. |
11093070 | Touch panel control device, touch panel control method, and input display device | SHARP KABUSHIKI KAISHA |
11093071 | Touch panel and trace structure thereof | TPK GLASS SOLUTIONS (XIAMEN) INC. |
11093072 | Touch display panel, method of driving and manufacturing same, and touch display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11093073 | Touch control chip, touch detection method, touch detection system and electronic device | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
11093074 | Touch screen and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11093075 | Electronic device | -- |
11093076 | Display apparatus having touch function and signal line method of mounting signal line of display apparatus | G2TOUCH CO., LTD. |
11093077 | Electronic device with biometric sensor | -- |
11093078 | Always on low power capacitive matrix autonomous scan | CYPRESS SEMICONDUCTOR CORPORATION |
11093079 | Touchscreen edge correction | ELO TOUCH SOLUTIONS, INC. |
11093080 | Electronic device and fingerprint sensing control method thereof | -- |
11093081 | Detection circuit and electronic device | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
11093083 | Method for preventing an edge from being unintentionally touched, touch control apparatus and storage medium | SHENZHEN WEITONGBO TECHNOLOGY CO., LTD. |
11093084 | Display substrate, display apparatus, method of controlling a display apparatus, and method of fabricating display substrate | BOE TECHNOLOGY GROUP CO., LTD. |
11093085 | Position detection method, position detection device, and interactive projector | SEIKO EPSON CORPORATION |
11093086 | Method and apparatus for data entry input | AITECH, LLC |
11093087 | Glass substrates with touchscreen technology | DIRTT ENVIRONMENTAL SOLUTIONS LTD. |
11093088 | Device for processing signals from a pressure-sensing touch panel | CAMBRIDGE TOUCH TECHNOLOGIES LTD. |
11093089 | Touch sensor and touch panel with touch electrodes and insulating layer | FUJIFILM CORPORATION |
11093090 | TFT-based fingerprint sensing system with corrected read-out | FINGERPRINT CARDS AB |
11093091 | Display panel | SHANGHAI TIANMA AM-OLED CO., LTD. |
11093092 | Display panel and display device | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
11093093 | Transcapacitive and absolute capacitive sensing profiles | SYNAPTICS INCORPORATED |
11093094 | Display device including a touch sensor with a reduced thickness to increase touch sensitivity and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11093095 | Wiring structure manufacturing method and wiring structure | JAPAN AVIATION ELECTRONICS INDUSTRY, LIMITED |
11093096 | Touch sensing unit including connection line coupled to multiple blocks of touch sensing electrodes and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11093097 | Calculation of touch coordinates using mixed processing of mutual capacitance sensing data and self capacitance sensing data | STMICROELECTRONICS ASIA PACIFIC PTE LTD |
11093098 | Touch panel and display device | -- |
11093099 | Display substrate having a plurality of core-coil assemblies configured to detect a touch and display panel having the same | BOE TECHNOLOGY GROUP CO., LTD. |
11093100 | Virtual reality device with varying interactive modes for document viewing and editing | MICROSOFT TECHNOLOGY LICENSING, LLC |
11093101 | Multiple monitor mouse movement assistant | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11093102 | Location-based applications | REDWOOD CITY |
11093103 | Augmented reality computing environments-collaborative workspaces | SPATIAL SYSTEMS INC. |
11093104 | Icon display control apparatus that prevents messy display of icons, method of controlling same, and storage medium | CANON KABUSHIKI KAISHA |
11093105 | Controlling automatic playback of media content | SPOTIFY AB |
11093106 | Method of dividing screen areas and mobile terminal employing the same | SAMSUNG ELECTRONICS CO., LTD. |
11093107 | Portable terminal and icon arranging method thereof | SAMSUNG DISPLAY CO., LTD. |
11093108 | Method for displaying user interface and display device | QINGDAO HISENSE MEDIA NETWORKS LTD. |
11093109 | Technologies for computing context replay with visual searching | INTEL CORPORATION |
11093110 | Messaging feedback mechanism | AMAZON TECHNOLOGIES, INC. |
11093111 | Method and apparatus for contents management in electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11093112 | Navigating digital content using visual characteristics of the digital content | DROPBOX, INC. |
11093113 | User interface development | EMC IP HOLDING COMPANY LLC |
11093114 | Context-based user interface menu with selectable actions | SONY INTERACTIVE ENTERTAINMENT INC. |
11093115 | System and method for cooperative sharing of resources of an environment | -- |
11093116 | Display for input selection on a compact information processing device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11093117 | Method for controlling animation's process running on electronic devices | SAMSUNG ELETRÔNICA DA AMAZÔNIA LTDA. |
11093118 | Generating user interface previews | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11093119 | User interface engagement heatmaps | FULLSTORY, INC. |
11093120 | Systems and methods for generating and broadcasting digital trails of recorded media | FACEBOOK, INC. |
11093121 | Game program, computer control method, and information processing apparatus | GREE, INC. |
11093122 | Graphical user interface for displaying contextually relevant data | ALLSCRIPTS SOFTWARE, LLC |
11093123 | Saving content for viewing on a virtual reality rendering device | FACEBOOK TECHNOLOGIES, LLC |
11093124 | Page operating method and electronic device thereof | SAMSUNG ELECTRONICS CO., LTD. |
11093125 | Email conversation linking | AMAZON TECHNOLOGIES, INC. |
11093126 | Drop zone prediction for user input operations | ADOBE INC. |
11093127 | System and method for an intelligent drag and drop designer | NINTEX UK LTD. |
11093128 | Touch control system and touch control method of display screen, and electronic device | BOE TECHNOLOGY GROUP CO., LTD. |
11093129 | Methods for repositioning icons on touch displays based on characteristics of touch gestures and related program products | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11093130 | Drawing tool identification and retrieval | ADOBE INC. |
11093131 | Electronic device, control method of electronic device, and non-transitory computer readable medium | CANON KABUSHIKI KAISHA |
11093132 | Portable device comprising a touch-screen display, and method for controlling same | SAMSUNG ELECTRONICS CO., LTD. |
11093133 | Compression measurement for computer servers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11093134 | Storage device, management method, and program in tiered storage system | HITACHI, LTD. |
11093135 | Drive performance, power, and temperature management | SEAGATE TECHNOLOGY LLC |
11093136 | Performance threshold | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11093137 | Memory system and method for controlling nonvolatile memory | TOSHIBA MEMORY CORPORATION |
11093138 | Optimizing virtual machine storage performance in a stretched cluster | VMWARE, INC. |
11093139 | Durably storing data within a virtual storage system | PURE STORAGE, INC. |
11093140 | Performance allocation among users for accessing non-volatile memory devices | MICRON TECHNOLOGY, INC. |
11093141 | Method and apparatus for caching data | EMC IP HOLDING COMPANY LLC |
11093142 | Optimizing off-loaded input/output (I/O) requests | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11093143 | Methods and systems for managing key-value solid state drives (KV SSDS) | SAMSUNG ELECTRONICS CO., LTD. |
11093144 | Non-disruptive transformation of a logical storage device from a first access protocol to a second access protocol | EMC IP HOLDING COMPANY LLC |
11093145 | Protecting in-memory configuration state registers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11093146 | Automatic load rebalancing of a write group | PURE STORAGE, INC. |
11093147 | Asymmetric logical unit access path distribution system | DELL PRODUCTS L.P. |
11093148 | Accelerated volumes | AMAZON TECHNOLOGIES, INC. |
11093149 | Method to efficiently store object data of an object storage service on a magnetic disk drive and magnetic SMR disk drive | KIOXIA CORPORATION |
11093150 | Image processing apparatus | KYOCERA DOCUMENT SOLUTIONS INC. |
11093151 | Similarity matching | EXAGRID SYSTEMS, INC. |
11093152 | Automated storage tiering by access pattern detection and temporal trend prediction | EMC IP HOLDING COMPANY LLC |
11093153 | Inter-drive data transfer | SEAGATE TECHNOLOGY LLC |
11093154 | Application and system settings configuration filtering during physical to virtual hard disk conversion | OPEN INVENTION NETWORK LLC |
11093155 | Automated seamless migration with signature issue resolution | EMC IP HOLDING COMPANY LLC |
11093156 | Using storage access statistics to determine mirrored extents to migrate from a primary storage system and a secondary storage system to a third storage system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11093157 | Method, electronic device and computer program product for storage management | EMC IP HOLDING COMPANY LLC |
11093158 | Sub-lun non-deduplicated tier in a CAS storage to reduce mapping information and improve memory efficiency | EMC IP HOLDING COMPANY LLC |
11093159 | Storage system with storage volume pre-copy functionality for increased efficiency in asynchronous replication | EMC IP HOLDING COMPANY LLC |
11093160 | Snapshot compliance techniques | EMC IP HOLDING COMPANY LLC |
11093161 | Storage system with module affinity link selection for synchronous replication of logical storage volumes | EMC IP HOLDING COMPANY LLC |
11093162 | Method and apparatus for deleting cascaded snapshot | HUAWEI TECHNOLOGIES CO., LTD. |
11093163 | Efficient capacity management for a data storage system | EMC IP HOLDING COMPANY LLC |
11093164 | Handling bad blocks generated during a block erase operation | MICRON TECHNOLOGY, INC. |
11093165 | Systems and methods for data sanitization of electronic storage media | DYNAMIC LIFECYCLE INNOVATIONS, INC. |
11093166 | Memory system and method of operating the same | SAMSUNG ELECTRONICS CO., LTD. |
11093167 | Storage device and control method | TOSHIBA MEMORY CORPORATION |
11093168 | Processing of neural networks on electronic devices | APICAL LIMITED |
11093169 | Lockless metadata binary tree access | EMC IP HOLDING COMPANY LLC |
11093170 | Dataset splitting based on workload footprint analysis | EMC IP HOLDING COMPANY LLC |
11093171 | System and method for networkless peer communication for dual storage processor virtual storage appliances | EMC IP HOLDING COMPANY LLC |
11093172 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
11093173 | Memory system | KIOXIA CORPORATION |
11093174 | Information handling system having improved host memory buffer for input/output requests | DELL PRODUCTS L.P. |
11093175 | Raid data storage device direct communication system | DELL PRODUCTS L.P. |
11093176 | FaaS-based global object compression | EMC IP HOLDING COMPANY LLC |
11093177 | Virtualized OCSSDs spanning physical OCSSD channels | MICROSOFT TECHNOLOGY LICENSING, LLC |
11093178 | Using a space release data structure to indicate tracks to release for a space release command to release space of tracks | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11093179 | Tape drive system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11093180 | RAID storage multi-operation command system | DELL PRODUCTS L.P. |
11093181 | Image forming apparatus and control method for the same | CANON KABUSHIKI KAISHA |
11093182 | Image processing system including image processing apparatus and information processing apparatus configured to inform user that specific image processing program for image processing apparatus is available | BROTHER KOGYO KABUSHIKI KAISHA |
11093183 | Information processing apparatus, control method of information processing apparatus, and program | BROTHER KOGYO KABUSHIKI KAISHA |
11093184 | Installation of application based on platform | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11093185 | Information processing apparatus, method for controlling information processing apparatus, and storage medium for acquiring configuration information | CANON KABUSHIKI KAISHA |
11093186 | Engine for generating control plans for digital pre-print paper, sheet, and box manufacturing systems | GEORGIA-PACIFIC CORRUGATED LLC |
11093187 | Information processing apparatus, and method for generating virtual printer to receive print data and convert the print data into interpretable print data for a printer | CANON KABUSHIKI KAISHA |
11093188 | Printing apparatus, control method, and storage medium for processing print settings | CANON KABUSHIKI KAISHA |
11093189 | Printing apparatus, method for controlling printing apparatus, and storage medium for printing according to specified print time | CANON KABUSHIKI KAISHA |
11093190 | Image forming apparatus and control method thereof for continuing image forming operations in a case where a memory has a failure | CANON KABUSHIKI KAISHA |
11093191 | Information processing apparatus and non-transitory computer readable medium capable of extracting a job flow without use of attribute information included in job entries | FUJIFILM BUSINESS INNOVATION CORP. |
11093192 | Information processing apparatus, server apparatus, and business system | FUJIFILM BUSINESS INNOVATION CORP. |
11093193 | Information processing device for generating of a workflow and method for controlling the same | CANON KABUSHIKI KAISHA |
11093194 | Printing apparatus and module | BROTHER KOGYO KABUSHIKI KAISHA |
11093195 | Method, device and computer program product for updating user interface | EMC IP HOLDING COMPANY LLC |
11093196 | Method, system, and device for selecting and displaying information on a mobile digital display device | INTEL CORPORATION |
11093197 | System and method to increase display area utilizing a plurality of discrete displays | STMICROELECTRONICS, INC. |
11093198 | Mobile electronic device and method for forwarding user input to application according to input means | SAMSUNG ELECTRONICS CO., LTD. |
11093199 | Electronic device and method for independently controlling a plurality of displays | SAMSUNG ELECTRONICS CO., LTD. |
11093200 | Unified desktop triad control user interface for an application launcher | Z124 |
11093201 | Device manager that utilizes physical position of display devices | GOOGLE LLC |
11093202 | Method and apparatus for dual display and dual SIM operations | MOTOROLA MOBILITY LLC |
11093203 | Electronic device having two display surfaces and method for running display thereof | SAMSUNG ELECTRONICS CO., LTD. |
11093204 | Display device, display unit, and display system | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11093205 | Display device included in a plurality of display devices daisy-chained via connectors, display system, and control method thereof | SEIKO EPSON CORPORATION |
11093206 | Communication apparatus, method of controlling the same, and non-transitory computer-readable storage medium | CANON KABUSHIKI KAISHA |
11093207 | Visual verification of virtual credentials and licenses | ASSA ABLOY AB |
11093208 | Using detected pupil location to align optical components of a head-mounted display | VALVE CORPORATION |
11093209 | Collaboration between head-mounted devices (HMDs) in co-located virtual, augmented, and mixed reality (xR) applications | DELL PRODUCTS L.P. |
11093210 | Wireless handheld audio capture device and multi-vocalist method for audiovisual media application | SMULE, INC. |
11093211 | Entertainment server and associated social networking services | TOUCHTUNES MUSIC CORPORATION |
11093212 | Electronic apparatus, control method of the same, and recording media | SAMSUNG ELECTRONICS CO., LTD. |
11093219 | System for co-ordination of logical sequence of instructions across electronic devices using visual programming and wireless communication | GUNAKAR PRIVATE LIMITED |
11093228 | Management apparatus and method | CANON KABUSHIKI KAISHA |
11093252 | Logical availability zones for cluster resiliency | CISCO TECHNOLOGY, INC. |
11093262 | Electronic devices and corresponding methods for switching between normal and privacy modes of operation | MOTOROLA MOBILITY LLC |
11093264 | System and method for drawing optimization with pointer input in a remote session | PARALLELS INTERNATIONAL GMBH |
11093265 | User interface modality switching for transaction management | WELLS FARGO BANK, N.A. |
11093270 | Fast-booting application image | AMAZON TECHNOLOGIES, INC. |
11093276 | System and method for batch accessing | ALIBABA GROUP HOLDING LIMITED |
11093285 | Compression techniques for encoding stack trace information | ORACLE INTERNATIONAL CORPORATION |
11093292 | Identifying recurring actions in a hybrid integration platform to control resource usage | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11093300 | Method, electronic device and computer program product for processing information | EMC IP HOLDING COMPANY LLC |
11093302 | User-customizable application programming interfaces in a provider network | AMAZON TECHNOLOGIES, INC. |
11093305 | System and method for managing concurrent events | TWILIO INC. |
11093327 | Failure abatement approach for failed storage units common to multiple vaults | PURE STORAGE, INC. |
11093328 | Managing distributed system performance using accelerated data retrieval operations | QUANTCAST CORPORATION |
11093329 | RAID proxy storage-device-assisted data update system | DELL PRODUCTS L.P. |
11093330 | Combining data objects in a vast data storage network | PURE STORAGE, INC. |
11093341 | Systems and methods of data auto-tiering using relativized discrepancy | EMC IP HOLDING COMPANY LLC |
11093342 | Efficient deduplication of compressed files | EMC IP HOLDING COMPANY LLC |
11093344 | Source volume backup with predictive and lookahead optimizations apparatuses, methods and systems | DATTO, INC. |
11093365 | System for splicing and formatting code via machine learning | BANK OF AMERICA CORPORATION |
11093382 | System data compression and reconstruction methods and systems | SK HYNIX INC. |
11093385 | Memory device with dynamic cache management | MICRON TECHNOLOGY, INC. |
11093386 | Consolidating garbage collector in a data storage system | EMC IP HOLDING COMPANY LLC |
11093387 | Garbage collection based on transmission object models | EMC IP HOLDING COMPANY LLC |
11093389 | Method, apparatus, and computer program product for managing storage system | EMC IP HOLDING COMPANY LLC |
11093391 | Representing a cache line bit pattern via meta signaling | INTEL CORPORATION |
11093393 | System and method for early DRAM page-activation | SAMSUNG ELECTRONICS CO., LTD. |
11093399 | Selecting resources to make available in local queues for processors to use | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11093400 | Lock-free sharing of live-recorded circular buffer resources | SLING MEDIA PVT. LTD. |
11093402 | Transparent host-side caching of virtual disks located on shared storage | VMWARE, INC. |
11093410 | Cache management method, storage system and computer program product | EMC IP HOLDING COMPANY LLC |
11093412 | Access of virtual machines to storage area networks | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11093414 | Measuring per-node bandwidth within non-uniform memory access (NUMA) systems | INTEL CORPORATION |
11093418 | Memory device, processing system, and method of controlling the same | SK HYNIX INC. |
11093448 | Methods and systems for metadata tag inheritance for data tiering | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11093449 | Data presentation and modification | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11093450 | Auto-tuned write-optimized key-value store | VMWARE, INC. |
11093453 | System and method for asynchronous cleaning of data objects on cloud partition in a file system with deduplication | EMC IP HOLDING COMPANY LLC |
11093518 | Information technology networked entity monitoring with dynamic metric and threshold selection | SPLUNK INC. |
11093536 | Explicit signals personalized search | MICROSOFT TECHNOLOGY LICENSING, LLC |
11093543 | Masking restrictive access control system | REGWEZ, INC. |
11093544 | Analyzing captured sound and seeking a match for temporal and geographic presentation and navigation of linked cultural, artistic, and historic content | TUNESMAP INC. |
11093554 | Feedback for water consuming appliance | KOHLER CO. |
11093568 | Systems and methods for content management | ACCENTURE GLOBAL SOLUTIONS LIMITED |
11093575 | Transforming collections of curated web data | MICROSOFT TECHNOLOGY LICENSING, LLC |
11093630 | Determining viewable screen content | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11093648 | Hardware-assisted privacy protection using a secure user interface with multi-level access control of sensor data | INTEL CORPORATION |
11093654 | Cryptographic ASIC with self-verifying unique internal identifier | BLOCKCHAIN ASICS INC. |
11093655 | Cryptographic ASIC with onboard permanent context storage and exchange | BLOCKCHAIN ASICS INC. |
11093659 | Controlling content visibility on a computing device based on wearable device proximity | MOTOROLA MOBILITY LLC |
11093665 | System and method for simulation visualization | LOCKHEED MARTIN CORPORATION |
11093671 | Structural design systems and methods to define areas of interest for modeling and simulation-based space planning | BEAMUP LTD. |
11093677 | Logic drive based on standard commodity FPGA IC chips | -- |
11093687 | Systems and methods for identifying key phrase clusters within documents | PALANTIR TECHNOLOGIES INC. |
11093693 | Hierarchical navigation control | MICROSOFT TECHNOLOGY LICENSING, LLC |
11093694 | Multi-stage data page rendering | PALANTIR TECHNOLOGIES INC. |
11093695 | Systems and methods for providing writing assistance | EMAIL WHISPERER INC. |
11093701 | Form input processing | ADVANCED NEW TECHNOLOGIES CO., LTD. |
11093703 | Generating charts from data in a data table | GOOGLE LLC |
11093704 | Rich data types | MICROSOFT TECHNOLOGY LICENSING, LLC |
11093710 | Allowing spelling of arbitrary words | GOOGLE LLC |
11093715 | Method and system for learning and enabling commands via user demonstration | SAMSUNG ELECTRONICS CO., LTD. |
11093737 | Gesture recognition method and apparatus, electronic device, and computer-readable storage medium | BOE TECHNOLOGY GROUP CO., LTD. |
11093746 | Providing grave information using augmented reality | ANCESTRY.COM OPERATIONS INC. |
11093747 | Hazard recognition | PEERWELL, INC. |
11093769 | Stroke extraction in free space | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11093775 | Information processing apparatus and non-transitory computer readable medium storing program | FUJIFILM BUSINESS INNOVATION CORP. |
11093804 | Information processing apparatus and non-transitory computer readable medium storing program | FUJIFILM BUSINESS INNOVATION CORP. |
11093807 | Image forming system | CANON KABUSHIKI KAISHA |
11093815 | Interactive avatar for social network services | NIKE, INC. |
11093842 | Combining chemical structure data with unstructured data for predictive analytics in a cognitive system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11093854 | Emoji recommendation method and device thereof | BEIJING XINMEI HUTONG TECHNOLOGY CO., LTD. |
11093861 | Controlling item frequency using a machine-learned model | MICROSOFT TECHNOLOGY LICENSING, LLC |
11093871 | Facilitating micro-task performance during down-time | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11093884 | Controlling inventory in a supply chain | NOODLE ANALYTICS, INC. |
11093981 | Smart broadcasting device | ADVANCED NEW TECHNOLOGIES CO., LTD. |
11094000 | Method and system for image transaction, electronic device and storage medium | BOE TECHNOLOGY GROUP CO., LTD. |
11094002 | Self-learning aisle generating system and methods of making and using same | MISSION HILLS |
11094036 | Task execution on a graphics processor using indirect argument buffers | APPLE INC. |
11094048 | Image inspection device, image forming device, and computer-readable recording medium storing a program | KONICA MINOLTA, INC. |
11094089 | Foveation-based encoding and decoding of images | VARJO TECHNOLOGIES OY |
11094105 | Display apparatus and control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11094106 | Simulation system, processing method, and information storage medium for changing a display object in response to a movement of a field of view | BANDAI NAMCO ENTERTAINMENT INC. |
11094107 | Information processing device and image generation method | SONY INTERACTIVE ENTERTAINMENT INC. |
11094109 | Data processing | SONY INTERACTIVE ENTERTAINMENT INC. |
11094119 | Virtual reality | NOKIA TECHNOLOGIES OY |
11094124 | Augmented reality pharmaceutical interface | WALGREEN CO. |
11094126 | Wearable electronic device that moves a virtual object in response to movement of the wearable electronic device | -- |
11094127 | Systems and methods for presenting perspective views of augmented reality virtual object | MAGIC LEAP, INC. |
11094128 | Utilizing virtual reality and hi-definition camera technology to allow passengers to experience flight path | PANASONIC AVIONICS CORPORATION |
11094129 | Wearable electronic glasses display instructions as virtual hand gestures | -- |
11094130 | Method, an apparatus and a computer program product for video encoding and video decoding | NOKIA TECHNOLOGIES OY |
11094133 | Virtual location selection for virtual content | MAGIC LEAP, INC. |
11094135 | Automated measurement of interior spaces through guided modeling of dimensions | FLYREEL, INC. |
11094175 | Advanced hardware system for self service checkout kiosk | CLOVER NETWORK, INC. |
11094212 | Sharing signal segments of physical graph | MICROSOFT TECHNOLOGY LICENSING, LLC |
11094220 | Intelligent augmented reality for technical support engineers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11094222 | Hands-on learning play controlled video display | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11094236 | Dynamic modification of digital signage based on device edge analytics and engagement | ADOBE INC. |
11094240 | Device and method for image processing in display driver | SYNAPTICS INCORPORATED |
11094242 | Multi-vision system | LG DISPLAY CO., LTD. |
11094244 | Scanning circuit, driver circuit, touch display panel, receiving switching circuit and driving method | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11094246 | Operating module for display and operating method, and electronic device supporting the same | SAMSUNG ELECTRONICS CO., LTD. |
11094251 | Coding for avoiding motion artifacts | IMEC VZW |
11094256 | Display device and driving method thereof | SAMSUNG DISPLAY CO., LTD. |
11094267 | Proximity detection method, storage medium, and electronic device | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
11094281 | Spliced display device, configuration method thereof, display server and control method thereof | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
11094282 | Systems and methods for minimizing touchscreen display latency for aircraft | ROCKWELL COLLINS, INC. |
11094283 | Head-wearable presentation apparatus, method for operating the same, and medical-optical observation system | CARL ZEISS MEDITEC AG |
11094292 | Backlight module, display panel and display device | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11094294 | Movement based graphical user interface | PAYPAL, INC. |
11094295 | Automated adjustment of head up display image in a vehicle | VOLVO CAR CORPORATION |
11094316 | Audio analytics for natural language processing | QUALCOMM INCORPORATED |
11094319 | Systems and methods for generating a cleaned version of ambient sound | SPOTIFY AB |
11094320 | Dialog visualization | AMAZON TECHNOLOGIES, INC. |
11094325 | Device and method for processing voice command for vehicle | HYUNDAI MOTOR COMPANY |
11094363 | Reduced peak self-refresh current in a memory device | MICRON TECHNOLOGY, INC. |
11094364 | Data storage device and operating method thereof | SK HYNIX INC. |
11094368 | Memory, memory chip and memory data access method | -- |
11094403 | Method and apparatus for collecting test data from use of a disposable test kit | CELL ID PTE LTD |
11094416 | Intelligent management of computerized advanced processing | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11094417 | Medical information processing apparatus and medical information processing method | CANON MEDICAL SYSTEMS CORPORATION |
11094479 | Key structure | -- |
11094724 | Touch screen panel for sensing touch using TFT photodetectors integrated thereon | -- |
11094755 | Display device | -- |
11094756 | OLED integrated digitizer and method of preparing the same | DONGWOO FINE-CHEM CO., LTD. |
11094757 | Display device | SAMSUNG DISPLAY CO., LTD. |
11095379 | Data processing unit and information processing device | YAMAHA CORPORATION |
11095467 | Video conference system | LOGITECH EUROPE S.A. |
11095471 | Home-automation system and method for constituting the topology of a home-automation system | SOMFY SAS |
11095472 | Vision-based object recognition device and method for controlling the same | SAMSUNG ELECTRONICS CO., LTD. |
11095582 | Systems and methods for supplementing real-time exchanges of instant messages with automatically updateable content | VONAGE AMERICA LLC |
11095584 | Generating content to be shared between users | FACEBOOK, INC. |
11095585 | Detecting messages with offensive content | -- |
11095591 | Method of controlling terminal device, terminal device, and non-transitory computer readable medium storing program for executing the method | LINE CORPORATION |
11095592 | Method for providing messenger service and electronic device thereof | SAMSUNG ELECTRONICS CO., LTD. |
11095648 | Dashboard as remote computing services | MICROSOFT TECHNOLOGY LICENSING, LLC |
11095696 | Social networking system and method | TU ORBIT INC. |
11095717 | Minimizing data loss in a computer storage environment with non-guaranteed continuous network connectivity | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11095727 | Electronic device and server for providing service related to internet of things device | SAMSUNG ELECTRONICS CO., LTD. |
11095733 | System and method for the capture of mobile behavior, usage, or content exposure based on changes in UI layout | EMBEE MOBILE, INC. |
11095745 | Cloud-based scheduling of data operations to an object storage using an operation pool | EMC IP HOLDING COMPANY LLC |
11095758 | Methods and apparatus for virtualized hardware optimizations for user space networking | APPLE INC. |
11095763 | Light-emitting device having multiple curved regions | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11095765 | Electronic device and method for connection to external device | SAMSUNG ELECTRONICS CO., LTD. |
11095766 | Methods and interfaces for adjusting an audible signal based on a spatial position of a voice command source | APPLE INC. |
11095779 | Data processing system, control method for data processing system, and storage medium for displaying an object based on cloud service permission setting | CANON KABUSHIKI KAISHA |
11095781 | Image and augmented reality based networks using mobile devices and intelligent electronic glasses | -- |
11095782 | Information processing apparatus, image forming apparatus, and non-transitory computer readable medium for providing notice of inconsistent settings | FUJIFILM BUSINESS INNOVATION CORP. |
11095783 | Gesture-based menu scroll operation on a display apparatus | KONICA MINOLTA, INC. |
11095784 | Information processing apparatus and non-transitory computer readable medium for setting function for entity in real space | FUJIFILM BUSINESS INNOVATION CORP. |
11095786 | Printing apparatus, control method for printing apparatus, and storage medium | CANON KABUSHIKI KAISHA |
11095789 | Image reading apparatus and method for controlling an image reading apparatus | CANON KABUSHIKI KAISHA |
11095808 | Terminal and method for controlling the same | LG ELECTRONICS INC. |
11095810 | Setting system for a camera and control method thereof | HANWHA TECHWIN CO., LTD. |
11095822 | Systems and methods for previewing newly captured image content and reviewing previously stored image content | APPLE INC. |
11095837 | Three-dimensional stabilized 360-degree composite image capture | CARVANA, LLC |
11095857 | Presenter mode in a three-dimensional virtual conference space, and applications thereof | KATMAI TECH HOLDINGS LLC |
11095867 | Saliency prediction using part affinity fields in videos | FUJIFILM BUSINESS INNOVATION CORP. |
11095932 | Remote control device and control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11095946 | User interfaces for recommending and consuming content on an electronic device | APPLE INC. |
11095956 | Method and system for delivering an interactive video | FLAVOURWORKS LTD |
11095960 | Water meter and leak detection system having communication with a intelligent central hub listening and speaking apparatus, wireless thermostat and/or home automation system | -- |
11095963 | Display panel and display apparatus including the same | LG DISPLAY CO., LTD. |
11095971 | Method and system for audio sharing | HED TECHNOLOGIES SARL |
11095982 | Speaker apparatus, electronic apparatus connected therewith, and controlling method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11096001 | Systems and methods for digitally modeling analog audio hardware devices | -- |
11096004 | Spatial audio rendering point extension | NOKIA TECHNOLOGIES OY |
11096011 | System for determining user interactions with items on a fixture | AMAZON TECHNOLOGIES, INC. |
11096056 | Output devices, such as televisions, output controllers, or audio output devices, that are setup to wirelessly receive digital content from a digital content service over the internet or from a wireless information apparatus that is in the same network as the output devices | FLEXIWORLD TECHNOLOGIES, INC. |
11096293 | Electronic device and display device applied to the same | SAMSUNG DISPLAY CO., LTD. |
11096294 | Portable information device and display assembly | LENOVO (SINGAPORE) PTE. LTD. |
11096381 | Interactive fish tank system, and interaction providing method of the same | KOREA ADVANCED INSTITUTE OF SCIENCE AND TECHNOLOGY |
11096466 | System and method for accurate application and curing of nail polish | CORAL LABS, INC. |
11096594 | Multi-use endoscope with integrated device-patient monitoring and patient-provider positioning and disassociation system | THE REGENTS OF THE UNIVERSITY OF COLORADO, A BODY CORPORATE |
11096663 | Haptic feedback for ultrasound image acquisition | KONINKLIJKE PHILIPS N.V. |
11096668 | Method and ultrasound apparatus for displaying an object | SAMSUNG ELECTRONICS CO., LTD. |
11097079 | Cognitively inducing sleep cycles by leveraging wearables | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11097121 | Modular defibrillator architecture | AVIVE SOLUTIONS, INC. |
11097156 | Wearable athletic activity monitoring methods and systems | ADIDAS AG |
11097184 | Information handling system controller illumination | DELL PRODUCTS L.P. |
11097185 | Detachable information handling system game controller management | DELL PRODUCTS L.P. |
11097186 | Guide-assisted virtual experiences | VR EXIT LLC |
11097188 | System, method, and graphical user interface for controlling an application executing on a server | SONY INTERACTIVE ENTERTAINMENT LLC |
11097616 | Operating device for a vehicle component | BEHR-HELLA THERMOCONTROL GMBH |
11097667 | Vibration device, vibration module, electronic apparatus, and vehicle | SEIKO EPSON CORPORATION |
11097723 | User interfaces for vehicle remote park assist | FORD GLOBAL TECHNOLOGIES, LLC |
11097745 | Driving support method, vehicle, and driving support system | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11097851 | System and method to momentarily switch SVS mode | ROCKWELL COLLINS, INC. |
11097923 | Systems and methods for actively monitoring and controlling lift devices | XICORE INC. |
11098218 | Coatings for electronic devices | APPLE INC. |
11098526 | System and method for installing a transparent organic lighting diode (TOLED) display with transparency control on architectural glass | LUSID, LLC |
11098737 | Analog fluidic devices and systems | FACEBOOK TECHNOLOGIES, LLC |
11098786 | Vibration application mechanism and vibration control method | HOSIDEN CORPORATION |
11098912 | System and method for energy use control in an environmental control system | GOLDCORE DESIGN SYSTEMS, LLC |
11099026 | Content visualizing method and apparatus | SAMSUNG ELECTRONICS CO., LTD. |
11099031 | Methods and apparatus for determining the zero rate output of a sensor using a learning algorithm | IDHL HOLDINGS, INC. |
11099092 | Pressure detection chip and method for detection pressure | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
11099108 | Systems and method for providing a graphical user interface for automated determination of randomized representative sampling | -- |
11099223 | Capacitive sensor system | IEE INTERNATIONAL ELECTRONICS & ENGINEERING S.A. |
11099380 | Eye imaging in head worn computing | MENTOR ACQUISITION ONE, LLC |
11099381 | Synchronizing light sources and optics in display apparatuses | VARJO TECHNOLOGIES OY |
11099388 | Method for managing the display of an image to a user of an optical system | ESSILOR INTERNATIONAL |
11099390 | Head-mounted display apparatuses | LUMENS CO., LTD. |
11099392 | Stabilized and tracked enhanced reality images | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11099397 | Overhang rotatable multi-sensory device and a virtual reality multi-sensory system comprising the same | -- |
11099406 | Delivering augmented reality via embedded contact lens | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11099474 | Capacitive touch panel having diffuser and patterned electrode | GUARDIAN GLASS, LLC |
11099513 | Communication device outputting information on a plurality of printing devices that execute printing operations using printing agent accommodated in cartridge | BROTHER KOGYO KABUSHIKI KAISHA |
11099534 | Configuration user interface for a home automation system | SAVANT SYSTEMS, INC. |
11099540 | User identity in household appliances | KOHLER CO. |
11099558 | Remote operation of vehicles using immersive virtual reality environments | NVIDIA CORPORATION |
11099607 | Flexible display window and electronic device having the same | SAMSUNG ELECTRONICS CO., LTD. |
11099624 | Self-powered sensor device | -- |
11099630 | Drift cancelation for portable object detection and tracking | ULTRAHAPTICS IP TWO LIMITED |
11099631 | Virtual reality system with posture control | -- |
11099632 | Systems and methods for guiding and measuring neck and shoulder protraction and retraction motions in virtual/augmented reality | XR HEALTH IL LTD |
11099633 | Authoring augmented reality experiences using augmented reality and virtual reality | SIEMENS AKTIENGESELLSCHAFT |
11099634 | Manipulation of virtual objects using a tracked physical object | APPLE INC. |
11099635 | Blow event detection and mode switching with an electronic device | APPLE INC. |
11099636 | Systems and methods for interfacing with head worn display system | ROCKWELL COLLINS, INC. |
11099637 | Dynamic adjustment of user interface | MICROSOFT TECHNOLOGY LICENSING, LLC |
11099638 | Systems and methods for generating dynamic obstacle collision warnings based on detecting poses of users | FACEBOOK TECHNOLOGIES, LLC |
11099639 | Systems and methods for eye tracking in head-mounted display devices | FACEBOOK TECHNOLOGIES, LLC |
11099640 | System and method for enhancing a user interface using eye tracking data | EXPRESS SCRIPTS STRATEGIE DEVELOPMENT, INC. |
11099641 | Calibration, customization, and improved user experience for bionic lenses | DISNEY ENTERPRISES, INC. |
11099642 | Display adaptation for visual impairment | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11099643 | Headware with computer and optical element for use therewith and systems utilizing same | SNAP INC. |
11099644 | Input system and electronic apparatus | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11099645 | Apparatus and method for dynamic graphics rendering based on saccade detection | SONY INTERACTIVE ENTERTAINMENT INC. |
11099646 | Brain-controlled wearable display device and brain-controlled display method | BOE TECHNOLOGY GROUP CO., LTD. |
11099647 | User interface control of responsive devices | PISON TECHNOLOGY, INC. |
11099648 | Tactile sensation providing apparatus | KYOCERA CORPORATION |
11099649 | Device having integrated interface system | APPLE INC. |
11099650 | Camera with improved shutter button | -- |
11099651 | Providing haptic output based on a determined orientation of an electronic device | APPLE INC. |
11099652 | Data and user interaction based on device proximity | MICROSOFT TECHNOLOGY LICENSING, LLC |
11099653 | Machine responsiveness to dynamic user movements and gestures | ULTRAHAPTICS IP TWO LIMITED |
11099654 | Facilitate user manipulation of a virtual reality environment view using a computing device with a touch sensitive surface | LUCASFILM ENTERTAINMENT COMPANY LTD. |
11099655 | System and method for gesture based data and command input via a wearable device | -- |
11099656 | Low-height key structure | -- |
11099657 | Remote information input method, device and system | BOE TECHNOLOGY GROUP CO., LTD. |
11099658 | Determining orientation of a trackball | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11099659 | Method and system for operating a flexible computing-device according to different functionality based on bending axis | SAMSUNG ELECTRONICS CO., LTD. |
11099660 | User interface for digital ink modification | MICROSOFT TECHNOLOGY LICENSING, LLC |
11099661 | Pointer, position detection apparatus and position detection method | WACOM CO., LTD. |
11099662 | Pointing element, display system, and method of controlling pointing element | SEIKO EPSON CORPORATION |
11099663 | Electronic bag | SHENZHEN ROYOLE TECHNOLOGIES CO., LTD. |
11099664 | Talking multi-surface keyboard | -- |
11099665 | Control knob for controlling operation of a machine | DEFOND ELECTECH CO., LTD. |
11099666 | Wheel device applied to a mouse | -- |
11099667 | Roller module for a mouse device having a movable magnet | -- |
11099668 | Device that manages power provided to an object sensor | UNIVERSAL ELECTRONICS INC. |
11099669 | Conductive structure, method of manufacturing the same, touch sensor including the conductive structure, method of manufacturing the touch sensor, and touch sensing method | IUCF-HYU (INDUSTRY-UNIVERSITY COOPERATION FOUNDATION HANYANG UNIVERSITY) |
11099671 | Touch display assembly and method for manufacturing same | -- |
11099672 | Touch control panel, method for manufacturing touch control panel and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11099673 | Touch display panel, display device and method for manufacturing touch display panel | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. |
11099674 | Pixel array substrate | -- |
11099675 | Display device, operation method, driving circuit and timing control circuit | -- |
11099676 | Touch module, touch display panel and touch display device | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
11099677 | Touch display device | LG DISPLAY CO., LTD. |
11099678 | Method and device for sensing pressure applied to a screen with at least one sensor means | VESTEL ELEKTRONIK SANAYI VE TICARET A.S. |
11099679 | Device, method, and user interface for processing intensity of touch contacts | APPLE INC. |
11099680 | Matrix sensor with receive isolation | TACTUAL LABS CO. |
11099681 | Touch-sensitive operating element | BCS AUTOMOTIVE INTERFACE SOLUTIONS GMBH |
11099682 | Binding region connection structure, touch screen and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11099683 | Touch panel, touch panel module, and method for inspecting touch panel | NISSHA CO., LTD. |
11099684 | Method and structure for a driving circuit of touch panel touch device and touch module | -- |
11099685 | Selective touch sensor activation for power savings | NVIDIA CORPORATION |
11099686 | Touch sensor locating mode | MICROSOFT TECHNOLOGY LICENSING, LLC |
11099687 | Temperature compensation and noise avoidance for resonator pen | SYNAPTICS INCORPORATED |
11099688 | Eraser for touch displays | FLATFROG LABORATORIES AB |
11099689 | Receiving device | FUJIFILM BUSINESS INNOVATION CORP. |
11099690 | Capacitance compensation module and method, self-capacitive touch display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11099691 | Display device | SAMSUNG DISPLAY CO., LTD. |
11099692 | Sensor device and method | TANGI0 LIMITED |
11099693 | Touch-sensing display substrate, touch-sensing display apparatus, method of operating touch-sensing display apparatus, and method of fabricating touch-sensing display substrate | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
11099694 | Flexible display screen system | SHENZHEN ROYOLE TECHNOLOGIES CO., LTD. |
11099695 | Touch panel | -- |
11099696 | Touch substrate, touch control display panel, touch control display apparatus, and method of fabricating touch substrate | BOE TECHNOLOGY GROUP CO., LTD. |
11099697 | Input detection unit and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11099698 | Touchscreen panel and touchscreen integrated display device | LG DISPLAY CO., LTD. |
11099699 | Touch sensor and display device having the touch sensor | SAMSUNG DISPLAY CO., LTD. |
11099700 | Multi-mode operation method for capacitive touch panel | -- |
11099701 | Information processing system, position indicator, and method of controlling movement of display object on display screen of information processing apparatus | WACOM CO., LTD. |
11099702 | Touchscreen and method of manufacturing touchscreen | FUJITSU COMPONENT LIMITED |
11099703 | Touch sensor panels with silver nanowire-based touch electrodes | APPLE INC. |
11099704 | Mobile terminal and control method for displaying images from a camera on a touch screen of the mobile terminal | LG ELECTRONICS INC. |
11099705 | Automatic dashboard tiles for online tools | SALESFORCE.COM, INC. |
11099706 | Enhanced user interface using touch gestures | DELL PRODUCTS L.P. |
11099707 | Devices, methods, and graphical user interfaces for system-wide behavior for 3D models | APPLE INC. |
11099708 | Patterns for locations on three-dimensional objects | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11099709 | System and method for creating, managing, and displaying an interactive display for 3D digital collectibles | DAPPER LABS INC. |
11099710 | Information processing apparatus and non-transitory computer readable medium | FUJIFILM BUSINESS INNOVATION CORP. |
11099711 | Display apparatus and method | SAMSUNG ELECTRONICS CO., LTD. |
11099712 | Device, method, and graphical user interface for navigating and displaying content in context | APPLE INC. |
11099714 | Systems and methods involving creation/display/utilization of information modules, such as mixed-media and multimedia modules | VERIZON MEDIA INC. |
11099715 | Method and device for providing a user interface in a vehicle | VOLKSWAGEN AG |
11099716 | Context based content navigation for wearable display | REALWEAR, INC. |
11099717 | Video playback method and apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
11099718 | Systems and methods for accelerating user interactions on touchscreen devices | DELL PRODUCTS L.P. |
11099719 | Monitoring user interactions with a device to automatically select and configure content displayed to a user | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11099720 | Display control device and non-transitory computer readable medium storing display control program | FUJIFILM BUSINESS INNOVATION CORP. |
11099721 | In-cell commands for matrix layout structured user interface | TILLER LLC |
11099722 | Virtual parallax to create three-dimensional appearance | APPLE INC. |
11099723 | Interaction method for user interfaces | HUAWEI TECHNOLOGIES CO., LTD. |
11099724 | Context sensitive magnifying glass | KONINKLIJKE PHILIPS N.V. |
11099725 | User interface tools for visual exploration of multi-dimensional data | MICROSOFT TECHNOLOGY LICENSING, LLC |
11099726 | Group-based communication system and apparatus configured to manage user-defined channel labels associated with a plurality of group-based communication channels | SLACK TECHNOLOGIES, INC. |
11099727 | Systems and methods for geo-fenced dynamic dissemination | PALANTIR TECHNOLOGIES INC. |
11099728 | Electronic apparatus, control method, and non-transitory computer readable medium for displaying a display target | CANON KABUSHIKI KAISHA |
11099729 | Methods and systems for displaying content based on a scroll pattern | CAPITAL ONE SERVICES, LLC |
11099730 | Map interface interaction | ADVANCED NEW TECHNOLOGIES CO., LTD. |
11099731 | Techniques for content management using a gesture sensitive element | AMAZON TECHNOLOGIES, INC. |
11099732 | Methods and apparatuses for form operation on a mobile terminal | ADVANCED NEW TECHNOLOGIES CO., LTD. |
11099733 | Dynamic command presentation and key configuration for keyboards | MICROSOFT TECHNOLOGY LICENSING, LLC |
11099734 | Method, apparatus and computer program product for managing storage system | EMC IP HOLDING COMPANY LLC |
11099735 | Facilitating the recovery of full HCI clusters | VMWARE, INC. |
11099736 | Dynamic processing of storage command based on internal operations of storage system | TOSHIBA MEMORY CORPORATION |
11099737 | Fast locate using imitation reads on tape drives | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11099738 | Fast locate using imitation reads on tape drives | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11099739 | System and method for accessing redundant array of independent disks | SHANGHAI ZHAOXIN SEMICONDUCTOR CO., LTD. |
11099740 | Method, apparatus and computer program product for managing storage device | EMC IP HOLDING COMPANY LLC |
11099741 | Parallel access volume I/O processing with intelligent alias selection across logical control units | EMC IP HOLDING COMPANY LLC |
11099742 | Electronic device | SK HYNIX INC. |
11099743 | Determining when to replace a storage device using a machine learning module | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11099744 | Method and device for writing stored data into storage medium based on flash memory | ANT FINANCIAL (HANG ZHOU) NETWORK TECHNOLOGY CO., LTD. |
11099745 | Storage device and operating method thereof | SK HYNIX INC. |
11099746 | Multi-bank memory with one read port and one or more write ports per cycle | MARVELL ISRAEL (M.I.S.L) LTD. |
11099747 | Hierarchical scale unit values for storing instances of data | MICROSOFT TECHNOLOGY LICENSING, LLC |
11099748 | Fault tolerant memory card | UNITED STATES OF AMERICA AS REPRESENTED BY THE ADMINISTRATOR OF NASA |
11099749 | Erase detection logic for a storage system | PURE STORAGE, INC. |
11099750 | Computing system with communication mechanism | SAMSUNG ELECTRONICS CO., LTD. |
11099751 | Determining tracks to release in a source volume being copied to a target volume | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11099752 | Application performance in replication environments | VERITAS TECHNOLOGIES LLC |
11099753 | Method and apparatus for dynamic flow control in distributed storage systems | EMC IP HOLDING COMPANY LLC |
11099754 | Storage array with dynamic cache memory configuration provisioning based on prediction of input-output operations | EMC IP HOLDING COMPANY LLC |
11099755 | Multipath device pseudo name to logical volume mapping for host devices | EMC IP HOLDING COMPANY LLC |
11099756 | Managing data block compression in a storage system | EMC IP HOLDING COMPANY LLC |
11099757 | Apparatus and method for determining characteristics of memory blocks in a memory system | SK HYNIX INC. |
11099758 | Memory management of computing devices | FACEBOOK TECHNOLOGIES, LLC |
11099759 | Method and device for dividing storage devices into device groups | ADVANCED NEW TECHNOLOGIES CO., LTD. |
11099760 | Background data refresh using a system timestamp in storage devices | INTEL CORPORATION |
11099761 | Method, device and computer program product for expanding storage space | EMC IP HOLDING COMPANY LLC |
11099762 | Multi host controller and semiconductor device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11099763 | Migrating generational storage to a decentralized agreement protocol paradigm | PURE STORAGE, INC. |
11099764 | Method for amending the firmware of a resource constrained device | THALES DIS FRANCE SA |
11099765 | Data protection of container persistent storage with changed block tracking | QUANTUM CORPORATION |
11099766 | Storage system configured to support one-to-many replication | EMC IP HOLDING COMPANY LLC |
11099767 | Storage system with throughput-based timing of synchronous replication recovery | EMC IP HOLDING COMPANY LLC |
11099768 | Transitioning from an original device to a new device within a data storage array | EMC IP HOLDING COMPANY LLC |
11099769 | Copying data without accessing the data | PURE STORAGE, INC. |
11099770 | VM protection with true zero RTO | EMC IP HOLDING COMPANY LLC |
11099771 | System and method for early removal of tombstone records in database | SALESFORCE.COM, INC. |
11099772 | Hardware double buffering using a special purpose computational unit | GOOGLE LLC |
11099773 | Memory system for write operation and method thereof | SK HYNIX INC. |
11099774 | Command address input buffer bias current reduction | MICRON TECHNOLOGY, INC. |
11099775 | Data storage device and data storage method | -- |
11099776 | Memory system having memory device queuing a plurality of commands and operating method thereof | SK HYNIX INC. |
11099777 | Unified approach to import, replication, and migration of a storage volume | EMC IP HOLDING COMPANY LLC |
11099778 | Controller command scheduling in a memory system to increase command bus utilization | MICRON TECHNOLOGY, INC. |
11099779 | Addressing in memory with a read identification (RID) number | MICRON TECHNOLOGY, INC. |
11099780 | Adaptively tuning polling intervals of control hosts in distributed storage systems | EMC IP HOLDING COMPANY LLC |
11099781 | Flash memory controller, flash memory module and associated electronic device | -- |
11099782 | Portions of configuration state registers in-memory | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11099783 | Memory system including a non-volatile memory chip and method for performing a read operation on the non-volatile memory chip | TOSHIBA MEMORY CORPORATION |
11099784 | Crosspoint memory architecture for high bandwidth operation with small page buffer | SANDISK TECHNOLOGIES LLC |
11099785 | Linking access commands for a memory sub-system | MICRON TECHNOLOGY, INC. |
11099786 | Signaling for heterogeneous memory systems | ADVANCED MICRO DEVICES, INC. |
11099787 | Semiconductor memory | KIOXIA CORPORATION |
11099788 | Near-memory data reduction | ADVANCED MICRO DEVICES, INC. |
11099789 | Remote direct memory access in multi-tier memory systems | MICRON TECHNOLOGY, INC. |
11099790 | Parallel key value based multithread machine learning leveraging KV-SSDS | SAMSUNG ELECTRONICS CO., LTD. |
11099791 | Information processing apparatus and method of controlling the same with an application reinstallation feature | CANON KABUSHIKI KAISHA |
11099792 | Information processing system, image processing apparatus, non-transitory computer readable medium for sorting billing information into billing destinations | FUJIFILM BUSINESS INNOVATION CORP. |
11099793 | Information processing device, printing device and method of controlling the same | CANON KABUSHIKI KAISHA |
11099794 | Printing apparatus, control method, and storage medium to determine sheet storage status | CANON KABUSHIKI KAISHA |
11099795 | Image forming apparatus, control method, and system | SHARP KABUSHIKI KAISHA |
11099796 | Information processing apparatus, control method for searching printing apparatus uniquely identifying SNMP engine identification of the printing apparatus | CANON KABUSHIKI KAISHA |
11099797 | Non-transitory computer-readable recording medium storing computer-readable instructions for establishing wireless connection between terminal device and communication device | BROTHER KOGYO KABUSHIKI KAISHA |
11099798 | Differentiated content delivery system and method therefor | MISAPPLIED SCIENCES, INC. |
11099799 | Method for controlling display device, display device, method for controlling display system, and display system | SEIKO EPSON CORPORATION |
11099800 | Regional adjustment of render rate | INTEL CORPORATION |
11099801 | Display system and program | EIZO CORPORATION |
11099802 | Virtual reality | NOKIA TECHNOLOGIES OY |
11099803 | Information providing method using mobile device | -- |
11099804 | Panel driver interface systems and methods for electronic device displays | APPLE INC. |
11099805 | Reproduced data output apparatus and computer program product | DENSO CORPORATION |
11099806 | Media playback actions based on knob rotation | SPOTIFY AB |
11099807 | Electronic apparatus, control device, control method, and recording medium | SHARP KABUSHIKI KAISHA |
11099808 | Facilitating calibration of an audio playback device | SONOS, INC. |
11099809 | Display apparatus and control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11099810 | Systems and methods for communicating notifications and textual data associated with applications | GOOGLE TECHNOLOGY HOLDINGS LLC |
11099811 | Systems and methods for displaying subjects of an audio portion of content and displaying autocomplete suggestions for a search related to a subject of the audio portion | ROVI GUIDES, INC. |
11099812 | Device and method for performing functions | SAMSUNG ELECTRONICS CO., LTD. |
11099813 | Memory retention system | HUMAN AI LABS, INC. |
11099819 | Methods and systems for operationalizing artificial intelligence models using web-based user interfaces | DOVEL TECHNOLOGIES, LLC |
11099821 | Deploying mobile applications | SATESFORCE.COM, INC. |
11099828 | Updating a metadata structure for a firmware update | MICROSOFT TECHNOLOGY LICENSING, LLC |
11099843 | Determining similarity groupings for software development projects | MICROSOFT TECHNOLOGY LICENSING, LLC |
11099856 | Methods for uninstalling SSD (solid-state disk) cards and apparatuses using the same | SHANNON SYSTEMS LTD. |
11099862 | Interface to configure media content | SNAP INC. |
11099863 | Positioning user interface components based on application layout and user workflows | MICROSOFT TECHNOLOGY LICENSING, LLC |
11099864 | Responsive auto-layouting of industrial process graphics | ABB SCHWEIZ AG |
11099866 | Apparatus and method to provide help information to a user in a timely manner | FUJITSU LIMITED |
11099867 | Virtual assistant focused user interfaces | VERINT AMERICAS INC. |
11099888 | Method and apparatus for switching tasks | SAMSUNG ELECTRONICS CO., LTD. |
11099889 | Method-call-chain tracking method, electronic device, and computer readable storage medium | WANGSU SCIENCE & TECHNOLOGY CO., LTD. |
11099895 | Estimating and managing resource provisioning speed based on provisioning instruction | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11099901 | Method for resource allocation and terminal device | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
11099916 | Method and device for presenting information on terminal | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11099923 | Systems and methods to reprogram mobile devices | FUTURE DIAL, INC. |
11099930 | Detect and triage data integrity issue for virtual machine | EMC IP HOLDING COMPANY LLC |
11099938 | System and method for creating linked clones of storage objects with surface snapshots | VMWARE, INC. |
11099947 | Filter reset for cloud-based analytics engine | SAP SE |
11099948 | Persistent storage segment caching for data recovery | MICROSOFT TECHNOLOGY LICENSING, LLC |
11099964 | Framework actuator integration | PIVOTAL SOFTWARE, INC. |
11099976 | Generating code for deploying cloud infrastructure | HITACHI VANTARA LLC |
11099980 | Host aware update write | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11099981 | Memory system and operating method thereof | SK HYNIX INC. |
11099983 | Consolidating temporally-related data within log-based storage | EMC IP HOLDING COMPANY LLC |
11099985 | Storage controller, storage array device, data depositing method, and storage medium | NEC PLATFORMS, LTD. |
11099992 | Method, device and computer readable storage media for managing storage system | EMC IP HOLDING COMPANY LLC |
11099993 | Multi-processor bridge with cache allocate awareness | TEXAS INSTRUMENTS INCORPORATED |
11099994 | Virtual network pre-arbitration for deadlock avoidance and enhanced performance | TEXAS INSTRUMENTS INCORPORATED |
11099998 | Method and device for optimization of data caching | EMC IP HOLDING COMPANY LLC |
11100006 | Host-commanded garbage collection based on different per-zone thresholds and candidates selected by memory controller | RADIAN MEMORY SYSTEMS, INC. |
11100013 | Scheduling of read and write memory access requests | APPLE INC. |
11100024 | System and method for supporting multi-path and/or multi-mode NVMe over fabrics devices | SAMSUNG ELECTRONICS CO., LTD. |
11100031 | Memory system, semiconductor integrated circuit, and method therefor | KIOXIA CORPORATION |
11100033 | Single-root input/output virtualization-based storage solution for software defined storage | DELL PRODUCTS L.P. |
11100040 | Modular remote direct memory access interfaces | CISCO TECHNOLOGY, INC. |
11100049 | Customizable browser for computer filesystem and electronic mail | -- |
11100050 | Dense file reservation in thinly provisioned file system | EMC IP HOLDING COMPANY LLC |
11100054 | Digital image suitability determination to generate AR/VR digital content | EBAY INC. |
11100067 | Apparatus and method for data object generation and control | GROUPON, INC. |
11100075 | Graphical user interfaces for incorporating complex data objects into a workflow | SERVICENOW, INC. |
11100078 | Information processing apparatus and non-transitory computer readable medium | FUJIFILM BUSINESS INNOVATION CORP. |
11100080 | Using an action registry to edit data across users and devices | ADOBE INC. |
11100127 | Generating varied-scale topological visualizations of multi-dimensional data | ADOBE INC. |
11100141 | Monitoring organization-wide state and classification of data stored in disparate data sources of an organization | MICROSOFT TECHNOLOGY LICENSING, LLC |
11100150 | Determining rules based on text | SPLUNK INC. |
11100161 | Systems and methods for generating and managing audio content | VERIZON MEDIA INC. |
11100164 | Displaying videos based upon selectable inputs associated with tags | VERIZON MEDIA INC. |
11100168 | Automated population of digital interfaces based on dynamically generated contextual data | THE TORONTO-DOMINION BANK |
11100172 | Providing similar field sets based on related source types | SPLUNK INC. |
11100180 | Interaction method and interaction device for search result | BAIDU ONLINE NETWORK TECHNOLOGY (BEIJING) CO., LTD. |
11100187 | Method and device for jumping between pages | ALIBABA GROUP HOLDING LIMITED |
11100188 | Method of and system for selectively presenting a recommendation block in browser application | YANDEX EUROPE AG |
11100190 | Chromebook computer and WebVR execution method thereof | -- |
11100195 | Zero-knowledge offers in universal data scaffold based data management platform | THINKSPAN, LLC |
11100198 | Authentication device, terminal device, image forming system, and non-transitory computer readable medium | FUJIFILM BUSINESS INNOVATION CORP. |
11100203 | Touchscreen display device with a fingerprint reader | -- |
11100210 | Holographic object and user action combination-based authentication mechanism | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11100257 | Spool run route finding in CAD systems | APPLIED SOFTWARE TECHNOLOGY, INC. |
11100265 | Structural design systems and methods for selective simulation of equipment coverage in a floor plan | BEAMUP LTD. |
11100271 | Seamless transition between routing modes | SYNOPSYS, INC. |
11100275 | Techniques for view capture and storage for mobile applications | QUANTUM METRIC, INC. |
11100282 | Template based content preparation system for use with a plurality of space types | STEELCASE INC. |
11100304 | Display panel, driving method, and display device | XIAMEN TIANMA MICRO-ELECTRONICS CO., LTD. |
11100305 | Display arrangement comprising ultrasonic biometric sensing system and method for manufacturing the display arrangement | FINGERPRINT CARDS AB |
11100308 | Array substrate and preparation method therefor, fingerprint recognition method, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11100315 | Video display system for vehicle | MAGNA ELECTRONICS INC. |
11100317 | Drawing device and drawing method | WACOM CO., LTD. |
11100318 | Information processing apparatus and non-transitory computer readable medium | FUJIFILM BUSINESS INNOVATION CORP. |
11100327 | Recording a state of a medical device | FENWAL, INC. |
11100328 | System to determine piping configuration under sink | DANCO, INC. |
11100349 | Audio assisted enrollment | APPLE INC. |
11100365 | Apparatus and method for interactively viewing and clustering data segments from long data recordings | KEYSIGHT TECHNOLOGIES, INC. |
11100384 | Intelligent device user interactions | MICROSOFT TECHNOLOGY LICENSING, LLC |
11100390 | Power-efficient deep neural network module configured for layer and operation fencing and dependency management | MICROSOFT TECHNOLOGY LICENSING, LLC |
11100391 | Power-efficient deep neural network module configured for executing a layer descriptor list | MICROSOFT TECHNOLOGY LICENSING, LLC |
11100413 | Combining chemical structure data with unstructured data for predictive analytics in a cognitive system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11100415 | Architecture and method for providing insights in networks domain | -- |
11100423 | Artificial intelligence engine hosted on an online platform | MICROSOFT TECHNOLOGY LICENSING, LLC |
11100442 | Method and device for implementing service function | ADVANCED NEW TECHNOLOGIES CO., LTD. |
11100482 | Visible and accessible escrow systems and methods | CAPITAL ONE SERVICES, LLC |
11100490 | Application integration for contactless payments | SQUARE, INC. |
11100498 | User interfaces for transfer accounts | APPLE INC. |
11100543 | System and method for targeting information based on message content in a reply | GOOGLE LLC |
11100563 | Systems and methods for facilitating gift selection, attribution, and distribution | LOOP COMMERCE, INC. |
11100602 | System and method for geometrical user interactions via three-dimensional mapping | R-GO ROBOTICS LTD. |
11100607 | Electronic apparatus and control method for updating parameters of neural networks while generating high-resolution images | SAMSUNG ELECTRONICS CO., LTD. |
11100608 | Determining display orientations for portable devices | IMDB, INC. |
11100611 | Systems and methods for background noise reduction in magnetic resonance images | GE PRECISION HEALTHCARE LLC |
11100668 | Predictive visualization of medical imaging scanner component movement | GLOBUS MEDICAL, INC. |
11100672 | System and method for reducing jitter in augmented reality | MYNTRA DESIGNS PRIVATE LIMITED |
11100687 | Emphasizing on image portions in presentations | MICROSOFT TECHNOLOGY LICENSING, LLC |
11100690 | System and methods for automatic media population of a style presentation | EBAY INC. |
11100693 | Method and system for controlling an object avatar | WIPRO LIMITED |
11100694 | Virtual reality presentation of eye movement and eye contact | LINDEN RESEARCH, INC. |
11100695 | Methods and systems for creating an immersive character interaction experience | VERIZON PATENT AND LICENSING INC. |
11100697 | System for active-focus prediction in 360 video | AT&T INTELLECTUAL PROPERTY I, L.P. |
11100708 | Space capture, modeling, and texture reconstruction through dynamic camera positioning and lighting using a mobile robot | SONY INTERACTIVE ENTERTAINMENT INC. |
11100711 | Head mounted display that moves a virtual object in response to movement of a field of view | -- |
11100712 | Positional recognition for augmented reality environment | GOOGLE LLC |
11100713 | System and method for aligning virtual objects on peripheral devices in low-cost augmented reality/virtual reality slip-in systems | DISNEY ENTERPRISES, INC. |
11100714 | Adjusting video rendering rate of virtual reality content and processing of a stereoscopic image | GOOGLE LLC |
11100715 | Establishment of positional timers in an augmented reality environment | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11100717 | System and method for presenting a virtual store shelf that emulates a physical store shelf | 7-ELEVEN, INC. |
11100718 | Method for operating a display device in a motor vehicle | AUDI AG |
11100719 | Method and apparatus for overlaying a reproduction of a real scene with virtual image and audio data, and a mobile device | 3DQR GMBH |
11100720 | Depth map generation | APPLE INC. |
11100721 | Integrating 2D images into a display of a 3D reality mesh to recover lost context | BENTLEY SYSTEMS, INCORPORATED |
11100769 | Display apparatus for an operational force for displaying information contents of different information types of a guidance system | -- |
11100771 | Devices and methods for providing localized haptic effects to a display screen | IMMERSION CORPORATION |
11100790 | Systems and methods for determining projected target location of a handheld object | UNIVERSAL CITY STUDIOS LLC |
11100862 | Display panel having a bottom layer below a transistor that receives different voltages in different periods | SAMSUNG DISPLAY CO., LTD. |
11100885 | Driving device that monitors a difference between a data signal and a common voltage signal and display device | HKC CORPORATION LIMITED |
11100886 | Smart window, control method thereof, and transport vehicle | BOE TECHNOLOGY GROUP CO., LTD. |
11100892 | Display element, system, and method | ROCKWELL COLLINS, INC. |
11100895 | Display method, display apparatus, and graphical user interface | HUAWEI TECHNOLOGIES CO., LTD. |
11100899 | Systems and methods for foveated rendering | FACEBOOK TECHNOLOGIES, LLC |
11100913 | Information security/privacy via a decoupled security cap to an always listening assistant device | EDMONTON |
11100917 | Generating ground truth annotations corresponding to digital image editing dialogues for training state tracking models | ADOBE INC. |
11100933 | Collaborative artificial intelligence method and system | TEMPUS LABS, INC. |
11100944 | Information processing apparatus, information processing method, and program | SONY CORPORATION |
11100996 | Log data storage for flash memory | MICRON TECHNOLOGY, INC. |
11100999 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
11101006 | Read level tracking and optimization | WESTERN DIGITAL TECHNOLOGIES, INC. |
11101022 | Automated clinical documentation system and method | NUANCE COMMUNICATIONS, INC. |
11101023 | Automated clinical documentation system and method | NUANCE COMMUNICATIONS, INC. |
11101028 | Method and system using artificial intelligence to monitor user characteristics during a telemedicine session | ROM TECHNOLOGIES, INC. |
11101031 | System and method for modifying biometric activity using virtual reality therapy | STORYUP, INC. |
11101034 | Object interactions | TELETRACKING TECHNOLOGIES, INC. |
11101035 | System for controlling a medical device | KARL STORZ SE & CO. KG |
11101316 | Optical pressure touch device, manufacturing method thereof, and touch display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11101332 | OLED display panel and display device | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
11101333 | Display device, module, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11101334 | Thin film display element | BENEQ OY |
11101393 | Synchronous wired-OR ACK status for memory with variable write latency | RAMBUS INC. |
11101425 | Braided piezoelectric element, fabric-like piezoelectric element using braided piezoelectric element, and device using same | TEIJIN LIMITED |
11101745 | Electrostatic actuator | -- |
11101900 | Radio wave environment display device and radio wave environment display method | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11101929 | Dynamically caching data for storage in storage units of a content delivery network | PURE STORAGE, INC. |
11101933 | Methods and systems for low latency wireless communication with a scalable hierarchial architecture | INPLAY, INC. |
11102020 | Information processing device, information processing system, and information processing method | SHARP KABUSHIKI KAISHA |
11102093 | System and method of assigning reputation scores to hosts | CISCO TECHNOLOGY, INC. |
11102153 | Multistate presence and responsiveness tracking and indication | MICROSOFT TECHNOLOGY LICENSING, LLC |
11102178 | Establishing concealed communications between parties | FACEBOOK, INC. |
11102206 | Methods and apparatus for establishing shared memory spaces for data access and distribution | POSTOAK.TODAY LLC |
11102212 | Systems and methods for performing data protection operations with a proxy register | EMC IP HOLDING COMPANY LLC |
11102215 | Graphical user interface privacy, security and anonymization | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11102224 | Systems and user interfaces for dynamic and interactive investigation of bad actor behavior based on automatic clustering of related data in various data structures | PALANTIR TECHNOLOGIES INC. |
11102234 | System and method for performing remote security assessment of firewalled computer | QUALYS, INC. |
11102248 | System and method for remote wipe | OPEN TEXT SA ULC |
11102255 | Project creation and distribution system | FILMIO, INC. |
11102264 | Methods and systems for initiating a coordinated effect | FACEBOOK, INC. |
11102275 | System and method for determining an interest in promotional content displayed at a mobile communication device | GOOGLE LLC |
11102295 | Methods, systems and devices for parallel network interface data structures with differential data storage and processing service capabilities | OPEN INVENTION NETWORK LLC |
11102297 | System and method for wireless device access to external storage | -- |
11102300 | SAN advisory based on latency | EMC IP HOLDING COMPANY LLC |
11102307 | Communication management method and system for visit auto-bookmarking | RINGCENTRAL, INC. |
11102309 | Pairing a voice-enabled device with a display device | GOOGLE LLC |
11102316 | System and method for tracking interactions in an email | -- |
11102322 | Data processing method and apparatus, server, and controller | HUAWEI TECHNOLOGIES CO., LTD. |
11102323 | Network-integrated storage data cache | EMC IP HOLDING COMPANY LLC |
11102343 | Mobile terminal and control method therefor | LG ELECTRONICS INC. |
11102353 | Video call routing and management based on artificial intelligence determined facial emotion | AVAYA INC. |
11102354 | Haptic feedback during phone calls | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11102360 | Information processing apparatus configured to create image data with different sizes, and non-transitory computer-readable medium storing instructions therefor | BROTHER KOGYO KABUSHIKI KAISHA |
11102362 | Preparation tool for color print presentation | KYOCERA DOCUMENT SOLUTIONS INC. |
11102371 | Terminal device configured to initiate printing based on distance from printing device | BROTHER KOGYO KABUSHIKI KAISHA |
11102395 | Generation of media content having multi-focus video and multi-directional audio | SONY CORPORATION |
11102396 | Electronic device, imaging control apparatus and control method thereof | CANON KABUSHIKI KAISHA |
11102408 | Output apparatus and control method thereof | CANON KABUSHIKI KAISHA |
11102414 | Digital viewfinder user interface for multiple cameras | APPLE INC. |
11102424 | Medical observation apparatus and medical observation system | SONY OLYMPUS MEDICAL SOLUTIONS INC. |
11102447 | Image transmission apparatus for multi-screen | -- |
11102461 | Projection system, projection method, and projection display system | TPKTOUCH SYSTEMS (XIAMEN) INC. |
11102520 | Image display method and image display apparatus | PANASONIC INTELLECTUAL PROPERTY CORPORATION OF AMERICA |
11102543 | Control of large screen display using wireless portable computer to pan and zoom on large screen display | SONY CORPORATION |
11102570 | Auto-configurable bass loudspeaker | BOSE CORPORATION |
11102578 | Audio system and method of augmenting spatial audio rendition | APPLE INC. |
11102597 | Playback device | LAPIS SEMICONDUCTOR CO., LTD. |
11102601 | Spatial audio upmixing | APPLE INC. |
11102602 | Systems and methods for spatial update latency compensation for head-tracked audio | FACEBOOK TECHNOLOGIES, LLC |
11102616 | Method of tracking objects using thermostat | CARRIER CORPORATION |
11102680 | Data transfer interface with reduced signaling | MARVELL ASIA PTE, LTD. |
11102873 | Lighting system configuration | ROCKWELL COLLINS, INC. |
11102893 | Display device | SAMSUNG DISPLAY CO., LTD. |
11103015 | Interactive fabric | GOOGLE LLC |
11103049 | Method, system and apparatus for dispensing products for a personal care service, instructing on providing a personal care treatment service, and selecting a personal care service | COLORCULTURE NETWORK, LLC |
11103132 | Eye imaging in head worn computing | MENTOR ACQUISITION ONE, LLC |
11103161 | Displaying user interfaces associated with physical activities | APPLE INC. |
11103268 | Surgical clip applier comprising adaptive firing control | CILAG GMBH INTERNATIONAL |
11103322 | Remote control robot system | KAWASAKI JUKOGYO KABUSHIKI KAISHA |
11103638 | Ambulatory medicament device with gesture-based control of medicament delivery | BETA BIONICS, INC. |
11103764 | Digital patch for discrete signaling, a baseball glove including same, and related method of manufacture | LIFEWARE LABS, LLC |
11103772 | Mediating multiplayer electronic game sessions | BUNCH LIVE, INC. |
11103773 | Displaying virtual objects based on recognition of real world object and identification of real world object associated location or geofence | -- |
11103777 | Mechanisms for reducing latency and ghosting displays | INTEL CORPORATION |
11104165 | Support service system for inkjet printing apparatus and control method therefor | HITACHI INDUSTRIAL EQUIPMENT SYSTEMS CO., LTD. |
11104255 | Structure for operational portion in vehicle | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11104272 | System for assisted operator safety using an HMD | MENTOR ACQUISITION ONE, LLC |
11104303 | Data transmission for vehicle intrusion device | NEUROMATIC DEVICES, INC. |
11104449 | Significant weather advisory system | HONEYWELL INTERNTIONAL INC. |
11105308 | Battery pack for selectively providing electrical power to power equipment | BRIGGS & STRATTON, LLC |
11105527 | Building equipment controller with user-configureable inputs and outputs | JOHNSON CONTROLS TYCO IP HOLDINGS LLP |
11105608 | Optical positioning system and operating method thereof | -- |
11105677 | Electronic devices with switchable diffusers | APPLE INC. |
11105749 | Information processing apparatus, information processing method and program | CANON KABUSHIKI KAISHA |
11105969 | Display device and input device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11106033 | Waveguide-based illumination for head mounted display system | MAGIC LEAP, INC. |
11106035 | Virtual reality devices and accessories | -- |
11106037 | Processing a media content based on device movement | SNAP INC. |
11106039 | Single-stream foveal display transport | ATI TECHNOLOGIES ULC |
11106041 | Augmented reality systems and methods with variable focus lens elements | MAGIC LEAP, INC. |
11106070 | Array substrate and manufacturing method of the same and display panel | -- |
11106079 | Display device | -- |
11106100 | Display substrate, display panel, display device and manufacturing method thereof | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
11106113 | Image capturing apparatus controlling manual focus operation amounts before and after autofocus, control method thereof, and storage medium | CANON KABUSHIKI KAISHA |
11106130 | Direct patterning method for a touch panel and touch panel thereof | -- |
11106188 | Methods and systems for the industrial internet of things | STRONG FORCE IOT PORTFOLIO 2016, LLC |
11106201 | Systems and methods for target tracking | SZ DJI TECHNOLOGY CO., LTD. |
11106203 | Systems and methods for augmented stereoscopic display | SZ DJI TECHNOLOGY CO., LTD. |
11106240 | System for color and brightness output management in a dual display device | DELL PRODUCTS L.P. |
11106243 | Display screen configuration | LENOVO (SINGAPORE) PTE. LTD. |
11106245 | Electronic apparatus for controlling size of display and control method thereof | LG ELECTRONICS INC. |
11106246 | Adaptive enclosure for a mobile computing device | INTEL CORPORATION |
11106251 | Operation of the light management application for a mobile device with motion sensor | LEDANCE LLC |
11106254 | Conductive member, conductive film, display device having the same, touch panel, method of producing wiring pattern of conductive member, and method of producing wiring pattern of conductive film | FUJIFILM CORPORATION |
11106260 | Smart charging platform | MICROSOFT TECHNOLOGY LICENSING, LLC |
11106264 | Processor power management | INTEL CORPORATION |
11106273 | System and methods for on-body gestural interfaces and projection displays | OSTENDO TECHNOLOGIES, INC. |
11106274 | Adjusting graphics rendering based on facial expression | INTEL CORPORATION |
11106275 | Virtual 3D methods, systems and software | MINE ONE GMBH |
11106276 | Focus adjusting headset | FACEBOOK TECHNOLOGIES, LLC |
11106277 | Cartoon statistical reading data method and apparatus | NAVER CORPORATION |
11106278 | Operation method for multi-monitor and electronic system using the same | -- |
11106279 | Eye tracking calibration for a surgical robotic system | VERB SURGICAL INC. |
11106280 | On-the-fly calibration for improved on-device eye tracking | APPLE INC. |
11106281 | Providing haptic feedback on a screen | PAYPAL, INC. |
11106282 | Mobile device and control method thereof | -- |
11106283 | Tremor correction for gesture recognition | COMCAST CABLE COMMUNICATIONS, LLC |
11106284 | Determining and evaluating data representing an action to be performed by a robot | AT&T INTELLECTUAL PROPERTY I, L.P. |
11106285 | Keyboard containment system | PIONEER SQUARE BRANDS, INC. |
11106286 | System and method for mood detection via piezo haptic keyboard dynamics | DELL PRODUCTS L.P. |
11106287 | Stratified keyboard | -- |
11106288 | Electronic input system | FAIRFAX |
11106289 | Mouse device | -- |
11106291 | Knob and input device | MITSUBISHI ELECTRIC CORPORATION |
11106292 | Information processing device, communication system, and information processing method | SONY CORPORATION |
11106293 | Electronic pen | WACOM CO., LTD. |
11106294 | Self-capacitance touch screen and display device having the same | SAMSUNG DISPLAY CO., LTD. |
11106295 | Touch-control pixel-driving circuit and method thereof, a touch-control display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11106296 | Shift register unit, driving method thereof, scan driving circuit and display panel | BOE TECHNOLOGY GROUP CO., LTD. |
11106297 | Display apparatus with integrated touch screen | LG DISPLAY CO., LTD. |
11106298 | Touch display panels and touch display devices | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
11106299 | Touch display panel and touch display device | LG DISPLAY CO., LTD. |
11106300 | Display device | JAPAN DISPLAY INC. |
11106301 | Display device | JAPAN DISPLAY INC. |
11106302 | Display device and electronic apparatus | JAPAN DISPLAY INC. |
11106303 | Display device | LG DISPLAY CO., LTD. |
11106304 | Touch display device | -- |
11106305 | Display apparatus having touch electrodes | LG DISPLAY CO., LTD. |
11106306 | System and method for retrieving information from an information carrier by means of a capacitive touch screen | TOUCHCODE TECHNOLOGIES, LLC |
11106307 | Method for low power driving of display and electronic device for performing same | SAMSUNG ELECTRONICS CO., LTD. |
11106308 | Touch detection using multiple simultaneous stimulation signals | APPLE INC. |
11106309 | Electrode touch display | ANEXA LABS LLC |
11106310 | Systems and methods for improving image quality in sensors | IDEX BIOMETRICS ASA |
11106311 | Display device and driving method thereof | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
11106312 | Touch apparatus | FLATFROG LABORATORIES AB |
11106313 | Capacitive sensor system for touch detection | KOSTAL AUTOMOBIL ELEKTRIK GMBH & CO. KG |
11106314 | Continuous calibration of an information handling system projected user interface | DELL PRODUCTS L.P. |
11106315 | Touch screen device facilitating estimation of entity orientation and identity | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11106316 | Display panel having overlapping position detection lead-out lines disposed in different layers | SHARP KABUSHIKI KAISHA |
11106317 | Common mode noise suppression with restoration of common mode signal | SYNAPTICS INCORPORATED |
11106318 | Method and system for transmitting signal, active stylus, touch screen and readable storage medium | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
11106319 | Layer electrode for touch screen | POLYIC GMBH & CO. KG |
11106320 | Touch sensor, method for manufacturing touch sensor, and image display device | FUJIFILM CORPORATION |
11106321 | Touch panel and manufacturing method therefor | TPK GLASS SOLUTIONS (XIAMEN) INC. |
11106322 | Touch screen panel | SAMSUNG DISPLAY CO., LTD. |
11106323 | Input device | MINEBEA MITSUMI INC. |
11106324 | Dynamic rendering of items in space-constrained container in user interface | MICROSOFT TECHNOLOGY LICENSING, LLC |
11106325 | Electronic apparatus and control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11106326 | Portable electronic device, method, and graphical user interface for displaying structured electronic documents | APPLE INC. |
11106327 | System and method for providing real-time product interaction assistance | MIDEA GROUP CO., LTD. |
11106328 | Private control interfaces for extended reality | QUALCOMM INCORPORATED |
11106329 | Flight deck display systems and methods for generating cockpit displays including dynamic taxi turnoff icons | HONEYWELL INTERNATIONAL INC. |
11106330 | Self-serve content classification platform | MICROSOFT TECHNOLOGY LICENSING, LLC |
11106331 | Interactive display with workflow management system | PALANTIR TECHNOLOGIES INC. |
11106332 | Operations log | CODA PROJECT, INC. |
11106334 | Ordering categories in an electronic user interface menu based on user interaction frequency | HOME DEPOT PRODUCT AUTHORITY, LLC |
11106335 | Methods and systems for providing alimentary elements | KPN INNOVATIONS, LLC. |
11106336 | Cognitively optimized user interface for static equipment | -- |
11106337 | Adaptation of user interfaces based on a frustration index | SAP SE |
11106338 | Information processing apparatus and non-transitory computer readable medium storing program | FUJIFILM BUSINESS INNOVATION CORP. |
11106339 | Designing and resizing graphical user interfaces | ADOBE INC. |
11106340 | Information processing apparatus and information processing method | CANON KABUSHIKI KAISHA |
11106341 | Techniques for media album display and management | WHATSAPP LLC |
11106342 | User interfaces to facilitate multiple modes of electronic communication | SNAP INC. |
11106343 | Interactive image recoloring | SNAP INC. |
11106344 | Methods and devices for capturing heuristic information via a weighting tool | DECISIONNEXT, INC. |
11106345 | Integrating related third-party services using dynamically generated user interfaces | VMWARE, INC. |
11106346 | Wireless device battery optimization tool for consumers | CARRIER CORPORATION |
11106347 | Systems and methods for high-scale top-down data analysis | PALANTIR TECHNOLOGIES INC. |
11106348 | User interface apparatus, image forming apparatus, content operation method, and control program | CANON KABUSHIKI KAISHA |
11106349 | Data entry systems | -- |
11106350 | Dynamic and configurable user interface | QUALCOMM INCORPORATED |
11106351 | Evaluating apparatus and terminal device | FUJIFILM BUSINESS INNOVATION CORP. |
11106352 | Devices, methods, and graphical user interfaces for accessing notifications | APPLE INC. |
11106353 | Information processing apparatus and control method | LENOVO (SINGAPORE) PTE. LTD. |
11106354 | Method and device for hiding messages in a messaging group | ADVANCED NEW TECHNOLOGIES CO., LTD. |
11106355 | Drag menu | OPERA NORWAY AS |
11106356 | Smart device with a display that enables simultaneous multi-functional handling of the displayed information and/or data | -- |
11106357 | Low latency tactile telepresence | UNIVERSITY OF CENTRAL FLORIDA RESEARCH FOUNDATION, INC. |
11106358 | Electronic device and method for controlling screen displayed in flexible display which is rollable | SAMSUNG ELECTRONICS CO., LTD. |
11106359 | Interconnection of peripheral devices on different electronic devices | OPEN INVENTION NETWORK LLC |
11106360 | System and method for accelerated I/O access using storage array driver in off-the-shelf server | EMC IP HOLDING COMPANY LLC |
11106361 | Technologies for lockless, scalable, and adaptive storage quality of service | INTEL CORPORATION |
11106362 | Additive library for data structures in a flash memory | EMC IP HOLDING COMPANY LLC |
11106363 | Nonvolatile memory device and operation method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11106364 | Fast locate using imitation reads on tape drives | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11106365 | Flow control of input/output (IO) in a synchronous replication session | EMC IP HOLDING COMPANY LLC |
11106366 | Maintaining consistent write latencies in non-volatile memory devices | SK HYNIX INC. |
11106367 | Apparatuses and methods for encoding and decoding of signal lines for multi-level communication architectures | MICRON TECHNOLOGY, INC. |
11106368 | Solid state drive and a method for metadata access | SAMSUNG ELECTRONICS CO., LTD. |
11106369 | Host-accessible storage device service level indicators | AMAZON TECHNOLOGIES, INC. |
11106370 | Changing of memory components to be used for a stripe based on an endurance condition | MICRON TECHNOLOGY, INC. |
11106371 | I/O path reservation with out of band management | HITACHI, LTD. |
11106372 | Asynchronous power loss handling approach for a memory sub-system | MICRON TECHNOLOGY, INC. |
11106373 | Flash interface for processing dataset | EMC IP HOLDING COMPANY LLC |
11106374 | Managing inline data de-duplication in storage systems | EMC IP HOLDING COMPANY LLC |
11106375 | Deduplication of encrypted data within a remote data store | NETAPP, INC. |
11106376 | Method of storage allocation, electronic device, storage system and computer program product | DELL PRODUCTS L.P. |
11106377 | Storage management method, device and computer-readable medium | EMC IP HOLDING COMPANY LLC |
11106378 | Record information management based on self describing attributes | AT&T INTELLECTUAL PROPERTY I, L.P. |
11106379 | Multi cloud asynchronous active/active transactional storage for availability | EMC IP HOLDING COMPANY LLC |
11106380 | Migration of storage for workloads between desktop and cloud environments | VMWARE, INC. |
11106381 | Automated seamless migration of logical storage devices | EMC IP HOLDING COMPANY LLC |
11106382 | Method, device and computer program product for storage management | EMC IP HOLDING COMPANY LLC |
11106383 | Robot control apparatus, and method and program for preserving backup file | NIDEC CORPORATION |
11106384 | Storage management system and method | EMC IP HOLDING COMPANY LLC |
11106385 | System and method for generating application-consistent snapshots | EMC IP HOLDING COMPANY LLC |
11106386 | Dynamic throttling of capacity reclamation | AMAZON TECHNOLOGIES, INC. |
11106387 | Storage system with allocated storage device mechanism and method of operation thereof | ENMOTUS, INC. |
11106388 | Monitoring storage cluster elements | NETAPP, INC. |
11106389 | Apparatuses and methods for data transfer from sensing circuitry to a controller | MICRON TECHNOLOGY, INC. |
11106390 | Combining in-process reads to reduce die collisions | SEAGATE TECHNOLOGY LLC |
11106391 | Managing a memory system including memory devices with different characteristics | MICRON TECHNOLOGY, INC. |
11106392 | Memory system and operating method thereof | SK HYNIX INC. |
11106393 | Latency-based scheduling of command processing in data storage devices | MICRON TECHNOLOGY, INC. |
11106394 | Storing an entity as an object or a file in a hybrid storage system based on an accessing protocol | EMC IP HOLDING COMPANY LLC |
11106395 | Application execution apparatus and application execution method | HITACHI, LTD. |
11106396 | Memory apparatus and compensation method for computation result thereof | -- |
11106397 | Thin provisioning of raid storage | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11106398 | Added output functions for saved jobs on multi-function devices | XEROX CORPORATION |
11106399 | Printing control method with printing target data generation, storage medium and information processing apparatus | CANON KABUSHIKI KAISHA |
11106400 | Image printing apparatus printing obtained image based on a satisfied print permission condition, control method therefor, and storage medium storing control program therefor | CANON KABUSHIKI KAISHA |
11106401 | Print instruction apparatus, print system, and non-transitory computer readable medium | FUJIFILM BUSINESS INNOVATION CORP. |
11106402 | Information processing system providing a predetermined notification, information processing method, and server system | CANON KABUSHIKI KAISHA |
11106403 | Image forming apparatus and non-transitory computer readable medium | FUJIFILM BUSINESS INNOVATION CORP. |
11106404 | Template generation for tiling for appearance of overlap | KYOCERA DOCUMENT SOLUTIONS INC. |
11106405 | Printer and printer search system | TOSHIBA TEC KABUSHIKI KAISHA |
11106406 | Printing device and communication processing system | BROTHER KOGYO KABUSHIKI KAISHA |
11106407 | Media processing apparatus and method of controlling media processing apparatus | SEIKO EPSON CORPORATION |
11106408 | Printing control apparatus, controlling method, and a storage medium | CANON KABUSHIKI KAISHA |
11106409 | Feed instruction apparatus, method, and storage medium | CANON KABUSHIKI KAISHA |
11106410 | Automated template generation for tiling | KYOCERA DOCUMENT SOLUTIONS INC. |
11106411 | File management apparatus, non-transitory computer readable medium storing file management program, and file management system | FUJIFILM BUSINESS INNOVATION CORP. |
11106412 | Job processing apparatus, method of controlling job processing apparatus, and storage medium | CANON KABUSHIKI KAISHA |
11106413 | Method and system for multi-mode data object transformation and delivery | PAYMENTUS CORPORATION |
11106414 | Printing system, printing method, information processing apparatus | SEIKO EPSON CORPORATION |
11106415 | Module receiving specific value from higher-level module and generating setting value using specific value | BROTHER KOGYO KABUSHIKI KAISHA |
11106416 | Cable for connecting an image displaying device to a digital computer network | INNSPIRE INTELLIGENT HOTELS AB |
11106417 | Collaboration systems with managed screen sharing | AIRWATCH, LLC |
11106418 | Image display apparatus, image output apparatus, control methods thereof, and storage medium | CANON KABUSHIKI KAISHA |
11106419 | Multi-screen display adjusting system and multi-screen display adjusting method | SHARP NEC DISPLAY SOLUTIONS, LTD. |
11106420 | Method, device, system and storage medium for information transmission and data processing | ALIBABA GROUP HOLDING LIMITED |
11106421 | Display method and system for wireless intelligent multi-screen display | -- |
11106422 | Method for processing display data | GOERTEK INC. |
11106423 | Evaluating calibration of a playback device | SONOS, INC. |
11106424 | Synchronizing operations among a plurality of independently clocked digital data processing devices | SONOS, INC. |
11106425 | Synchronizing operations among a plurality of independently clocked digital data processing devices | SONOS, INC. |
11106426 | Vehicle conversation information output device and conversation information output method | KAWASAKI JUKOGYO KABUSHIKI KAISHA |
11106442 | Information technology networked entity monitoring with metric selection prior to deployment | SPLUNK INC. |
11106447 | Virtualized file server user views | NUTANIX, INC. |
11106470 | Updating setting values in a print presetting and selectively applying the presetting updates to parts of print workflows | CANON KABUSHIKI KAISHA |
11106531 | Flash memory controller, storage device and reading method thereof | -- |
11106534 | 3-dimensional NAND flash layer variation aware SSD raid | WESTERN DIGITAL TECHNOLOGIES, INC. |
11106545 | Systems and methods for continuous data protection | RUBRIK, INC. |
11106578 | Memory controller, memory system and operating method of memory device | SK HYNIX INC. |
11106588 | Deferred method of allocating disk space for lightning segments | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11106597 | Controller, data storage device and operating method thereof | SK HYNIX INC. |
11106605 | Enhanced tape drive communication | EMC IP HOLDING COMPANY LLC |
11106609 | Priority scheduling in queues to access cache data in a memory sub-system | MICRON TECHNOLOGY, INC. |
11106622 | Firmware update architecture with OS-BIOS communication | DELL PRODUCTS L.P. |
11106635 | Computer system, file storage controller, and data sharing method | HITACHI, LTD. |
11106647 | Dimension limits in information mining and analysis | QLIKTECH INTERNATIONAL AB |
11106667 | Transactional scanning of portions of a database | AMAZON TECHNOLOGIES, INC. |
11106701 | Systems and methods for attribute analysis of one or more databases | PALANTIR TECHNOLOGIES INC. |
11106706 | Geospatial visualization and query tool | NATIONWIDE MUTUAL INSURANCE COMPANY |
11106721 | Line-of-sight-based content-sharing dynamic ad-hoc networks | EVERYSIGHT LTD. |
11106734 | Query execution using containerized state-free search nodes in a containerized scalable environment | SPLUNK INC. |
11106744 | Search engine | NEWSPLUG, INC. |
11106745 | Method and apparatus for notifying a user of updated content for a webpage | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
11106756 | Enhanced browser tab management | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11106758 | Customized display of filtered social media content using a private dislike button | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11106760 | Enhanced metadata collection and output | EINSTEIN INDUSTRIES, INC. |
11106769 | Information processing apparatus and information processing method | SONY CORPORATION |
11106772 | System and method for continuous user identification via piezo haptic keyboard and touchpad dynamics | DELL PRODUCTS L.P. |
11106779 | Method and system for improved data control and access | CIGENT TECHNOLOGY, INC. |
11106786 | Emulator detection through user interactions | PAYPAL, INC. |
11106810 | Multi-tenant deduplication with non-trusted storage system | EMC IP HOLDING COMPANY LLC |
11106811 | Object storage for guaranteed content for backup and retention | EMC IP HOLDING COMPANY LLC |
11106842 | Structural design systems and methods for floor plan simulation and modeling in mass customization of equipment | BEAMUP LTD. |
11106856 | Document architecture with fragment-driven role based access controls | OPEN TEXT SA ULC |
11106860 | Common database for live operation and testing of a website | WIX.COM LTD. |
11106863 | User-specified replacement of terms in messaging | SNAP INC. |
11106865 | Sound on charts | MICROSOFT TECHNOLOGY LICENSING, LLC |
11106881 | Print recognition module and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11106885 | Touch panels with fingerprint identification film layer and touch devices | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
11106886 | Combined fingerprint recognition touch sensor, electronic apparatus including the same, and fingerprint enrollment method | SAMSUNG ELECTRONICS CO., LTD. |
11106896 | Methods and apparatus for multi-task recognition using neural networks | INTEL CORPORATION |
11106899 | Electronic device, avatar facial expression system and controlling method thereof | INDUSTRY UNIVERSITY COOPERATION FOUNDATION HANYANG UNIVERSITY |
11106901 | Method and system for recognizing user actions with respect to objects | ALIBABA GROUP HOLDING LIMITED |
11106905 | Multi-character text input system with audio feedback and word completion | CERENCE OPERATING COMPANY |
11106915 | Generating in a gaze tracking device augmented reality representations for objects in a user line-of-sight | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11106929 | Foveated optimization of TV streaming and rendering content assisted by personal devices | SONY INTERACTIVE ENTERTAINMENT INC. |
11106934 | Automatic visual display overlays of contextually related data from multiple applications | INNOVACCER INC. |
11106952 | Alternative modalities generation for digital content based on presentation context | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11106955 | Printer | BROTHER KOGYO KABUSHIKI KAISHA |
11106986 | Systems and methods for modeling machine learning and data analytics | DATATRON TECHNOLOGIES INC. |
11107021 | Presenting and manipulating task items | MICROSOFT TECHNOLOGY LICENSING, LLC |
11107042 | Electronic device and method for selectively applying message actions | BLACKBERRY LIMITED |
11107047 | Electronic device providing electronic payment function and operating method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11107060 | GUI-based wallet program for online transactions | PAYPAL, INC. |
11107090 | System and method for automated content generation | EPIANCE SOFTWARE PVT. LTD. |
11107095 | Method for display control, display control device, and recording medium | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11107101 | Computing estimated value of providing service among geographical regions | UBER TECHNOLOGIES, INC. |
11107103 | Multi-card overlay display | ADVANCED NEW TECHNOLOGIES CO., LTD. |
11107121 | Master computing device and method for determining an actual number of impressions provided by a synchronized group of devices | BROADSIGN SERV, INC. |
11107150 | Ad Hoc item Geo temporal location and allocation apparatuses, methods and systems | BEACHY CO. |
11107153 | Interface including passive touch sensitive input device | PALO ALTO RESEARCH CENTER INCORPORATED |
11107177 | Data/metadata synchronization using metadata queue statistics | AMAZON TECHNOLOGIES, INC. |
11107184 | Virtual object translation | ADOBE INC. |
11107185 | Automatic image inpainting using local patch statistics | SNAP INC. |
11107186 | Media content management for a fixed orientation display | GOOGLE LLC |
11107203 | Image processing method and display apparatus therefor providing shadow effect | SAMSUNG ELECTRONICS CO., LTD. |
11107236 | Projected augmented reality interface with pose tracking for directing manual processes | -- |
11107254 | Calligraphy-painting device, calligraphy-painting apparatus, and auxiliary method for calligraphy painting | BOE TECHNOLOGY GROUP CO., LTD. |
11107255 | Interactive augmented reality system | SNAP INC. |
11107257 | Systems and methods of generating playful palettes from images | ADOBE INC. |
11107258 | Providing a dark viewing mode while preserving formatting | MICROSOFT TECHNOLOGY LICENSING, LLC |
11107259 | Information terminal, biological information management method, biological information management program and computer-readable storage medium | NIHON KOHDEN CORPORATION |
11107262 | Avatar facial expression representation in multidimensional space | MAGIC LEAP, INC. |
11107265 | Holographic palm raycasting for targeting virtual objects | MICROSOFT TECHNOLOGY LICENSING, LLC |
11107282 | Using comfort measurements to suggest virtual reality content | APPLE INC. |
11107286 | Synchronized effects for multi-user mixed reality experiences | DISNEY ENTERPRISES, INC. |
11107287 | Information processing apparatus and information processing method | SONY CORPORATION |
11107293 | Head mounted display system capable of assigning at least one predetermined interactive characteristic to a virtual object in a virtual environment created according to a real object in a real environment, a related method and a related non-transitory computer readable storage medium | -- |
11107295 | Element alignment for hangers in computer-aided design | APPLIED SOFTWARE TECHNOLOGY, INC. |
11107336 | Wireless device configured for powering transmissions with harvested energy | NEXITE LTD. |
11107362 | System and method for collaborative instruction | EXPLOROS, INC. |
11107373 | Flexible display device | SAMSUNG DISPLAY CO., LTD. |
11107432 | Pulse output circuit, shift register, and display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11107436 | Image processing device and image processing method | SONY INTERACTIVE ENTERTAINMENT INC. |
11107438 | Control method for display device and display device | SEIKO EPSON CORPORATION |
11107444 | Light field displays having synergistic data formatting, re-projection, foveation, tile binning and image warping technology | INTEL CORPORATION |
11107465 | Natural conversation storytelling system | STORYFILE, LLC |
11107466 | Digital assistant voice input integration | MICROSOFT TECHNOLOGY LICENSING, LLC |
11107467 | Method for voice recognition and electronic device for performing same | SAMSUNG ELECTRONICS CO., LTD. |
11107469 | Information processing apparatus and information processing method | SONY CORPORATION |
11107485 | Converting a single-bit audio stream to a stream of symbols with a constant edge rate | CIRRUS LOGIC, INC. |
11107503 | Pre-production systems for making movies, TV shows and multimedia contents | WEMOVIE TECHNOLOGIES |
11107518 | Extending operating temperature of storage device | WESTERN DIGITAL TECHNOLOGIES, INC. |
11107533 | Memory with improved cross temperature reliability and read performance | MICRON TECHNOLOGY, INC. |
11107538 | Storage device and operating method thereof | SK HYNIX INC. |
11107539 | Semiconductor device and its power supply control method | RENESAS ELECTRONICS CORPORATION |
11107564 | Accession number correction system | ENLITIC, INC. |
11107567 | Physical activity and workout monitor with a progress indicator | APPLE INC. |
11107568 | Versatile data structure for workout session templates and workout sessions | MYFITNESSPAL, INC. |
11107580 | User interfaces for health applications | APPLE INC. |
11107581 | Senior living engagement and care support platforms | STATE FARM MUTUAL AUTOMOBILE INSURANCE COMPANY |
11107585 | System and method for a digital consumer medical wallet and storehouse | RELIANT IMMUNE DIAGNOSTICS, INC |
11107842 | Pixel array substrate | -- |
11107874 | Flexible display device having reduced strain during bending or rolling | SAMSUNG DISPLAY CO., LTD. |
11107972 | Nanovoided tunable optics | FACEBOOK TECHNOLOGIES, LLC |
11108003 | Flexible display apparatus | SAMSUNG DISPLAY CO., LTD. |
11108021 | Display panel and display apparatus | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
11108024 | Display apparatus having arbitrary shape | SAMSUNG DISPLAY CO., LTD. |
11108127 | Rectangular waveguide communication between memory and processor | MICRON TECHNOLOGY, INC. |
11108422 | Device and method of verifying protective case usage | CASEFAX INC. |
11108423 | Active cover for electronic device | STAR CO SCIENTIFIC TECHNOLOGIES ADVANCED RESEARCH CO, LLC |
11108578 | Method for managing collaborative playlists | GOOGLE LLC |
11108586 | Infotainment apparatus of vehicle, and control method for the same | HYUNDAI MOTOR COMPANY |
11108635 | Guided configuration item class creation in a remote network management platform | SERVICENOW, INC. |
11108668 | Server, non-transitory computer-readable medium storing computer-readable instructions for server and non-transitory computer-readable medium storing computer-readable instructions for terminal device | BROTHER KOGYO KABUSHIKI KAISHA |
11108706 | Data transfer circuit, data transfer system, and method for controlling data transfer circuit | SONY CORPORATION |
11108715 | Processing media content based on original context | SNAP INC. |
11108721 | Systems and methods for media content communication | -- |
11108722 | Data processing terminals, icon badges, and methods of making and using the same | -- |
11108741 | System and method for the separation of systems that work together | -- |
11108765 | Information processing system, control method, and storage medium therefor | CANON KABUSHIKI KAISHA |
11108788 | Techniques for managing projects and monitoring network-based assets | RISKIQ, INC. |
11108827 | Application gateway architecture with multi-level security policy and rule promulgations | OPEN TEXT SA ULC |
11108845 | Rendering a web application in a cloud service | CITRIX SYSTEMS, INC. |
11108848 | Methods and systems for use in providing a remote user interface | SATURN LICENSING LLC |
11108852 | Load balanced network file accesses | WEKA.IO LTD. |
11108864 | System and method for client policy assignment in a data storage system | COMMVAULT SYSTEMS, INC. |
11108879 | Transfer server and controlling method | CANON KABUSHIKI KAISHA |
11108889 | Automatically resolving, with reduced user inputs, a set of activity instances for a group of users | GOOGLE LLC |
11108921 | Storage medium storing creation and transmission programs, and information processing apparatus for creating second image data based on first image data according to specification information of image processing device | BROTHER KOGYO KABUSHIKI KAISHA |
11108925 | Multi-function peripheral and image recording apparatus | BROTHER KOGYO KABUSHIKI KAISHA |
11108928 | Interruption handling in an image forming device | FUJIFILM BUSINESS INNOVATION CORP. |
11108933 | Color conversion apparatus, non-transitory computer readable medium, and image forming apparatus | FUJIFILM BUSINESS INNOVATION CORP. |
11108945 | Electronic apparatus, method for controlling the electronic apparatus, and storage medium | CANON KABUSHIKI KAISHA |
11108974 | Supplementing video material | IDEMIA IDENTITY & SECURITY GERMAN AG |
11108990 | Information processing apparatus, information processing system, and non-transitory computer readable medium storing program | FUJIFILM BUSINESS INNOVATION CORP. |
11108996 | Two-way intercept using coordinate tracking and video classification | BANK OF AMERICA CORPORATION |
11109054 | Video encoding method and video decoding method | MAXELL, LTD. |
11109105 | Graphical user interface for insights on viewing of media content | SHARP NEC DISPLAY SOLUTIONS, LTD. |
11109110 | Systems and methods for conflict detection based on user preferences | ROVI GUIDES, INC. |
11109118 | Hub and electronic device including the same | LG ELECTRONICS INC. |
11109128 | Speaker apparatus | NOKIA TECHNOLOGIES OY |
11109173 | Method to determine loudspeaker change of placement | APPLE INC. |
11109191 | Method for displaying current geographical location on emergency call screen and terminal | HUAWEI TECHNOLOGIES CO., LTD. |
11109469 | Determining a lighting configuration based on context | LEXI DEVICES, INC. |
11109694 | Smart makeup mirror device having a display and integrating with an artificial intelligence voice assistant | ICON AI INC. |
11109777 | Kinetic assessment and alignment of the muscular-skeletal system and method therefor | ORTHOSENSOR, INC. |
11109792 | Signal color morphology | BIOSENSE WEBSTER (ISRAEL) LTD. |
11109858 | Surgical instrument including a display which displays the position of a firing element | CILAG GMBH INTERNATIONAL |
11109878 | Surgical clip applier comprising an automatic clip feeding system | CILAG GMBH INTERNATIONAL |
11109930 | Enhanced haptic feedback system | COVIDIEN LP |
11110009 | Welding helmet for detecting arc data | ILLINOIS TOOL WORKS INC. |
11110344 | Information processing system, information processing device, controller device and accessory | NINTENDO CO., LTD. |
11110346 | Game processing system, method of processing game, and storage medium storing program for processing game | GREE, INC. |
11110350 | Multiplayer teleportation and summoning | INTUITIVE RESEARCH AND TECHNOLOGY CORPORATION |
11110724 | Printing apparatus | SCREEN HOLDINGS CO., LTD. |
11110798 | Multi-function vehicle input apparatuses with operational buttons for vehicle systems control and methods incorporating the same | TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC. |
11110915 | Auto park human machine interface display based control | PANASONIC AUTOMOTIVE SYSTEMS COMPANY OF AMERICA, DIVISION OF PANASONIC CORPORATION OF NORTH AMERICA |
11111031 | Flight control management using touchscreen interfaces | ROCKWELL COLLINS, INC. |
11111396 | Transparent films with control of light hue using nanoscale colorants | C3 NANO, INC. |
11112071 | Light emitting device modules | SAMSUNG ELECTRONICS CO., LTD. |
11112164 | Refrigerator | SAMSUNG ELECTRONICS CO., LTD. |
11112261 | Navigation methods and apparatus for the visually impaired | BROWN UNIVERSITY |
11112262 | Method and system for planning a path of a vehicle | DEERE & COMPANY |
11112313 | Sensor and automatic calibration method applied thereto | -- |
11112602 | Method, apparatus and system for determining line of sight, and wearable eye movement device | BEIJING 7INVENSUN TECHNOLOGY CO., LTD. |
11112606 | Multiple layer projector for a head-mounted display | FACEBOOK TECHNOLOGIES, LLC |
11112611 | Wearable pupil-forming display apparatus | RAYTRX, LLC |
11112613 | Integrated augmented reality head-mounted display for pupil steering | FACEBOOK TECHNOLOGIES, LLC |
11112637 | Liquid crystal display device | LG DISPLAY CO., LTD. |
11112638 | Panel and method for manufacturing panel with minimal border area | -- |
11112666 | Array substrate and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11112725 | Consumable chip, consumable and consumable communication method | HANGZHOU CHIPJET TECHNOLOGY CO., LTD |
11112817 | Control stick | DEFOND ELECTECH CO., LTD. |
11112823 | Display panel and display device having the same | SAMSUNG ELECTRONICS CO., LTD. |
11112826 | Electronic device of sliding type | SAMSUNG ELECTRONICS CO., LTD. |
11112827 | Electronic device with glass housing member | APPLE INC. |
11112830 | Multiple-element light-bending structures for minimizing display borders | APPLE INC. |
11112831 | System and method for touch interaction on a multi-display device | MOTOROLA MOBILITY LLC |
11112835 | Adjustable ergonomic keyboard | KEY OVATION, LLC |
11112838 | Electronic device and method for changing location of preview image according to direction of camera | SAMSUNG ELECTRONICS CO., LTD. |
11112851 | Apparatus for driving a touch pad and a portable terminal having the same | SAMSUNG ELECTRONICS CO., LTD. |
11112852 | Modification of when workloads access data units and/or on which storage devices data units are stored to conserve power | LENOVO ENTERPRISE SOLUTIONS (SINGAPORE) PTE. LTD. |
11112856 | Transition between virtual and augmented reality | LOGITECH EUROPE S.A. |
11112857 | Information processing apparatus, information processing method, and program | SONY INTERACTIVE ENTERTAINMENT INC. |
11112858 | Electronic device displays an image of an obstructed target | -- |
11112859 | Electronic device displays an image of an obstructed target | -- |
11112860 | Helmet tracker buffeting compensation | BAE SYSTEMS PLC |
11112861 | Determination of a spatial orientation | ROBERT BOSCH GMBH |
11112862 | Viewing system with interpupillary distance compensation based on head motion | MAGIC LEAP, INC. |
11112863 | Eye center of rotation determination, depth plane selection, and render camera positioning in display systems | MAGIC LEAP, INC. |
11112864 | Display device and display system including the same | SAMSUNG DISPLAY CO., LTD. |
11112865 | Systems and methods for using a display as an illumination source for eye tracking | FACEBOOK TECHNOLOGIES, LLC |
11112866 | Electronic device | KYOCERA CORPORATION |
11112867 | Surfacing related content based on user interaction with currently presented content | PAYPAL, INC. |
11112868 | Haptic device for variable bending resistance | FACEBOOK TECHNOLOGIES, LLC |
11112869 | Epidermal virtual reality devices | NORTHWESTERN UNIVERSITY |
11112870 | Electromagnetic feedback actuator for an operating element and arrangement having at least one electromagnetic feedback actuator | KOSTAL AUTOMOBIL ELEKTRIK GMBH & CO. KG |
11112871 | Display panel, display device and deformation unit with haptic feedback | BOE TECHNOLOGY GROUP CO., LTD. |
11112872 | Method, apparatus and computer program for user control of a state of an apparatus | NOKIA TECHNOLOGIES OY |
11112873 | Method for operating a display device for a motor vehicle and motor vehicle | SMR PATENTS S.À.R.L. |
11112874 | Methods and systems for monitoring and influencing gesture-based behaviors | SOMATIX, INC. |
11112875 | Methods and systems for controlling a device using hand gestures in multi-user environment | HUAWEI TECHNOLOGIES CO., LTD. |
11112876 | Operation input device | KABUSHIKI KAISHA TOKAI RIKA DENKI SEISAKUSHO |
11112877 | Keyboard scanning circuit and control method thereof | -- |
11112878 | Keyboards with configurable displays | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11112879 | Multi-mode keyboard | PRO-BOARDS, LLC |
11112880 | Selectively controlling a monitor's on-screen display with a keyboard or mouse | DELL PRODUCTS L.P. |
11112881 | Systems and methods for identifying user-operated features of input interfaces obfuscating user navigation | MICROSOFT TECHNOLOGY LICENSING, LLC |
11112882 | Input or control device with variable controls configuration | -- |
11112883 | Keyboard having keys with configurable surface displays | DELL PRODUCTS L.P. |
11112884 | Optical navigation scheme capable of saving more power based on variable frame rate as well as outputting enough displacement/position data to achieve precise motion tracking | -- |
11112885 | Rotary input device | -- |
11112886 | Model and detachable controller for augmented reality / virtual reality experience | DISNEY ENTERPRISES, INC. |
11112887 | Removable button members for electronic pointing devices | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11112888 | Pressure sensitive stylus | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11112889 | Electronic device and method for mapping function of electronic device to operation of stylus pen | SAMSUNG ELECTRONICS CO., LTD. |
11112890 | Stylus pen | HIDEEP INC. |
11112891 | Touch pen, control method thereof, touch device and readable storage medium | HEFEI BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11112892 | Stylus pen | MITSUBISHI PENCIL COMPANY, LIMITED |
11112893 | Display device with piezoelectric element | MURATA MANUFACTURING CO., LTD. |
11112894 | Method for manufacturing touch panel, touch panel and display device | WUHAN CHINA STAR OPTOELECTRONICS |
11112895 | Active matrix substrate, touch-panel-equipped display device including same, and liquid crystal display device including same | SHARP KABUSHIKI KAISHA |
11112896 | Display device having fracture resistance | SAMSUNG DISPLAY CO., LTD. |
11112897 | Organic light-emitting display panel and preparation method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11112898 | Force touch structure, force touch panel and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11112899 | Customizable user interface | HONEYWELL INTERNATIONAL INC. |
11112900 | Method and apparatus for controlling vehicular user interface under driving condition | HYUNDAI MOTOR COMPANY |
11112901 | Displays and information input devices | POWER2B, INC. |
11112902 | Touch operation processing method and terminal device | HUAWEI DEVICE (DONGGUAN) CO., LTD. |
11112903 | Display device | -- |
11112904 | Double-sided touch-sensitive panel with shield and drive combined layer | APPLE INC. |
11112905 | Vehicular components comprising sensors | TACTUAL LABS CO. |
11112906 | Input device, method of controlling input device, and program | ALPS ALPINE CO., LTD. |
11112907 | Electronic device and method for controlling same | CANON KABUSHIKI KAISHA |
11112908 | Method of determining whether touch data valid, and device and storage medium for the same | HEFEI BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11112909 | Systems for real-time intelligent haptic correction to typing errors and methods thereof | CAPITAL ONE SERVICES, LLC |
11112910 | Sensor including first optical member with reflection property and second optical member with absorption property capable of absorbing light reflected by first optical member and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11112911 | Display device | LG DISPLAY CO., LTD. |
11112912 | Calibration device and method for frequency point in touch screen, touch screen and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11112913 | Touch screen, manufacturing method thereof, touch display panel, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11112914 | Force operation sensing device with common noise cancellation | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11112915 | Electronic devices with covers | APPLE INC. |
11112916 | Display device including detection device | JAPAN DISPLAY INC. |
11112917 | Method and device for improved accuracy of proximity and touch detection in mobile devices | SEMTECH CORPORATION |
11112918 | Touch display device having fingerprint recognition function | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11112919 | Mutual capacitive touch substrate, display apparatus, touch panel, method of driving mutual capacitive touch substrate, and driver circuit | BOE TECHNOLOGY GROUP CO., LTD. |
11112920 | Input device and image data calculation method | ALPS ALPINE CO., LTD. |
11112921 | Touch display panel | -- |
11112922 | Capacitive touch sensor apparatus having branching electrodes | 1004335 ONTARIO INC. CARRYING ON BUSINESS AS A D METRO |
11112923 | Touch screen, manufacturing method thereof, and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11112924 | Touch substrate and touch device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11112925 | Supervisor engine for process control | FISHER-ROSEMOUNT SYSTEMS, INC. |
11112926 | User interface system for display scaling events | ADVANCED MICRO DEVICES, INC. |
11112927 | Digital content automated layout system | ADOBE INC. |
11112928 | Avoiding bad input during user interface layout changes using snapshots | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11112929 | Personalized graphical user interfaces for enterprise-related actions | SERVICENOW, INC. |
11112930 | Computer-implemented environment for creating, sharing, and storing documents in a modular format | -- |
11112931 | System and method for providing three-dimensional graphical user interface | -- |
11112932 | Light-emitting user input device | MAGIC LEAP, INC. |
11112933 | System and method for distributing an avatar | AT&T INTELLECTUAL PROPERTY I, L.P. |
11112934 | Systems and methods of generating augmented reality (AR) objects | QUALCOMM INCORPORATED |
11112935 | Graphical cloud application mapping method and system | ORACLE INTERNATIONAL CORPORATION |
11112936 | Communication device | -- |
11112937 | Incident management system and method with card-based workflow integration and map thumbnail hot zones | MOTOROLA SOLUTIONS, INC. |
11112938 | Method and apparatus for filtering object by using pressure | HUAWEI TECHNOLOGIES CO., LTD. |
11112939 | Dynamic updates for configurable menu items | SERVICENOW, INC. |
11112940 | Information processing device and information processing method | SONY CORPORATION |
11112941 | Content item creation from desktop tray | DROPBOX, INC. |
11112942 | Providing content via multiple display devices | AMAZON TECHNOLOGIES, INC. |
11112943 | Electronic devices and corresponding methods for using episodic data in media content transmission preclusion overrides | MOTOROLA MOBILITY LLC |
11112944 | Keyboard navigation menu | SAP SE |
11112945 | Content detection and transmission in response to receiving user interactions | SNAP INC. |
11112946 | Interface information display method and apparatus | BEIJING JINGDONG SHANGKE INFORMATION TECHNOLOGY CO., LTD. |
11112947 | Display device and operation method thereof | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11112948 | Dynamic preview in a file browser interface | DROPBOX, INC. |
11112949 | Personal control apparatus and method for sharing information in a collaborative workspace | STEELCASE INC. |
11112950 | Personalizing application interfaces based on usage | CONDUENT BUSINESS SERVICES, LLC |
11112951 | Method, device and storage medium for displaying content in notification bar | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11112952 | Interface for display of multi-layer images in digital microscopy | MICROSCOPES INTERNATIONAL, LLC |
11112953 | Method for storing image and electronic device thereof | SAMSUNG ELECTRONICS CO., LTD. |
11112954 | Control method and recording medium | PANASONIC CORPORATION |
11112955 | Nautical chart display device, nautical chart display method, and nautical chart display program | FURUNO ELECTRIC CO., LTD. |
11112956 | Device, method, and graphical user interface for switching between camera interfaces | APPLE INC. |
11112957 | Devices, methods, and graphical user interfaces for interacting with a control object while dragging another object | APPLE INC. |
11112958 | Information processing system, service providing system, and flow setting method | RICOH COMPANY, LTD. |
11112959 | Linking multiple windows in a user interface display | APPLE INC. |
11112960 | Method for generating and reproducing multimedia content, electronic device for performing same, and recording medium in which program for executing same is recorded | -- |
11112961 | Information processing system, information processing method, and program for object transfer between devices | SONY CORPORATION |
11112962 | Content-based directional placement application launch | MICROSOFT TECHNOLOGY LICENSING, LLC |
11112963 | Devices, methods, and graphical user interfaces for messaging | APPLE INC. |
11112964 | Media capture lock affordance for graphical user interface | APPLE INC. |
11112965 | Advanced methods and systems for text input error correction | IDELAN, INC. |
11112966 | Touchscreen display including repositionable virtual input | XEROX CORPORATION |
11112967 | Method and apparatus for displaying user interface, terminal and storage medium | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11112968 | Method, system, and graphical user interface for providing word recommendations | APPLE INC. |
11112969 | System and method for managing GUI of virtual NVMe entities in NVMe over fabric appliance | TOSHIBA MEMORY CORPORATION |
11112970 | Software system logging based on runtime analysis | SAP SE |
11112971 | Storage device, data management method, and data management program | HITACHI, LTD. |
11112972 | System and method for accelerated data processing in SSDs | SAMSUNG ELECTRONICS CO., LTD. |
11112973 | Computer system and data management method | HITACHI, LTD. |
11112974 | Dynamic cache management in storage devices | AMAZON TECHNOLOGIES, INC. |
11112975 | Background migration of virtual storage | MICROSOFT TECHNOLOGY LICENSING, LLC |
11112976 | Data storage device that stores multiple values corresponding to multiple keys in a page | SAMSUNG ELECTRONICS CO., LTD. |
11112977 | Filesystem enhancements for unified file and object access in an object storage cloud | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11112978 | Routing to obtain user data in a geographically distributed data storage environment | EMC IP HOLDING COMPANY LLC |
11112979 | Runtime memory allocation to avoid and delay defect effects in memory sub-systems | MICRON TECHNOLOGY, INC. |
11112980 | Method and apparatus for allocating memory space for driving neural network | SAMSUNG ELECTRONICS CO., LTD. |
11112981 | Apparatus and method and computer program product for configuring impedance of memory interfaces | -- |
11112982 | Power optimization for memory subsystems | MICRON TECHNOLOGY, INC. |
11112983 | Recovery of memory device from a reduced power state | MICRON TECHNOLOGY, INC. |
11112984 | Database driven approach to format text, color, and display of messages on various displays | HONEYWELL INTERNATIONAL INC. |
11112985 | Detecting data deduplication opportunities using hash distance | EMC IP HOLDING COMPANY LLC |
11112986 | Systems and methods for storing information within hybrid storage with local and cloud-based storage devices | VERITAS TECHNOLOGIES LLC |
11112987 | Optmizing data deduplication | EMC IP HOLDING COMPANY LLC |
11112988 | System and apparatus for transferring data between communication elements | AT&T INTELLECTUAL PROPERTY I, L.P. |
11112989 | Utilizing a decentralized storage network for data storage | PURE STORAGE, INC. |
11112990 | Managing storage device evacuation | PURE STORAGE, INC. |
11112991 | Scaling-in for geographically diverse storage | EMC IP HOLDING COMPANY LLC |
11112992 | Apparatus and non-transitory computer readable medium | FUJIFILM BUSINESS INNOVATION CORP. |
11112993 | Methods and systems for memory suspect detection | TATA CONSULTANCY SERVICES LIMITED |
11112994 | Memory device with microbumps to transmit data for a machine learning operation | MICRON TECHNOLOGY, INC. |
11112995 | Systems and methods for random to sequential storage mapping | ATAVIUM, INC. |
11112996 | Computer, computer system, and data quantity restriction method | HITACHI, LTD. |
11112997 | Storage device and operating method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11112998 | Operation instruction scheduling method and apparatus for nand flash memory device | DERA CO., LTD. |
11112999 | Optimizing I/O latency by software stack latency reduction in a cooperative thread processing model | EMC IP HOLDING COMPANY LLC |
11113000 | Techniques for efficiently accessing values spanning slabs of memory | NETFLIX, INC. |
11113001 | Fabric driven non-volatile memory express subsystem zoning | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11113002 | Command overlap checking in a data storage device | SEAGATE TECHNOLOGY LLC |
11113003 | Storage apparatus, storage control device, and recording medium with execution command pausing or stopping | FUJITSU LIMITED |
11113004 | Mobility and management layer for multi-platform enterprise data storage | ARRIKTO INC. |
11113005 | Multi-platform data storage system supporting containers of virtual storage resources | ARRIKTO INC. |
11113006 | Dynamic data placement for collision avoidance among concurrent write streams | MICRON TECHNOLOGY, INC. |
11113007 | Partial execution of a write command from a host system | MICRON TECHNOLOGY, INC. |
11113008 | Data restoration using partially encoded slice requests | PURE STORAGE, INC. |
11113009 | Computing device facilitating prioritization of task execution within a distributed storage network (DSN) | PURE STORAGE, INC. |
11113010 | Printing using fiducial marks | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11113011 | Information processing system, information processing method, and recording medium | RICOH COMPANY, LTD. |
11113012 | Reprocessing of page strips responsive to low memory condition | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11113013 | Image forming apparatus for executing secure print job | KYOCERA DOCUMENT SOLUTIONS INC. |
11113014 | Information processing apparatus determines whether image processing device suitable to execute processing according to reliability and confidentiality information | FUJIFILM BUSINESS INNOVATION CORP. |
11113015 | Information processing apparatus, method of controlling the same and storage medium | CANON KABUSHIKI KAISHA |
11113016 | Information processing method, information processing apparatus, and non-transitory computer-readable storage medium | CANON KABUSHIKI KAISHA |
11113017 | Electronic device, image reading method, and print processing method | SEIKO EPSON CORPORATION |
11113018 | Content display system and display device | SHARP KABUSHIKI KAISHA |
11113019 | Multi-device selective integration system | TRUIST BANK |
11113020 | Display system and screen operation method thereof | BENQ INTELLIGENT TECHNOLOGY (SHANGHAI) CO., LTD |
11113021 | Systems and methods for SAAS application presentation mode on multiple displays | CITRIX SYSTEMS, INC. |
11113022 | Method, system and interface for controlling a subwoofer in a networked audio system | D&M HOLDINGS, INC. |
11113023 | Media content system for enhancing rest | SPOTIFY AB |
11113024 | Electronic device and method for sharing information thereof | SAMSUNG ELECTRONICS CO., LTD. |
11113025 | Interaction management device and non-transitory computer readable recording medium | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11113026 | System and method for voice-directed website walk-through | TOONIMO, INC. |
11113027 | Apparatus, system, and method that support operation to switch to input terminal to be activated among input terminals included in display apparatus | SHARP KABUSHIKI KAISHA |
11113032 | Function access system | PALANTIR TECHNOLOGIES INC. |
11113034 | Smart programming assistant | EMC IP HOLDING COMPANY LLC |
11113040 | Systems and methods for orchestration and automated input handling of interactions received via a user interface | VERIZON PATENT AND LICENSING INC. |
11113044 | Information processing apparatus and non-transitory computer readable medium storing program | FUJIFILM BUSINESS INNOVATION CORP. |
11113073 | Dual mode hardware reset | MICRON TECHNOLOGY, INC. |
11113077 | Non-Invasively integrated main information system modernization toolbox | -- |
11113079 | Mobile assistant | VERIZON MEDIA INC. |
11113080 | Context based adaptive virtual reality (VR) assistant in VR environments | TATA CONSULTANCY SERVICES LIMITED |
11113081 | Generating a video for an interactive session on a user interface | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11113082 | Help content based application page analysis | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11113083 | Notification interaction in a touchscreen user interface | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11113103 | Task parallel processing method, apparatus and system, storage medium and computer device | SHANGHAI CAMBRICON INFORMATION TECHNOLOGY CO., LTD |
11113104 | Task parallel processing method, apparatus and system, storage medium and computer device | SHANGHAI CAMBRICON INFORMATION TECHNOLOGY CO., LTD |
11113126 | Verifying transfer of detected sensitive data | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11113130 | Electronic apparatus and control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11113145 | Memory device, semiconductor device, and semiconductor system | SK HYNIX INC. |
11113146 | Chunk segment recovery via hierarchical erasure coding in a geographically diverse data storage system | EMC IP HOLDING COMPANY LLC |
11113149 | Storage device for processing corrupted metadata and method of operating the same | SAMSUNG ELECTRONICS CO., LTD. |
11113153 | Method and system for sharing pre-calculated fingerprints and data chunks amongst storage systems on a cloud local area network | EMC IP HOLDING COMPANY LLC |
11113154 | User-level quota management of data objects stored in information management systems | COMMVAULT SYSTEMS, INC. |
11113158 | Rolling back kubernetes applications | ROBIN SYSTEMS, INC. |
11113173 | Systems and methods for detecting, analyzing, and evaluating interaction paths | ROVI GUIDES, INC. |
11113184 | Display in a graphical format of test results generated using scenario models | BREKER VERIFICATION SYSTEMS |
11113188 | Data preservation using memory aperture flush order | MICROSOFT TECHNOLOGY LICENSING, LLC |
11113189 | Memory system to perform read reclaim and garbage collection, and method of operating the same | SK HYNIX INC. |
11113193 | Predictive data storage hierarchical memory systems and methods | MICRON TECHNOLOGY, INC. |
11113195 | Method, device and computer program product for cache-based index mapping and data access | EMC IP HOLDING COMPANY LLC |
11113196 | Shared buffered memory routing | INTEL CORPORATION |
11113201 | Flash memory controller, method and associated electronic device for managing priority of quality detection or garbage collection of block | -- |
11113204 | Translation invalidation in a translation cache serving an accelerator | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11113210 | Memory device supporting rank-level parallelism and memory system including the same | SK HYNIX INC. |
11113211 | Memory device supporting rank-level parallelism and memory system including the same | SK HYNIX INC. |
11113214 | Controlling data transfers between a tier of persistent data storage and processor memory with a high-speed fabric controller | LENOVO ENTERPRISE SOLUTIONS (SINGAPORE) PTE. LTD |
11113240 | Methods and systems for depiction of project data via transmogrification using fractal-based structures | HANOVER |
11113241 | Computer application for blockchaining files through a graphical user interface | -- |
11113242 | Programmatically choosing preferred storage parameters for files in large-scale distributed storage systems | GOOGLE LLC |
11113246 | Accessing a file system using tiered deduplication | COMMVAULT SYSTEMS, INC. |
11113250 | Techniques for activity tracking, data classification, and in database archiving | ORACLE INTERNATIONAL CORPORATION |
11113274 | System and method for enhanced data analytics and presentation thereof | POINTILLIST, INC. |
11113297 | Information processing device and information terminal | QUFOOIT JAPAN LTD. |
11113322 | Dynamically generating strategic planning datasets based on collecting, aggregating, and filtering distributed data collections | BANK OF AMERICA CORPORATION |
11113328 | Interface elements for directed display of content data items | GOOGLE LLC |
11113330 | System for providing imaging satellite opportunity notifications and low resolution preview images on a mobile device | ORBIT LOGIC, INC. |
11113337 | Method for imputing missed data in sensor data sequence with missing data | INDIAN INSTITUTE OF TECHNOLOGY BOMBAY |
11113343 | Systems and methods for enabling a user to operate on displayed web content via a web browser plug-in | NEWSPLUG, INC. |
11113355 | Network server and method for providing webpage to user terminal | NHN CORPORATION |
11113358 | Training and support overlay machine | -- |
11113365 | System and method to limit content distribution | ARRIS ENTERPRISES LLC |
11113368 | Method and electronic device for controlling permission of application program | SAMSUNG ELECTRONICS CO., LTD. |
11113371 | Continuous authentication based on motion input data | BEHAVIOSEC INC |
11113373 | Unlocking electronic devices using touch-based sensors | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11113375 | Electronic devices with proximity authentication and gaze actuation of companion electronic devices and corresponding methods | MOTOROLA MOBILITY LLC |
11113376 | Detection system, fingerprint sensor, and method of finger touch authentication thereof | -- |
11113379 | Unlocking method and virtual reality device | GOERTEK TECHNOLOGY CO., LTD. |
11113380 | Secure graphics | IRDETO B.V. |
11113381 | Providing an augmented reality overlay to secure input data | CAPITAL ONE SERVICES, LLC |
11113398 | Deployment of machine learning models for discernment of threats | CYLANCE INC. |
11113401 | Secure bootloader for electronic gaming machines and other computing devices | ARISTOCRAT TECHNOLOGIES AUSTRALIA PTY LIMITED |
11113419 | Selective enforcement of privacy and confidentiality for optimization of voice applications | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11113426 | Method of interacting with an electronic device while the display screen is deactivated | BLACKBERRY LIMITED |
11113427 | Method of displaying contents, a first electronic device and a second electronic device | LENOVO (BEIJING) CO., LTD. |
11113433 | Technique for generating a spectrum of feasible design solutions | AUTODESK, INC. |
11113435 | Evaluation of a simulated vehicle functionality feature | VOLVO CAR CORPORATION |
11113449 | Methods and systems for creating, organizing, and viewing annotations of documents within web browsers | EXACTNOTE, INC. |
11113452 | Document management apparatus, document management system, and non-transitory computer readable medium storing program | FUJIFILM BUSINESS INNOVATION CORP. |
11113453 | Method and apparatus for improved presentation of information | -- |
11113457 | Systems and methods for executable content and executable content flow distribution | WISETECH GLOBAL LIMITED |
11113459 | Dynamic guidance engine for software customization systems | SALESFORCE.COM, INC. |
11113460 | Multi-path copying and pasting method and mobile terminal | VIVO MOBILE COMMUNICATIONS CO., LTD. |
11113463 | Note browser | DROPBOX, INC. |
11113478 | Responsive document generation | PATOMATIC LLC |
11113485 | Method to correlate an object with a localized tag | MOTOROLA MOBILITY LLC |
11113497 | Multiphase fingerprint sensor layout and construction | CYPRESS SEMICONDUCTOR CORPORATION |
11113498 | Detection device | JAPAN DISPLAY INC. |
11113500 | Fingerprint identification device, fingerprint identification module and control method of fingerprint identification device | -- |
11113523 | Method for recognizing a specific object inside an image and electronic device thereof | SAMSUNG ELECTRONICS CO., LTD. |
11113528 | System and method for validating geospatial data collection with mediated reality | -- |
11113533 | Smart display apparatus and control system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11113556 | Information processing apparatus, program, and method that display correction candidate character for selected character based on found character string from master data | CANON KABUSHIKI KAISHA |
11113589 | System and method for printing customized items | CCL LABEL, INC. |
11113591 | Information control apparatus, information control system, and non-transitory computer readable medium | FUJIFILM BUSINESS INNOVATION CORP. |
11113616 | Systems and methods for automated bayesian-network based mastery determination | PEARSON EDUCATION, INC. |
11113648 | Multi-line system and method for retrieving and fulfilling items in a customer order | AMERICA'S COLLECTIBLES NETWORK, INC. |
11113657 | Method and system for physical asset tracking | LOB.COM, INC. |
11113665 | Distributed terminals network management, systems, interfaces and workflows | -- |
11113681 | Cloud-based transaction processing | TEMPUS TECHNOLOGIES, INC. |
11113682 | Cloud-based transaction processing | TEMPUS TECHNOLOGIES, INC. |
11113739 | System and method for automatic fulfillment | EBAY INC. |
11113758 | User interface for document imaging | WELLS FARGO BANK, N.A. |
11113762 | System and method for creating on-demand user-customized deposit strategies using data extracted from one or more independent systems | RAISIN TECHNOLOGY EUROPE, S.L. |
11113785 | Mitigating latency-based display delays | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11113787 | Image distribution device, image distribution system, image distribution method, and image distribution program | AMATELUS INC. |
11113788 | Multi-space rendering with configurable transformation parameters | APPLE INC. |
11113850 | Electronic apparatus and control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11113854 | Methods and devices for capturing heuristic information via a sketching tool | DECISIONNEXT, INC. |
11113855 | Expression interpretation engine for computer map visualizations | MAPBOX, INC. |
11113856 | Information displaying system and information displaying device | RICOH COMPANY, LTD. |
11113858 | System and method for deep compositing of images in web browsers | INVENTIVE SOFTWARE, LLC |
11113863 | Rendering content in a 3D environment | GOOGLE LLC |
11113877 | Systems and methods for generating three dimensional geometry | HOVER INC. |
11113880 | System and method for optimizing the rendering of dynamically generated geometry | FACEBOOK TECHNOLOGIES, LLC |
11113882 | Generating immersive trip photograph visualizations | ADOBE INC. |
11113885 | Real-time views of mixed-reality environments responsive to motion-capture data | LUCASFILM ENTERTAINMENT COMPANY LTD. |
11113886 | Dual-screen display method for eliminating AR/VR picture tearing and AR/VR display device | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11113889 | Adjustable waveguide assembly and augmented reality eyewear with adjustable waveguide assembly | SNAP INC. |
11113894 | Systems and methods for GPS-based and sensor-based relocalization | MICROSOFT TECHNOLOGY LICENSING, LLC |
11113985 | Visual acuity measurement apparatus | FOCUS READING TECHNOLOGY INC. |
11113986 | Story machine, control method and control device therefor, storage medium and story machine player system | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11113996 | Display including bending area and electronic device comprising same | SAMSUNG ELECTRONICS CO., LTD. |
11114003 | Foldable OLED display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11114021 | Display device, method of manufacturing the same, and glass stack | SAMSUNG DISPLAY CO., LTD. |
11114036 | Scan driver and display device for driving a plurality of scan lines | SAMSUNG DISPLAY CO., LTD. |
11114047 | Electronic device, operation method of the electronic device, and moving vehicle | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11114065 | Computer having a remote second display | -- |
11114069 | Private virtualized displays | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11114081 | Device agnostic audio path sealing | PPIP LLC |
11114095 | Information processing device | SONY CORPORATION |
11114096 | Mitigation of client device latency in rendering of remotely generated automated assistant content | GOOGLE LLC |
11114112 | Low power, high bandwidth, low latency data bus | GOOGLE LLC |
11114116 | Information processing apparatus and information processing method | SONY CORPORATION |
11114126 | Disk drive server | SPECTRA LOGIC CORPORATION |
11114137 | Bidirectional data pin, clock input pin, shift register, debug circuitry | TEXAS INSTRUMENTS INCORPORATED |
11114139 | Stacked memory device, a system including the same and an associated method | SAMSUNG ELECTRONICS CO., LTD. |
11114143 | Bipolar decoder for crosspoint memory cells | INTEL CORPORATION |
11114177 | Bidirectional shift register circuit | JAPAN DISPLAY INC. |
11114179 | Systems and methods for detecting counterfeit memory | BOARD OF TRUSTEES OF THE UNIVERSITY OF ALABAMA, FOR AND ON BEHALF OF THE UNIVERSITY OF ALABAMA IN HUNTSVILLE |
11114186 | Automated clinical documentation system and method | NUANCE COMMUNICATIONS, INC. |
11114189 | Generating abnormality data for a medical scan via a generic model and a fine-tuned model | ENLITIC, INC. |
11114203 | Senior living engagement and care support platforms | STATE FARM MUTUAL AUTOMOBILE INSURANCE COMPANY |
11114510 | Organic light-emitting display device having touch sensor | LG DISPLAY CO., LTD. |
11114511 | Display device | SAMSUNG DISPLAY CO., LTD. |
11114520 | Display device | SAMSUNG DISPLAY CO., LTD. |
11114628 | Method of manufacturing a flexible organic light-emitting diode (OLED) display panel by laser lift-off of a glass carrier through a planarization layer | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11114645 | Polarization member and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11115055 | Method and apparatus for encoding and decoding data in memory system | SAMSUNG ELECTRONICS CO., LTD. |
11115062 | Memory system with adaptive threshold decoding and method of operating such memory system | SK HYNIX INC. |
11115340 | Guaranteed delivery in receiver side overcommitted communication adapters | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11115351 | Managing email content in an activity stream | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11115367 | System and method for automating workflow management and tracking of voicemail, text and multimedia messages, live chats, forms and uploaded files | ENTRESPACE, LLC |
11115438 | System and method for geofencing | OPEN TEXT SA ULC |
11115449 | Data casting | AIRMONT DATACAST SAS |
11115463 | Remote and local predictions | MICROSOFT TECHNOLOGY LICENSING, LLC |
11115476 | System for and method of controlling operations of a car wash | DRB SYSTEMS, LLC |
11115490 | Host based read cache for san supporting NVMEF with E2E validation | EMC IP HOLDING COMPANY LLC |
11115517 | Method and apparatus for preventing screen off during automatic response system service in electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11115518 | Display method and terminal | HUAWEI TECHNOLOGIES CO., LTD. |
11115539 | Smart voice system, method of adjusting output voice and computer readable memory medium | -- |
11115545 | Image forming apparatus and method of information display | SHARP KABUSHIKI KAISHA |
11115546 | Image processing apparatus, control method to execute plurality of functions for an image processing apparatus, and storage medium | CANON KABUSHIKI KAISHA |
11115547 | Image forming apparatus that notifies a specific control unit of operation information depending on an operation screen being displayed, and control method therefor | CANON KABUSHIKI KAISHA |
11115597 | Mobile terminal having first and second AI agents interworking with a specific application on the mobile terminal to return search results | LG ELECTRONICS INC. |
11115616 | Display system, display method, and display apparatus | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11115617 | Audio device for HDMI | DOLBY LABORATORIES LICENSING CORPORATION |
11115630 | Custom and automated audio prompts for devices | AMAZON TECHNOLOGIES, INC. |
11115701 | Method and system for viewing sports content within a virtual reality environment | DIRECTV, LLC |
11115704 | Media channel navigation user interface systems and methods | VERIZON PATENT AND LICENSING INC. |
11115741 | Artificial intelligence sound output apparatus, hub for communication network, method of manufacturing the apparatus, and grille for the apparatus | LG ELECTRONICS INC. |
11115748 | Wearing detection method and apparatus, wearable device and storage medium | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
11115759 | System and method for aiding hearing | TEXAS INSTITUTE OF SCIENCE, INC. |
11115765 | Centrally controlling communication at a venue | BIAMP SYSTEMS, LLC |
11115769 | Systems and methods for providing a user with enhanced attitude awareness | RAYTHEON BBN TECHNOLOGIES CORP. |
11115771 | Virtual simulation of spatial audio characteristics | CRUTCHFIELD CORPORATION |
11115917 | Device and method | PANASONIC INTELLECTUAL PROPERTY CORPORATION OF AMERICA |
11116271 | Helmet-based system for improved practice efficiency and athlete safety | FARMINGTON |
11116404 | Patch guide method and program | NEUROPHET INC. |
11116585 | Graphical user interfaces for ablation systems | APAMA MEDICAL, INC. |
11116587 | Timeline overlay on surgical video | THEATOR INC. |
11116593 | Robot system | KAWASAKI JUKOGYO KABUSHIKI KAISHA |
11116861 | Device for washing, disinfecting and/or sterilizing medical, dental, laboratory and/or pharmaceutical goods and methods and program products for use therein | GETINGE STERILIZATION AB |
11117048 | Video game with linked sequential touch inputs | NINTENDO CO., LTD. |
11117049 | Computer cockpit for different body shapes of user | -- |
11117259 | Automation windows for robotic process automation | UIPATH, INC. |
11117276 | Method for generating user feedback information from a shave event | THE GILLETTE COMPANY LLC |
11117402 | Cutting operation of image forming apparatus and non-transitory computer readable medium storing program | FUJIFILM BUSINESS INNOVATION CORP. |
11117408 | Method and system for multi-object universal intelligent printing | HEFEI AIRWREN AUTOMATIC EQUIPMENT CO., LTD. |
11117469 | Single-track vehicle comprising a display device | BAYERISCHE MOTOREN WERKE AKTIENGESELLSCHAFT |
11118294 | Laundry treatment machine | -- |
11118819 | Feedback device and thermal feedback providing method using same | TEGWAY CO., LTD. |
11118922 | User control of alternate routes | UBER TECHNOLOGIES, INC. |
11118923 | Data processing system communicating with a map data processing system to determine or alter a navigation path based on one or more road segments | ALLSTATE INSURANCE COMPANY |
11118971 | Spectrometry systems, methods, and applications | VERIFOOD LTD. |
11118984 | Use of actuator as sensor for input | GOOGLE LLC |
11119112 | Method for compensating gyroscope drift on an electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11119314 | Apparatus and method for mitigating motion sickness through cyclical object projection in digital space | SYNAPCIS INC. |
11119319 | Rendering device, head-mounted display, image transmission method, and image correction method | SONY INTERACTIVE ENTERTAINMENT INC. |
11119325 | Near eye display device | -- |
11119334 | Curved display of content in mixed reality | MICROSOFT TECHNOLOGY LICENSING, LLC |
11119372 | Display device | JAPAN DISPLAY INC. |
11119373 | Array substrate, method of manufacturing the same and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11119428 | Image rotation in image forming apparatus based on determination of power consumption by heater elements | TOSHIBA TEC KABUSHIKI KAISHA |
11119431 | Information processing apparatus and control method for an image forming apparatus | CANON KABUSHIKI KAISHA |
11119475 | Vehicle control system | TRANSPORTATION IP HOLDINGS, LLC |
11119534 | Foldable display device | LG DISPLAY CO., LTD. |
11119540 | RF antenna proximity sensing in a playback device | SONOS, INC. |
11119556 | Apparatuses and methods for indicating an operation type associated with a power management event | MICRON TECHNOLOGY, INC. |
11119558 | Method and system for device activation | SONY GROUP CORPORATION |
11119560 | Techniques for reducing power consumption | QUALCOMM INCORPORATED |
11119564 | Information processing apparatus, method for information processing, and game apparatus for performing different operations based on a movement of inputs | KABUSHIKI KAISHA SQUARE ENIX |
11119565 | Optical detection and analysis of bone | SAMSUNG ELECTRONICS CO., LTD. |
11119566 | System and method for a virtual reality motion controller | LOS ANGELES |
11119567 | Method and apparatus for providing immersive reality content | INTERDIGITAL CE PATENT HOLDINGS |
11119568 | Suspend mode feature for artificial reality systems | FACEBOOK TECHNOLOGIES, LLC |
11119569 | Real-time visual playbacks | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11119570 | Method and system of modifying position of cursor | -- |
11119571 | Method and device for displaying virtual image | SAMSUNG ELECTRONICS CO., LTD. |
11119572 | Selective display of objects based on eye gaze attributes | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11119573 | Pupil modulation as a cognitive control signal | APPLE INC. |
11119574 | Electronic devices with touch input components and haptic output components | APPLE INC. |
11119575 | Gesture based user interface supporting preexisting symbols | FUJITSU LIMITED |
11119576 | User interface and method for contactlessly operating a hardware operating element in a 3-D gesture mode | VOLKSWAGEN AKTIENGESELLSCHAFT |
11119577 | Method of controlling an operation of a camera apparatus and a camera apparatus | SAMSUNG ELECTRONICS CO., LTD. |
11119579 | On screen header bar for providing program information | FLEXTRONICS AP, LLC |
11119580 | Head and eye-based gesture recognition | NEXTSENSE, INC. |
11119581 | Displacement oriented interaction in computer-mediated reality | MICROSOFT TECHNOLOGY LICENSING, LLC |
11119582 | Actuation lock for a touch sensitive input device | APPLE INC. |
11119583 | Information processing device with flexible display, information processing method, and non-transitory computer readable medium | FUJIFILM BUSINESS INNOVATION CORP. |
11119584 | Systems and methods for detecting and responding to user frustration with electronic devices | DISH TECHNOLOGIES L.L.C. |
11119585 | Dual-mode augmented reality interfaces for mobile devices | FORD MOTOR COMPANY |
11119586 | Adjustable pointing devices | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11119587 | Computer readable recording medium can perform image sensing system control method and image sensing system | -- |
11119588 | Wireless mouse and switch module applied therein | -- |
11119589 | Stylus and position calculation method | WACOM CO., LTD. |
11119590 | Opto-electronic module | -- |
11119591 | Display apparatus and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11119592 | Display apparatus with black matrix | SAMSUNG DISPLAY CO., LTD. |
11119593 | Organic light emitting display device including a reflective touch sensing electrode and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11119594 | Display device with touch detection function, and electronic unit | JAPAN DISPLAY INC. |
11119595 | Touch display panel and manufacturing method for reducing interference with touch signal | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11119596 | Display panel and driving method, and display device | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
11119597 | Touch sensor and display device comprising same | DONGWOO FINE-CHEM CO., LTD. |
11119598 | Piezzoelectric touch device | AITO BV |
11119599 | Display device | SAMSUNG DISPLAY CO., LTD. |
11119600 | Pressure sensor and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11119601 | Screen output method using external device and electronic device for supporting the same | SAMSUNG ELECTRONICS CO., LTD. |
11119602 | Detecting the angle of a touch screen mounted passive dial | CYPRESS SEMICONDUCTOR CORPORATION |
11119603 | Touch control chip, electronic device having the same and touch detection method therefor | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
11119604 | Display device and touch sensor with accurate touch detection and low power consumption | SHARP KABUSHIKI KAISHA |
11119606 | Background capacitance compensation | SYNAPTICS INCORPORATED |
11119607 | Remote touch sensitive monitoring system, monitored apparatus, monitoring apparatus and controlling method thereof | -- |
11119608 | Electronic device including optical sensor using Fresnel lens | SAMSUNG ELECTRONICS CO., LTD. |
11119609 | Electronic device | FUJITSU LIMITED |
11119610 | Touch member and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11119611 | Film touch sensor for enhancing image quality | DONGWOO FINE-CHERN CO., LTD. |
11119612 | Embedded touch panel with touch drive lines structure that improves sensitivity | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11119613 | Touch sensing module | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11119614 | Display panel | SHARP KABUSHIKI KAISHA |
11119615 | Fingerprint sensor and button combinations and methods of making same | SYNAPTICS INCORPORATED |
11119616 | Trace transfer techniques for touch sensor panels with flex circuits | APPLE INC. |
11119617 | Transparent electrode member, multilayer transparent electrode member, and capacitive sensor | ALPS ALPINE CO., LTD. |
11119618 | Substrate and touch display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11119619 | Touch display panel, manufacturing method thereof, driving method thereof and touch display device | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
11119620 | Electronic device | -- |
11119621 | Computing device display management | MICROSOFT TECHNOLOGY LICENSING, LLC |
11119622 | Window expansion method and associated electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11119624 | Dynamic image stabilization using motion sensors | APPLE INC. |
11119625 | Remote control device for manufacturing equipment and method for detecting manual control | -- |
11119626 | Mobile application with dynamic feature set based on user sophistication | WELLS FARGO BANK, N.A. |
11119627 | Information display method, device, apparatus and storage medium | QILIN HESHENG NETWORK TECHNOLOGY INC. |
11119628 | Dynamic graphical user interface modification and monitoring | SNAP INC. |
11119629 | Autosave and manual save modes for software applications | MICROSOFT TECHNOLOGY LICENSING, LLC |
11119630 | Artificial intelligence assisted evaluations and user interface for same | PALANTIR TECHNOLOGIES INC. |
11119631 | Generating a playlist based on content meta data and user parameters | LEAF GROUP LTD. |
11119632 | Systems and methods for proactive analysis of artifacts associated with information resources | MIMECAST SERVICES LTD. |
11119633 | Information processing device and method | SONY CORPORATION |
11119634 | Apparatus and method for providing user interface for platooning of vehicle | HYUNDAI MOTOR COMPANY |
11119635 | Fanning user interface controls for a media editing application | APPLE INC. |
11119636 | Display for input selection on a compact information processing device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11119637 | System and method for flexible configuration of a controlling device | UNIVERSAL ELECTRONICS INC. |
11119638 | Using face detection to update user interface orientation | APPLE INC. |
11119639 | Non-transitory computer-readable medium storing an extra information superposition program, extra information superposition method, and extra information superposition method, and extra information superposition device | TRANSRECOG CO., LTD. |
11119640 | Customization of automotive interiors via automotive user interfaces | FCA ITALY S.P.A. |
11119641 | Electronic apparatus and control method thereof | CANON KABUSHIKI KAISHA |
11119642 | Device, method, and graphical user interface for adjusting a display property of an affordance over changing background content | APPLE INC. |
11119643 | Contextual quick pick wheel | FORD GLOBAL TECHNOLOGIES, LLC |
11119644 | Electronic device and method for displaying content in response to scrolling inputs | SAMSUNG ELECTRONICS CO., LTD. |
11119645 | System, method and graphical user interface for controlling a game | SUPERCELL OY |
11119646 | Electronic device and control method | -- |
11119647 | Detecting gesture struggle | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11119648 | Obfuscating mobile device passwords through pattern generation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11119649 | Electronic signatures over an online sharing session | TEKION CORP |
11119650 | Information handling system with a user defined touch area and user defined display area on a horizontal work surface | DELL PRODUCTS L.P. |
11119651 | Method for displaying multi-task management interface, device, terminal and storage medium | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11119652 | Using a stylus to modify display layout of touchscreen displays | HUAWEI TECHNOLOGIES CO., LTD. |
11119653 | Systems and methods for activating and using a trackpad at an electronic device with a touch-sensitive display and no force sensors | APPLE INC. |
11119654 | Determining an optimal storage environment for data sets and for migrating data sets | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11119655 | Optimized performance through leveraging appropriate disk sectors for defragmentation in an erasure coded heterogeneous object storage cloud | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11119656 | Reducing data distribution inefficiencies | PURE STORAGE, INC. |
11119657 | Dynamic access in flash system | PURE STORAGE, INC. |
11119658 | Capacity expansion channels for memory sub-systems | MICRON TECHNOLOGY, INC. |
11119659 | Write buffer implementation for multiple memory array memory spaces | MICRON TECHNOLOGY, INC. |
11119660 | Determining when to replace a storage device by training a machine learning module | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11119661 | Information processing apparatus, method for controlling information processing apparatus, non-transitory recording medium storing control tool, host device, non-transitory recording medium storing performance evaluation tool, and performance evaluation method for external memory device | TOSHIBA MEMORY CORPORATION |
11119662 | Determining when to perform a data integrity check of copies of a data set using a machine learning module | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11119663 | Determining when to perform a data integrity check of copies of a data set by training a machine learning module | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11119664 | Method, apparatus and computer program product for managing storage system | EMC IP HOLDING COMPANY LLC |
11119665 | Dynamic voltage and frequency scaling based on memory channel slack | ADVANCED MICRO DEVICES, INC. |
11119667 | Master adaptive read issuing capability based on the traffic being generated | ARM LIMITED |
11119668 | Managing incompressible data in a compression-enabled log-structured array storage system | EMC IP HOLDING COMPANY LLC |
11119669 | External indicators for adaptive in-field recalibration | SEAGATE TECHNOLOGY LLC |
11119670 | Methods and systems for efficient encoding and decoding communications | SEAPORT, INC. |
11119671 | Method for facilitating a memory system operable in advance during power-up, memory controller therefor, and memory system capable of being operable in advance during power-up | -- |
11119672 | Dynamic single level cell memory controller | INTEL CORPORATION |
11119673 | Optimizing synchronous I/O for zHyperLink | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11119674 | Memory devices and methods for operating the same | -- |
11119675 | Polymorphism and type casting in storage volume connections | RED HAT, INC. |
11119676 | Using spare bits in memory systems | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11119677 | HBM based memory lookup engine for deep learning accelerator | SAMSUNG ELECTRONICS CO., LTD. |
11119678 | Transactional operations in multi-master distributed data management systems | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11119679 | Storing data based on a probability of a data graph | MICRON TECHNOLOGY, INC. |
11119680 | Data writing method of flask disk array based on residual lives of flash drives thereof | -- |
11119681 | Opportunistic compression | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11119682 | Compressed extent versions | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11119683 | Logical compaction of a degraded chunk in a geographically diverse data storage system | EMC IP HOLDING COMPANY LLC |
11119684 | Method and apparatus for transmitting data, and an electronic device thereof | LENOVO (BEIJING) CO., LTD. |
11119685 | System and method for accelerated data access | EMC IP HOLDING COMPANY LLC |
11119686 | Preservation of data during scaling of a geographically diverse data storage system | EMC IP HOLDING COMPANY LLC |
11119687 | Device reservation state synchronization in data mirroring | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11119688 | Replica processing method and node, storage system, server, and readable medium | BEIJING BAIDU NETCOM SCIENCE AND TECHNOLOGY CO., LTD. |
11119689 | Accelerated data removal in hierarchical storage environments | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11119690 | Consolidation of protection sets in a geographically diverse data storage environment | EMC IP HOLDING COMPANY LLC |
11119691 | Method and apparatus to perform a function level reset in a memory controller | SEAGATE TECHNOLOGY LLC |
11119692 | Storage device having wide input/output and method of operating the same | SAMSUNG ELECTRONICS CO., LTD. |
11119693 | Method of operating storage device for improving QOS latency and storage device performing the same | SAMSUNG ELECTRONICS CO., LTD. |
11119694 | Solid-state drive control device and learning-based solid-state drive data access method | SHENZHEN DAPU MICROELECTRONICS CO., LTD. |
11119695 | Memory dispatcher | INFINEON TECHNOLOGIES AG |
11119696 | Technique of register space expansion with branched paging | QUALCOMM INCORPORATED |
11119697 | Read voltage management based on write-to-read time difference | MICRON TECHNOLOGY, INC. |
11119698 | Data storage device capable of parallel writing, operating method thereof, and storage system having the same | SK HYNIX INC. |
11119699 | Data processing system and operating method thereof | SK HYNIX INC. |
11119700 | Receive-side crosstalk cancelation | MICRON TECHNOLOGY, INC. |
11119701 | Memory system and method of controlling nonvolatile memory by controlling the writing of data to and reading of data from a plurality of blocks in the nonvalatile memory | KIOXIA CORPORATION |
11119702 | Apparatus for processing received data | HITACHI, LTD. |
11119703 | Utilizing a set of virtual storage units distributed across physical storage units | EMC IP HOLDING COMPANY LLC |
11119704 | System, apparatus and method for sharing a flash device among multiple masters of a computing platform | INTEL CORPORATION |
11119705 | Method, device, and computer readable storage medium for managing redundant array of independent disks | EMC IP HOLDING COMPANY LLC |
11119706 | Information processing device and non-transitory computer readable medium for notification of linkage function | FUJIFILM BUSINESS INNOVATION CORP. |
11119707 | Printing apparatus, control method and storage medium to issue certificate signing request (CSR) and register the printing apparatus | CANON KABUSHIKI KAISHA |
11119708 | Information processing apparatus, controlling method for information processing apparatus, and storage medium storing print extension application | CANON KABUSHIKI KAISHA |
11119709 | Changing operational state of image forming apparatus based on distance of sensed body | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11119710 | Server for providing a cloud print service, control method, and storage medium | CANON KABUSHIKI KAISHA |
11119711 | Device for broadcasting advertising packets | CANON KABUSHIKI KAISHA |
11119712 | Image processing apparatus executing image process in accordance with setting including setting value specified for each setting category | BROTHER KOGYO KABUSHIKI KAISHA |
11119713 | Systems, processes, and computer program products for delivery of printed paper by robot | KYOCERA DOCUMENT SOLUTIONS INC. |
11119714 | Communication method having a plurality of connection establishment methods between image forming apparatus and communication device | SHARP KABUSHIKI KAISHA |
11119715 | Printing apparatus | BROTHER KOGYO KABUSHIKI KAISHA |
11119716 | Display system, machine learning device, and display device | FANUC CORPORATION |
11119717 | Digital signage system and data processing method in the same | LG ELECTRONICS INC. |
11119718 | Forming a larger display using multiple smaller displays | -- |
11119719 | Screen sharing for display in VR | KONINKLIKE KPN N.V. |
11119720 | Display device and display system | SAMSUNG ELECTRONICS CO., LTD. |
11119721 | Visual display system | -- |
11119722 | Movable body control apparatus and recording medium | SHARP KABUSHIKI KAISHA |
11119723 | User-adaptive volume selection | ORACLE INTERNATIONAL CORPORATION |
11119724 | Standalone disk jockey console apparatus | INMUSIC BRANDS, INC. |
11119725 | Customizable embedded vocal command sets for a lighting and/or other environmental controller | ABL IP HOLDING LLC |
11119726 | Operating modes that designate an interface modality for interacting with an automated assistant | GOOGLE LLC |
11119727 | Digital tutorial generation system | ADOBE INC. |
11119728 | Displaying event records with emphasized fields | SPLUNK INC. |
11119735 | Universal hybrid programming environment | MODKIT INC. |
11119738 | Generating data mappings for user interface screens and screen components for an application | ORACLE INTERNATIONAL CORPORATION |
11119793 | Custom user interface design based on metrics from another communication channel | SALESFORCE.COM, INC. |
11119796 | Information processing apparatus and data copying method | SONY INTERACTIVE ENTERTAINMENT INC. |
11119800 | Detecting and mitigating hardware component slow failures | AMAZON TECHNOLOGIES, INC. |
11119801 | Migrating virtual machines across commonly connected storage providers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11119811 | Interactive device for displaying web page data in three dimensions | F4 |
11119812 | Method and device for processing application program page according to a common interface container | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
11119836 | Managing functions on an IOS-based mobile device using ANCS notifications | CELLCONTROL, INC. |
11119848 | Logic based read sample offset in a memory sub system | MICRON TECHNOLOGY, INC. |
11119854 | Method of controlling verification operations for error correction of non-volatile memory device, and non-volatile memory device | -- |
11119855 | Selectively storing parity data in different types of memory | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11119856 | Method and system for multi-dimensional RAID | EMC IP HOLDING COMPANY LLC |
11119860 | Data profiler | NETAPP INC. |
11119862 | Delta information volumes to enable chained replication of data by uploading snapshots of data to cloud | SEAGATE TECHNOLOGY LLC |
11119865 | Cross-application database restore | COMMVAULT SYSTEMS, INC. |
11119868 | Load balancing across multiple data paths | COMMVAULT SYSTEMS, INC. |
11119906 | Systems and methods for software integration validation | STRIPE, INC. |
11119908 | Systems and methods for memory system management | MICRON TECHNOLOGY, INC. |
11119912 | Ordering data updates for improving garbage collection being performed while performing the set of data updates | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11119913 | Selective use of garbage collection during expansion of geometry addressable regions of a redundant array of independent drive (RAID) configuration | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11119914 | Method of operating a memory with dynamically changeable attributes | MICRON TECHNOLOGY, INC. |
11119916 | Electronic system for adaptively adjusting allocation of memory area and method of operating the electronic system | SAMSUNG ELECTRONICS CO., LTD. |
11119919 | Method and apparatus with improved speed in data write-in | LENOVO (BEIJING) CO., LTD. |
11119920 | Systems and methods for non-speculative store coalescing and generating atomic write sets using address subsets | ETA SCALE AB |
11119923 | Locality-aware and sharing-aware cache coherence for collections of processors | ADVANCED MICRO DEVICES, INC. |
11119942 | Facilitating access to memory locality domain information | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11119950 | Storage device and method of operating the same | SK HYNIX INC. |
11119954 | Host emulator | WESTERN DIGITAL TECHNOLOGIES, INC. |
11119960 | Adapter to concatenate connectors | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11119981 | Selectively redirect-on-write data chunks in write-in-place file systems | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11119982 | Correlation of performance data and structure data from an information technology environment | SPLUNK INC. |
11119984 | Managing deletions from a deduplication database | COMMVAULT SYSTEMS, INC. |
11119985 | Apparatuses, methods, and computer program products for the programmatic documentation of extrinsic event based data objects in a collaborative documentation service | ATLASSIAN PTY LTD. |
11119986 | Intelligent data routing and storage provisioning | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11119997 | Lock-free hash indexing | SAP SE |
11120013 | Real time visual validation of digital content using a distributed ledger | ATTESTIV INC. |
11120016 | Automated generation of a query statement based on user selections received through a user interface | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11120017 | Rendering interactive subsidiary application(s) in response to a search request | GOOGLE LLC |
11120026 | System and method for executing queries on multi-graphics processing unit systems | OMNISCI, INC. |
11120039 | Updating a remote tree for a client synchronization service | DROPBOX, INC. |
11120058 | Generating and providing stacked attribution breakdowns within a stacked attribution interface by applying attribution models to dimensions of a digital content campaign | ADOBE INC. |
11120063 | Information processing apparatus and information processing method | SONY CORPORATION |
11120071 | Reverse image search using portion of image but not entirety of image | LENOVO (SINGAPORE) PTE. LTD. |
11120081 | Key-value storage device and method of operating key-value storage device | SAMSUNG ELECTRONICS CO., LTD. |
11120097 | Device, method, and graphical user interface for managing website presentation settings | APPLE INC. |
11120113 | Audio-based device authentication system | ELECTRONIC ARTS INC. |
11120123 | Device, method, and graphical user interface for managing authentication credentials for user accounts | APPLE INC. |
11120139 | Cybersecurity vulnerability management based on application rank and network location | JPMORGAN CHASE BANK, N.A. |
11120151 | Systems and methods for unlocking self-encrypting data storage devices | SEAGATE TECHNOLOGY LLC |
11120178 | Virtual reality system for designing brassiere | XIAMEN BRANA DESIGN CO., LTD. |
11120196 | Systems and methods for sharing user generated slide objects over a network | BORDER STYLO, LLC |
11120200 | Capturing unstructured information in application pages | EMC IP HOLDING COMPANY LLC |
11120203 | Editing annotations of paginated digital content | BARNES & NOBLE COLLEGE BOOKSELLERS, LLC |
11120208 | One click electronic signature | ADP, LLC |
11120210 | Entity recognition for enhanced document productivity | MICROSOFT TECHNOLOGY LICENSING, LLC |
11120220 | Device, method, and graphical user interface for a predictive keyboard | APPLE INC. |
11120242 | Moving fingerprint recognition method and apparatus using display | LG DISPLAY CO., LTD. |
11120243 | Fingerprint identification module, manufacturing method and driving method thereof, display device | BOE TECHNOLOGY GROUP CO., LTD. |
11120244 | Method for controlling a digital device | LG CHEM, LTD. |
11120246 | Method and system for providing vibration notification based on fingerprint similarity | JRD COMMUNICATION (SHENZHEN) LTD. |
11120254 | Methods and apparatuses for determining hand three-dimensional data | BEIJING |
11120255 | Systems and methods for a multifactor user identification and authentication framework for in-air-handwriting with hand geometry and deep hashing | ARIZONA BOARD OF REGENTS ON BEHALF OF ARIZONA STATE UNIVERSITY |
11120258 | Apparatuses, systems, and methods for scanning an eye via a folding mirror | FACEBOOK TECHNOLOGIES, LLC |
11120266 | Augmented reality display device with deep learning sensors | MAGIC LEAP, INC. |
11120271 | Data processing systems and methods for enhanced augmentation of interactive video content | SECOND SPECTRUM, INC. |
11120365 | For hierarchical decomposition deep reinforcement learning for an artificial intelligence model | MICROSOFT TECHNOLOGY LICENSING, LLC |
11120368 | Scalable and efficient distributed auto-tuning of machine learning and deep learning models | ORACLE INTERNATIONAL CORPORATION |
11120374 | Memorial event management system | CRÄKN, LLC |
11120379 | Mainframe workflow manager system and method | JPMORGAN CHASE BANK, N.A. |
11120415 | System and method for a distributed data management system | WALMART APOLLO, LLC |
11120427 | Systems and methods for cards and devices operable to communicate via light pulsing | DYNAMICS INC. |
11120435 | Multi-signature verification network | INTERCONTINENTAL EXCHANGE HOLDINGS, INC. |
11120443 | Browser extension with additional capabilities | VISA INTERNATIONAL SERVICE ASSOCIATION |
11120459 | Product placement optimization using blind-spot analysis in retail environments | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11120485 | Application purchasing | APPLE INC. |
11120493 | Payment method, apparatus and system | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
11120495 | Generating virtual makeup products | GLAMST LLC |
11120503 | Analysis and visual presentation of dataset components | OPTIMAL ASSET MANAGEMENT, INC. |
11120525 | Multifunctional environment for image cropping | APPLE INC. |
11120562 | Posture estimation method, posture estimation apparatus and computer readable storage medium | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11120565 | Image registration method, image registration device and storage medium | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11120589 | Increasing readability of visualization nodes | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11120592 | System and method for oriented bounding box tool defining an orientation of a tilted or rotated object | ELEMENT AI INC. |
11120598 | Holographic multi avatar training system interface and sonification associative training | VISYN INC. |
11120601 | Animated expressive icon | SNAP INC. |
11120612 | Method and device for tailoring a synthesized reality experience to a physical setting | APPLE INC. |
11120616 | Method for implementing virtual scene conversion and related apparatus | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
11120626 | Smart wardrobe for virtual fitting | KING ABDULAZIZ UNIVERSITY |
11120628 | Systems and methods for augmented reality representations of networks | EDX TECHNOLOGIES, INC. |
11120629 | Method and device for providing augmented reality, and computer program | LINE CORPORATION |
11120630 | Virtual environment for sharing information | SAMSUNG ELECTRONICS CO., LTD. |
11120631 | Cognitive training system | NEUROPOWERTRAIN SàRL |
11120635 | Interactive virtual reality system | GROUPON, INC. |
11120638 | Director hint based auto-cinematography | TCL RESEARCH AMERICA INC. |
11120640 | Fusion method for movements of teacher in teaching scene | CENTRAL CHINA NORMAL UNIVERSITY |
11120652 | Method and system for graphically displaying data stream, and vehicle diagnostic device | SHENZHEN LAUNCH SOFTWARE CO., LTD. |
11120672 | Garment, system and method for transmitting audio and/or messaging information to a user | SANKO TEKSTIL ISLETMELERI SAN. VETIC A.S. |
11120673 | Systems and methods for generating haptic output for enhanced user experience | LOFELT GMBH |
11120682 | System and method for operating physical entities based on a virtual representation of the physical entities | ROBERT BOSCH GMBH |
11120702 | Systems and methods for validating localized assessments in an external system | SALESFORCE.COM, INC. |
11120704 | Writing implement | XIAMEN ZHI HUI QUAN TECHNOLOGY CO. LTD |
11120706 | Gadget for multimedia management of computing devices for persons who are blind or visually impaired | FEELIF, D.O.O. |
11120707 | Cognitive snapshots for visually-impaired users | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11120711 | Multilayered printed matter and multilayer printing method | MIMAKI ENGINEERING CO., LTD. |
11120717 | Image display device of slot machine apparatus | KORTEK CORPORATION |
11120722 | Data transmission method and display driving system | -- |
11120732 | Device and method for driving display in response to image data | SYNAPTICS INCORPORATED |
11120734 | Method and electronic device for switching operating mode of display | SAMSUNG ELECTRONICS CO., LTD. |
11120739 | Display device | SAMSUNG DISPLAY CO., LTD. |
11120765 | Automatic input style selection or augmentation for an external display device | MOTOROLA MOBILITY LLC |
11120768 | Frame drop processing method and system for played PPT | GUANGZHOU SHIRUI ELECTRONICS CO. LTD. |
11120773 | Placement of graphic elements of GUI with region of interest | INTEL CORPORATION |
11120775 | Compositing an image for display | DISPLAYLINK (UK) LIMITED |
11120781 | System and method for a visualizing characteristics of an audio event | INMUSIC BRANDS, INC. |
11120791 | Collaborative artificial intelligence (AI) voice response system control for authorizing a command associated with a calendar event | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11120792 | System for processing user utterance and controlling method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11120796 | Display mode dependent response generation with latency considerations | GOOGLE LLC |
11120797 | Information processing terminal, information processing apparatus, and information processing method | SONY CORPORATION |
11120798 | Voice interface system for facilitating anonymized team feedback for a team health monitor | ATLASSIAN PTY LTD. |
11120803 | Building automation system with NLP service abstraction | JOHNSON CONTROLS TYCO IP HOLDINGS LLP |
11120808 | Audio playing method and apparatus, and terminal | XI'AN ZHONGXING NEW SOFTWARE CO. LTD. |
11120879 | Adjustment of a voltage corresponding to an erase distribution of a memory sub-system in accordance with a selected rule | MICRON TECHNOLOGY, INC. |
11120880 | Command sequence for hybrid erase mode for high data retention in memory device | WESTERN DIGITAL TECHNOLOGIES, INC. |
11120892 | Content testing during image production | AMAZON TECHNOLOGIES, INC. |
11121187 | Organic light emitting diode display | SAMSUNG DISPLAY CO., LTD. |
11121190 | Optoelectronic device and methods of use | DOW GLOBAL TECHNOLOGIES LLC |
11121193 | Electronic panel and electronic apparatus including the same | SAMSUNG DISPLAY CO., LTD. |
11121194 | Display device | SAMSUNG DISPLAY CO., LTD. |
11121195 | Organic light emitting display device | SAMSUNG DISPLAY CO., LTD. |
11121196 | Display device | SAMSUNG DISPLAY CO., LTD. |
11121719 | Method of detecting user input in a 3D space and a 3D input system employing same | ZEROKEY INC. |
11121935 | System architecture for dynamically rendering a customized user interface on a mobile device | PAYPAL, INC. |
11121948 | Auto update of sensor configuration | CISCO TECHNOLOGY, INC. |
11121998 | Graphical user interface for email file content | CITRIX SYSTEMS, INC. |
11122113 | System and method for transferring value between database records | THE TORONTO-DOMINION BANK |
11122121 | Storage system having storage engines with multi-initiator host adapter and fabric chaining | EMC IP HOLDING COMPANY LLC |
11122122 | Restricting access to a data storage system on a local network | WESTERN DIGITAL TECHNOLOGIES, INC. |
11122172 | Control apparatus, image forming system and program | KONICA MINOLTA, INC. |
11122173 | Image forming apparatus and electronic device including a structurally isolated vibrating user interface | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11122176 | Non-transitory computer-readable storage medium | BROTHER KOGYO KABUSHIKI KAISHA |
11122179 | Image processing apparatus and non-transitory computer readable medium | FUJIFILM BUSINESS INNOVATION CORP. |
11122181 | Non-transitory computer-readable recording medium storing computer-executable instructions and setup system for selecting a device | BROTHER KOGYO KABUSHIKI KAISHA |
11122182 | Information processing apparatus, storage medium, and control method with voice instruction to peform print settings operation | CANON KABUSHIKI KAISHA |
11122185 | Information processing system, image forming system, and non-transitory computer readable medium storing program | FUJIFILM BUSINESS INNOVATION CORP. |
11122187 | Transmitter, receiver, transmitter/receiver, and transmitting/receiving system | THINE ELECTRONICS, INC. |
11122200 | Supplying content aware photo filters | SNAP INC. |
11122207 | Electronic apparatus, method for controlling the same, computer readable nonvolatile recording medium | CANON KABUSHIKI KAISHA |
11122219 | Mid-span device and system | ALTIA SYSTEMS INC. |
11122236 | Display apparatus with movable sensor module | SAMSUNG ELECTRONICS CO., LTD. |
11122237 | Systems and methods for redaction of screens | AXON ENTERPRISE, INC. |
11122258 | Method and apparatus for generating and displaying 360-degree video based on eye tracking and physiological measurements | PCMS HOLDINGS, INC. |
11122305 | Multimedia streaming and network apparatus | -- |
11122341 | Contextual event summary annotations for video streams | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11122349 | Server and system for controlling smart microphone | I-SCREAM MEDIA CO., LTD. |
11122355 | Headset controller | -- |
11122359 | Acoustic output apparatus and method thereof | SHENZHEN VOXTECH CO., LTD. |
11122367 | Method, device, mobile user apparatus and computer program for controlling an audio system of a vehicle | BAYERISCHE MOTOREN WERKE AKTIENGESELLSCHAFT |
11122382 | Playback based on acoustic signals | SONOS, INC. |
11122413 | Method and apparatus for efficiently transmitting small amounts of data in wireless communication systems | SAMSUNG ELECTRONICS CO., LTD. |
11123485 | Blood glucose control system switching without interruption of therapy delivery | BETA BIONICS, INC. |
11123486 | Switching blood glucose control system execution without interruption of therapy delivery | BETA BIONICS, INC. |
11123601 | Apparatus for omnidirectional locomotion | SONY MOBILE COMMUNICATIONS INC. |
11123604 | Apparatus for natural torso and limbs tracking and feedback for electronic interaction | BLUE GOJI LLC. |
11123767 | Tactile actuator and control method therefor | CK MATERIALS LAB CO., LTD. |
11123873 | Method and server for controlling interaction robot | CIRCULUS INC. |
11123961 | Precursor film, substrate with plated layer, conductive film, touch panel sensor, touch panel, method for producing conductive film, and composition for forming plated layer | FUJIFILM CORPORATION |
11124118 | Vehicular display system with user input display | MAGNA ELECTRONICS INC. |
11124129 | Display module | VISTEON GLOBAL TECHNOLOGIES, INC. |
11124136 | Controlling vehicle modules based on media content | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
11124904 | Conductive signal paths in woven fabrics | APPLE INC. |
11125456 | Light communication (LIFI) | -- |
11125614 | Sensor for motion information, illumination information and proximity information, and operating method of central processing unit (CPU) using the sensor | SAMSUNG ELECTRONICS CO., LTD. |
11125880 | Optical detector | BASF SE |
11125996 | Sedentary virtual reality method and systems | CONNECTIVITY LABS INC. |
11126003 | See-through computer display systems | MENTOR ACQUISITION ONE, LLC |
11126004 | Head-mounted electronic display device with lens position sensing | APPLE INC. |
11126025 | In-cell touch panel | PANASONIC LIQUID CRYSTAL DISPLAY CO., LTD. |
11126140 | Electronic device, external device capable of being combined with the electronic device, and a display method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11126145 | Wearable electronic device | -- |
11126146 | Wearable electronic device | -- |
11126151 | Data interaction platforms utilizing dynamic relational awareness | DSI DIGITAL, LLC |
11126153 | Methods and systems for the industrial internet of things | STRONG FORCE IOT PORTFOLIO 2016, LLC |
11126200 | Method, apparatus, and system for providing an interface for publishing sensor data requests in campaign management platform | HERE GLOBAL B.V. |
11126211 | Chip package assembly and chip function execution method thereof | -- |
11126223 | Electronic device and method for performing fast transition between screens | SAMSUNG ELECTRONICS CO., LTD. |
11126224 | Alternating sampling method for non-echo duplex conversations on a wearable device with multiple speakers and microphones | SNAP INC. |
11126228 | Method and apparatus for determining interaction position | GUANGZHOU PUPPY ROBOTICS CO., LTD. |
11126238 | Open channel solid state drive, nonvolatile memory system including the same and method of power loss protection of open channel solid state drive | SAMSUNG ELECTRONICS CO., LTD. |
11126248 | Data storage device detecting supply current limit | WESTERN DIGITAL TECHNOLOGIES, INC. |
11126251 | Power management in memory | MICRON TECHNOLOGY, INC. |
11126252 | Computer system and power management method thereof | -- |
11126257 | System and method for detecting human gaze and gesture in unconstrained environments | TOYOTA RESEARCH INSTITUTE, INC. |
11126258 | Managing and mapping multi-sided touch | QUALCOMM INCORPORATED |
11126260 | Control method and apparatus of intelligent device, and storage medium | BAIDU ONLINE NETWORK TECHNOLOGY (BEIJING) CO., LTD. |
11126261 | Display control system and rendering pipeline | AVEGANT CORP. |
11126262 | Gaze initiated interaction technique | -- |
11126263 | Haptic system for actuating materials | HARMAN INTERNATIONAL INDUSTRIES, INCORPORATED |
11126264 | Transmission of haptic input | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11126265 | Wearable haptic feedback | FORD GLOBAL TECHNOLOGIES, LLC |
11126266 | Vibrational input elements | SNAP INC. |
11126267 | Tactile feedback device and operation method thereof | -- |
11126269 | Facilitating interaction with a vehicle touchscreen using haptic feedback | VOLVO CAR CORPORATION |
11126270 | Systems and methods for mitigating gesture input error | MEDTRONIC, INC. |
11126271 | Keyboard | -- |
11126272 | Sinkable keyboard device | -- |
11126273 | User input devices and methods for identifying a user input in a user input device | RAZER (ASIA-PACIFIC) PTE. LTD. |
11126274 | Display apparatus | ARTILUX, INC. |
11126275 | Method and apparatus for presenting panoramic photo in mobile terminal, and mobile terminal | HUAWEI TECHNOLOGIES CO., LTD. |
11126276 | Method, device and equipment for launching an application | BEIJING BYTEDANCE NETWORK TECHNOLOGY CO., LTD. |
11126277 | Moldable input device system | MOUSE TAILOR GROUP LLC |
11126278 | Stylus with light energy harvesting | MICROSOFT TECHNOLOGY LICENSING, LLC |
11126279 | Stylus pen, touch panel, touch sensing system and operating method thereof | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11126280 | Electronic stylus having image capabilities | CVR GLOBAL, INC. |
11126281 | Computer system with color sampling stylus | APPLE INC. |
11126282 | System and method for touchpad display interaction with interactive and non-interactive regions | HONDA MOTOR CO., LTD. |
11126283 | Systems, methods, and computer-readable media for handling user input gestures on an extended trackpad of an electronic device | APPLE INC. |
11126284 | Touchpad assembly | MAINTEK COMPUTER (SUZHOU) CO., LTD |
11126286 | Window deco film and method of fabricating the same | DONGWOO FINE-CHEM CO., LTD. |
11126287 | Display device | JAPAN DISPLAY INC. |
11126288 | Touch sensor and display device having the same | SAMSUNG DISPLAY CO., LTD. |
11126289 | Display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11126290 | Pixel array substrate and method of driving the same | -- |
11126291 | Touch display panel and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11126292 | Electronic apparatus with touch panel and method for updating touch panel | -- |
11126293 | Electronic device having light absorbing member arranged between display panel and ultrasonic sensor | SAMSUNG ELECTRONICS CO., LTD. |
11126294 | Input apparatus that receives, after fixed period, position on screen of display device specified by touch operation | KYOCERA DOCUMENT SOLUTIONS INC. |
11126295 | Devices and methods for processing touch inputs | APPLE INC. |
11126296 | Operation input device with enhanced touch point detection with a display device | MITSUBISHI ELECTRIC CORPORATION |
11126297 | Electronic pen with ring-back and other functionalities | SIGMASENSE, LLC. |
11126298 | Touch detection apparatus, touch control apparatus, touch and display driver integration chip, touch control display apparatus, and touch detection and resistance measurement method | BOE TECHNOLOGY GROUP CO., LTD. |
11126299 | Method for recognizing multiple capacitive styluses, touch control unit, touch panel and system | INFOVISION OPTOELECTRONICS (KUNSHAN) CO., LTD. |
11126300 | Electronic device and input processing method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11126301 | Power adapter control method and control apparatus | HONOR DEVICE CO., LTD. |
11126302 | Driving method, touch sensing circuit, display panel, and touch display device | LG DISPLAY CO., LTD. |
11126303 | Device and method for capacitive sensing | SYNAPTICS INCORPORATED |
11126304 | Capacitance sensor, method for controlling the same, and program | ALPS ALPINE CO., LTD. |
11126305 | Compact optical sensor for fingerprint detection | WAVETOUCH LIMITED |
11126306 | Optical touch apparatus and width detecting method thereof | -- |
11126307 | Detection device and detection method for touch panel | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11126308 | Integrator, touch display device, and driving methods therefor | LG DISPLAY CO., LTD. |
11126309 | Touch input device and electronic system for a motor vehicle, and motor vehicle | BAYERISCHE MOTOREN WERKE AKTIENGESELLSCHAFT |
11126310 | Spatial-frequency-based capacitive motion sensor and method of using the same | CYPRESS SEMICONDUCTOR CORPORATION |
11126311 | Capacitive sensing acquisition schemes | SYNAPTICS INCORPORATED |
11126312 | Touch substrate, display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11126313 | Touch display apparatus | LG DISPLAY CO., LTD. |
11126314 | Touch substrate, touch control display apparatus, and method of fabricating a touch substrate | BOE TECHNOLOGY GROUP CO., LTD. |
11126315 | Touch electrode layer and touch display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11126316 | Capacitive touch sensor apparatus having electromechanical resonators | 1004335 ONTARIO INC. |
11126317 | Systems and methods for bulk redaction of recorded data | AXON ENTERPRISE, INC. |
11126318 | Filtering popup secondary data visualizations according to selected data from primary data visualizations | TABLEAU SOFTWARE, INC. |
11126319 | Mixed reality device gaze invocations | MICROSOFT TECHNOLOGY LICENSING, LLC |
11126320 | User interfaces for browsing objects in virtual reality environments | AMAZON TECHNOLOGIES, INC. |
11126321 | Application menu user interface | APPLE INC. |
11126322 | Electronic device and method for sharing image with external device using image link information | SAMSUNG ELECTRONICS CO., LTD. |
11126323 | Dynamic bar oriented user interface | BLACKBERRY LIMITED |
11126324 | Tile interface and launchpad system | SAP SE |
11126325 | Virtual workspace including shared viewport markers in a collaboration system | HAWORTH, INC. |
11126326 | Portable multifunction device, method, and graphical user interface for viewing and managing electronic calendars | APPLE INC. |
11126327 | Interactive data visualization user interface with gesture-based data field selection | TABLEAU SOFTWARE, INC. |
11126328 | Application processing method and apparatus, storage medium, and computing device | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
11126329 | Application command control for smaller screen display | MICROSOFT TECHNOLOGY LICENSING, LLC |
11126330 | Shaped-based techniques for exploring design spaces | AUTODESK, INC. |
11126331 | Systems and methods for generating a personalized lobby interface based on contest attributes and user attributes | DRAFTKINGS, INC. |
11126332 | Composable events for dynamic user interface composition | OPEN TEXT CORPORATION |
11126333 | Application reporting in an application-selectable user interface | MICROSOFT TECHNOLOGY LICENSING, LLC |
11126334 | Method, device and storage medium for inputting data | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11126335 | Interactive presentation system | TRUSTEES OF THE THOMAS FAMILY TRUST |
11126336 | Dynamic street scene overlay | APPLE INC. |
11126337 | Picture display control device, and operating program and operating method of picture display control device | FUJIFILM CORPORATION |
11126338 | Computer-implemented systems and methods for providing consistent application generation | IPAR, LLC |
11126339 | Mobile communication device having a user interface depiction on the basis of a parameter captured on an interface | TRIDONIC GMBH & CO KG |
11126340 | Systems and methods for dynamically generating customized web-based payment interfaces | MASTERCARD INTERNATIONAL INCORPORATED |
11126341 | Object manipulating method, host device and computer readable storage medium | -- |
11126342 | Electronic device for controlling image display based on scroll input and method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11126343 | Information processing apparatus, information processing method, and program | SATURN LICENSING LLC |
11126344 | Systems and methods for sharing content | FACEBOOK, INC. |
11126345 | Electronic device comprising touch screen and operation method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11126346 | Digital flash card techniques | BARNES & NOBLE COLLEGE BOOKSELLERS, LLC |
11126347 | Object batching method and apparatus | BEIJING BYTEDANCE NETWORK TECHNOLOGY CO., LTD. |
11126348 | Devices, methods, and graphical user interfaces for messaging | APPLE INC. |
11126349 | Display device and control method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
11126350 | Utilization of a distributed index to provide object memory fabric coherency | ULTRATA, LLC |
11126351 | Policy-based management of disk storage for consumer storge buckets | SALESFORCE.COM, INC. |
11126352 | Method for using BMC as proxy NVMeoF discovery controller to provide NVM subsystems to host | SAMSUNG ELECTRONICS CO., LTD. |
11126353 | Method and apparatus for data copy | EMC IP HOLDING COMPANY LLC |
11126354 | Effective transaction table with page bitmap | SAMSUNG ELECTRONICS CO., LTD. |
11126355 | Write-based data management using endurance tiers in a storage system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11126356 | Methods and systems for customized encoding and decoding communications | SEAPORT, INC. |
11126357 | Intelligent SAS phy connection management | WESTERN DIGITAL TECHNOLOGIES, INC. |
11126358 | Data migration agnostic of pathing software or underlying protocol | EMC IP HOLDING COMPANY LLC |
11126359 | Partitioning graph data for large scale graph processing | SAMSUNG ELECTRONICS CO., LTD. |
11126360 | Dynamically adjusting block mode pool sizes | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11126361 | Multi-level bucket aggregation for journal destaging in a distributed storage system | EMC IP HOLDING COMPANY LLC |
11126362 | Migrating storage data | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11126363 | Migration resumption using journals | EMC IP HOLDING COMPANY LLC |
11126364 | Virtual storage system architecture | PURE STORAGE, INC. |
11126365 | Skipping data backed up in prior backup operations | COMMVAULT SYSTEMS, INC. |
11126366 | Data erasing method, memory control circuit unit and memory storage device | -- |
11126367 | Storage system and method for determining ecosystem bottlenecks and suggesting improvements | WESTERN DIGITAL TECHNOLOGIES, INC. |
11126368 | Systems and methods for finding a last good page in NAND open block | WESTERN DIGITAL TECHNOLOGIES, INC. |
11126369 | Data storage with improved suspend resume performance | WESTERN DIGITAL TECHNOLOGIES, INC. |
11126370 | Memory controller and operating method thereof | SK HYNIX INC. |
11126371 | Caching file data within a clustered computing system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11126372 | External memory controller | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11126373 | Semiconductor device and data processing system | RENESAS ELECTRONICS CORPORATION |
11126374 | Technologies for efficient stochastic associative search operations | INTEL CORPORATION |
11126375 | Arbiter circuit for commands from multiple physical functions in a memory sub-system | MICRON TECHNOLOGY, INC. |
11126376 | Apparatus and method for performing recovery operation of memory system | SK HYNIX INC. |
11126377 | Accessing solid state disk | NEW H3C INFORMATION TECHNOLOGIES CO., LTD. |
11126378 | Rate limit on the transitions of zones to open | WESTERN DIGITAL TECHNOLOGIES, INC. |
11126379 | Memory system | SK HYNIX INC. |
11126381 | Lightweight copy | PURE STORAGE, INC. |
11126382 | SD card-based high-speed data storage method | SHANDONG UNIVERSITY |
11126383 | Information processing device including first and second network interfaces | OKI ELECTRIC INDUSTRY CO., LTD. |
11126384 | Removably couplable printer and verifier assembly | DATAMAX-O'NEIL CORPORATION |
11126385 | Firmware upgrade system and associated methods for printing devices | KYOCERA DOCUMENT SOLUTIONS INC. |
11126386 | Image forming device, non-transitory computer readable medium, and information processing system | FUJIFILM BUSINESS INNOVATION CORP. |
11126387 | Activate scanners for printer beacons | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11126388 | Measurement instrument identification system as well as method for finding a particular measurement instrument | ROHDE & SCHWARZ GMBH & CO. KG |
11126389 | Controlling visual indicators in an audio responsive electronic device, and capturing and providing audio using an API, by native and non-native computing devices and services | ROKU, INC. |
11126390 | System and method for controlling coordination between medical devices, medical workstation and communication device | SHENZHEN MINDRAY BIO-MEDICAL ELECTRONICS CO., LTD. |
11126391 | Contextual and aware button-free screen articulation | HARMAN INTERNATIONAL INDUSTRIES, INCORPORATED |
11126392 | Display apparatus and method of controlling the same | SAMSUNG ELECTRONICS CO., LTD. |
11126393 | Card products utilizing thin screen displays | QUIZZIT, INC. |
11126394 | Systems and methods for content delivery and management | LISNR, INC. |
11126395 | Intercom system for multiple users | SENTIAR, INC. |
11126396 | Audio output device selection | LENOVO (SINGAPORE) PTE. LTD. |
11126397 | Music audio control and distribution system in a location | CHESTNUT HILL SOUND, INC. |
11126398 | Smart speaker | LISTEN AS |
11126399 | Method and device for displaying sound volume, terminal equipment and storage medium | BEIJING MICROLIVE VISION TECHNOLOGY CO., LTD |
11126400 | Zero latency digital assistant | APPLE INC. |
11126405 | Utilizing augmented reality and artificial intelligence to automatically generate code for a robot | ACCENTURE GLOBAL SOLUTIONS LIMITED |
11126416 | Method and system for application installation and interaction during a podcast | AFFLE (INDIA) LIMITED |
11126422 | Program update system, control system, mobile body, program update method, recording medium | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11126443 | Method and apparatus for user interface modification | VERSATA FZ-LLC |
11126444 | Methods and systems for content generation via templates with rules and/or triggers | COREL CORPORATION |
11126445 | Disparate data aggregation for user interface customization | C/HCA, INC. |
11126447 | Facilitating user configured assistance requests through a chat in a virtual space | KABAM, INC. |
11126451 | Converting virtual volumes in place | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11126459 | Filesystem using hardware transactional memory on non-volatile dual in-line memory module | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11126478 | System and method for processing of events | COGILITY SOFTWARE CORPORATION |
11126483 | Direct message retrieval in distributed messaging systems | ORACLE INTERNATIONAL CORPORATION |
11126491 | Information processing apparatus and control method including a mechanism for identifying a cause of an error in the information processing apparatus | CANON KABUSHIKI KAISHA |
11126508 | Systems and methods for continuous data protection | RUBRIK, INC. |
11126514 | Information processing apparatus, information processing system, and recording medium recording program | FUJITSU LIMITED |
11126517 | Method and system for communication channels to management controller | -- |
11126520 | Skew detector for data storage system | AMAZON TECHNOLOGIES, INC. |
11126524 | Configuration of key-mapping | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11126528 | Methods for generating a branch health index | JPMORGAN CHASE BANK, N.A. |
11126533 | Temporal analysis of a computing environment using event data and component relationship data | VMWARE, INC. |
11126543 | Software test automation system and method | TURNKEY SOLUTIONS CORP. |
11126544 | Method and apparatus for efficient garbage collection based on access probability of data | -- |
11126547 | Memory controller, memory system having the same, and method of operating memory system | SK HYNIX INC. |
11126551 | Data access for system of systems operational analytics | THE GOVERNMENT OF THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF HOMELAND SECURITY |
11126567 | Combined integrity protection, encryption and authentication | GOOGLE LLC |
11126569 | Information processing system, information processing method, and program | MITSUBISHI HEAVY INDUSTRIES MACHINERY SYSTEMS, LTD. |
11126570 | System for providing an alternative control interface to specialty devices | -- |
11126572 | Methods and systems for streaming data packets on peripheral component interconnect (PCI) and on-chip bus interconnects | INTEL CORPORATION |
11126585 | Data storage device with improved interface transmitter training | WESTERN DIGITAL TECHNOLOGIES, INC. |
11126602 | Key-value storage device and operating method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11126620 | Automatic verification and triage of query results | ORACLE INTERNATIONAL CORPORATION |
11126630 | Ranking partial search query results based on implicit user interactions | SALESFORCE.COM, INC. |
11126634 | Providing enhanced page layout representations of database entries | SALESFORCE.COM, INC. |
11126638 | Data visualization and parsing system | PALANTIR TECHNOLOGIES INC. |
11126641 | Optimized data distribution system | TECHNION RESEARCH & DEVELOPMENT FOUNDATION LIMITED |
11126646 | Implicit and explicit cognitive analyses for data content comprehension | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11126648 | Automatically launched software add-ins for proactively analyzing content of documents and soliciting user input | MICROSOFT TECHNOLOGY LICENSING, LLC |
11126657 | Efficient in-memory representation of computation graph for fast serialization and comparison | ALIBABA GROUP HOLDING LIMITED |
11126662 | Computer data distribution architecture connecting an update propagation graph through multiple remote query processors | DEEPHAVEN DATA LABS LLC |
11126671 | Serializing plug-in data in a web page | MICROSOFT TECHNOLOGY LICENSING, LLC |
11126674 | Soft recommendations | PAYPAL, INC. |
11126680 | Dynamic web page navigation | CA, INC. |
11126705 | Systems and methods for user authentication using word-gesture pairs | MASTERCARD INTERNATIONAL INCORPORATED |
11126740 | Storage isolation for containers | MICROSOFT TECHNOLOGY LICENSING, LLC |
11126745 | Privacy approval system | SNAP INC. |
11126784 | Methods, systems, and apparatus for email to persistent messaging | ILLUMY INC. |
11126786 | Method for displaying data on a mobile terminal | -- |
11126787 | Generating responsive content from an electronic document | MADCAP SOFTWARE, INC. |
11126791 | In-application example library | MICROSOFT TECHNOLOGY LICENSING, LLC |
11126792 | Version history for offline edits | DROPBOX, INC. |
11126794 | Targeted rewrites | MICROSOFT TECHNOLOGY LICENSING, LLC |
11126814 | Ultrasonic fingerprint sensor with flexible substrate | QUALCOMM INCORPORATED |
11126816 | Display device and operation method thereof | CHONGQING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11126817 | Electronic device and method for obtaining information associated with fingerprint | SAMSUNG ELECTRONICS CO., LTD. |
11126822 | Method and apparatus for obtaining painting | BOE TECHNOLOGY GROUP CO., LTD. |
11126825 | Natural language interaction for smart assistant | MICROSOFT TECHNOLOGY LICENSING, LLC |
11126842 | Eye pose identification using eye features | MAGIC LEAP, INC. |
11126845 | Comparative information visualization in augmented reality | A9.COM, INC. |
11126849 | Camera platform incorporating schedule and stature | EBAY INC. |
11126850 | Systems and methods for detecting objects within the boundary of a defined space while in artificial reality | FACEBOOK TECHNOLOGIES, LLC |
11126884 | Image processing apparatus, method for controlling image processing apparatus, and non-transitory computer-readable storage medium | CANON KABUSHIKI KAISHA |
11126897 | Unification of classifier models across device platforms | INTEL CORPORATION |
11126900 | Processing of spot colors in a printing system | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11126903 | Method and system for configurable and scalable unmanned aerial vehicles and systems | LIMITLESS COMPUTING, INC. |
11126920 | Interaction scenario display control method and information processing apparatus | FUJITSU LIMITED |
11126922 | Extracting live camera colors for application to a digital design | ADOBE INC. |
11126961 | Methods and systems for generating a planogram at a retail facility | WALMART APOLLO, LLC |
11126967 | Dynamic markup language-driven product administration system | THE CINCINNATI INSURANCE COMPANY |
11126972 | Enhanced task management feature for electronic applications | MICROSOFT TECHNOLOGY LICENSING, LLC |
11126985 | Integrating functionality across multiple applications | SQUARE, INC. |
11127022 | Retail as a service | B8TA, INC. |
11127040 | Method and apparatus for real-time delivery of publicly displayed information to mobile devices | XAD, INC. |
11127042 | Content output systems using vehicle-based data | ALLSTATE INSURANCE COMPANY |
11127051 | Dynamic promotional layout management and distribution rules | SANDERLING MANAGEMENT LIMITED |
11127061 | Method, product, and system for identifying items for transactions | TOSHIBA GLOBAL COMMERCE SOLUTIONS HOLDINGS CORPORATION |
11127078 | Personalized navigation control items for an application | UNITED SERVICES AUTOMOBILE ASSOCIATION (USAA) |
11127080 | Computer-based systems of microservice orchestration based on bounded contexts and methods of use thereof | CAPITAL ONE SERVICES, LLC |
11127099 | Systems and methods for predicting future event outcomes based on data analysis | FISCALNOTE, INC. |
11127110 | Data processing systems | ARM LIMITED |
11127143 | Real-time processing of handstate representation model estimates | FACEBOOK TECHNOLOGIES, LLC |
11127171 | Differentiating in-canvas markups of document-anchored content | MICROSOFT TECHNOLOGY LICENSING, LLC |
11127177 | Technology for generating product designs using multiple canvases | CIMPRESS SCHWEIZ GMBH |
11127179 | Display of a live scene and auxiliary object | QUALCOMM INCORPORATED |
11127181 | Avatar facial expression generating system and method of avatar facial expression generation | -- |
11127182 | Detecting physical boundaries | APPLE INC. |
11127195 | Continuous time warp for virtual and augmented reality display systems and methods | MAGIC LEAP, INC. |
11127208 | Method for providing virtual reality image and program using same | CLICKED, INC. |
11127209 | Method and system for virtual outfit fitting based on a smart wardrobe | KING ABDULAZIZ UNIVERSITY |
11127210 | Touch and social cues as inputs into a computer | MICROSOFT TECHNOLOGY LICENSING, LLC |
11127213 | Techniques for crowdsourcing a room design, using augmented reality | HOUZZ, INC. |
11127214 | Cross layer traffic optimization for split XR | QUALCOMM INCORPORATED |
11127222 | Augmented reality environment for technical data | MBTE HOLDINGS SWEDEN AB |
11127239 | User-specific dispensing system | ZITO LLC |
11127299 | Traffic allowance method | -- |
11127306 | Medical virtual reality surgical system | PRECISIONOS TECHNOLOGY INC. |
11127308 | Personalized digital therapeutic interventions | VIGNET INCORPORATED |
11127321 | User interface transitions and optimizations for foldable computing devices | MICROSOFT TECHNOLOGY LICENSING, LLC |
11127325 | Technologies for enabling simplified pixel shifting to mitigate pixel burn-in | INTEL CORPORATION |
11127328 | Bar screen control circuitry, bar screen display system and method for controlling a bar screen | BOE TECHNOLOGY GROUP CO., LTD. |
11127329 | Display device and image display method | -- |
11127338 | System and method for presenting data at variable quality | DISPLAYLINK (UK) LIMITED |
11127350 | Pixel circuit, active matrix organic light emitting diode display panel, display apparatus, and method of compensating threshold voltage of driving transistor | BOE TECHNOLOGY GROUP CO., LTD. |
11127367 | Relay device | SOCIONEXT INC. |
11127368 | Data transmitting system and display apparatus having the same and method of transmitting data using the same | SAMSUNG DISPLAY CO., LTD. |
11127374 | Bidirectional display device and method of controlling same | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
11127376 | Method for rendering a current image on a head-mounted display, corresponding apparatus, computer program product, and computer readable carrier medium | THOMSON LICENSING |
11127379 | Display device, control device, and electronic apparatus | SHARP KABUSHIKI KAISHA |
11127397 | Device voice control | APPLE INC. |
11127398 | Method for voice controlling, terminal device, cloud server and system | BAIDU ONLINE NETWORK TECHNOLOGY (BEIJING) CO., LTD. |
11127450 | Pre-writing memory cells of an array | MICRON TECHNOLOGY, INC. |
11127456 | Nonvolatile memory device and method of programing with capability of detecting sudden power off | SAMSUNG ELECTRONICS CO., LTD. |
11127466 | Read data sorting method and storage device for sequentially transmitting read data of continuous logic block addresses to host | SHENZHEN EPOSTAR ELECTRONICS LIMITED CO. |
11127471 | Read retry threshold voltage selection | SK HYNIX INC. |
11127495 | Quality management of imaging workflows | KONINKLIJKE PHILIPS N.V. |
11127500 | Centralized control apparatus and display control method of operation panel | OLYMPUS CORPORATION |
11127545 | Keyboard device | -- |
11127547 | Electroactive polymers for an electronic device | APPLE INC. |
11127667 | Display device | SAMSUNG DISPLAY CO., LTD. |
11127751 | Back gates and related apparatuses, systems, and methods | MICRON TECHNOLOGY, INC. |
11127796 | Display panel with integrated pressure sensor and printed circuit board | SAMSUNG DISPLAY CO., LTD. |
11127811 | Display panel with layered transmission pads in non-display area, manufacturing method thereof and display device | SHANGHAI TIANMA AM-OLED CO., LTD. |
11127920 | Electroluminescent device having window | SAMSUNG DISPLAY CO., LTD. |
11127929 | Display panel and display device comprising the same | LG DISPLAY CO., LTD. |
11128094 | Pin encoded mode selection system | MAXIM INTEGRATED PRODUCTS, INC. |
11128286 | Measurement, calibration and tuning of memory bus duty cycle | WESTERN DIGITAL TECHNOLOGIES, INC. |
11128298 | Advanced capacitive proximity sensor | SEMTECH CORPORATION |
11128538 | Method and system for an interactive, tangible system for visualizing, designing and debugging distributed software applications | MASTERCARD INTERNATIONAL INCORPORATED |
11128542 | Interactive user interface to visually display the impact of configuration changes on a managed network | SERVICENOW, INC. |
11128543 | Enrollment data visualization using enhanced graphical user interface elements | VMWARE, INC. |
11128552 | Round trip time (RTT) measurement based upon sequence number | CISCO TECHNOLOGY, INC. |
11128553 | Technologies for switching network traffic in a data center | INTEL CORPORATION |
11128578 | Switching between mediator services for a storage system | PURE STORAGE, INC. |
11128587 | Enterprise messaging using a virtual message broker | SAP SE |
11128593 | Event-based electronic communications management | DROPBOX, INC. |
11128601 | Methods, systems, and computer program products for compiling information for use in a command script for a product dispensing system | SPENCER HEALTH SOLUTIONS, LLC |
11128613 | Authentication based on visual memory | WEST JORDAN |
11128633 | Microservice deployment | SAP SE |
11128636 | Systems, methods, and apparatus for enhanced headsets | SCIENCE HOUSE LLC |
11128651 | Pattern creation in enterprise threat detection | SAP SE |
11128675 | Automatic ad-hoc multimedia conference generator | AT&T INTELLECTUAL PROPERTY I, L.P. |
11128676 | Client computing device providing predictive pre-launch software as a service (SaaS) sessions and related methods | CITRIX SYSTEMS, INC. |
11128681 | Methods and apparatus for providing social viewing of media content | DISH TECHNOLOGIES L.L.C. |
11128720 | Method and system for searching network resources to locate content | OPEN INVENTION NETWORK LLC |
11128735 | Remote computing resource allocation | MICROSOFT TECHNOLOGY LICENSING, LLC |
11128748 | Information processing apparatus, non-transitory computer readable medium and method for processing information | FUJIFILM BUSINESS INNOVATION CORP. |
11128749 | Communication terminal device, program, and information-processing method | NTT DOCOMO, INC. |
11128758 | Device, system, and process for wireless service optimization based on analyzed wireless device usage | TRACFONE WIRELESS, INC. |
11128760 | Image forming device, image reading device, non-transitory recording medium storing computer-readable program, image forming method, and image processing device | KONICA MINOLTA, INC. |
11128770 | Image processing system and non-transitory computer readable medium | FUJIFILM BUSINESS INNOVATION CORP. |
11128775 | Meta information transmission system through printed matter, printing control apparatus, printed matter reading apparatus, method for applying meta information for printed matter, and method for acquiring meta information from printed matter | WINGARC1ST INC. |
11128776 | Remote support system, image forming apparatus, external terminal, printer controller, methods of controlling these, and storage medium | CANON KABUSHIKI KAISHA |
11128777 | Recording apparatus | SEIKO EPSON CORPORATION |
11128780 | Methods and systems for managing one or more office devices during an emergency in an organization | XEROX CORPORATION |
11128783 | Systems and methods for tracking objects in a field of view | DISNEY ENTERPRISES, INC. |
11128790 | Monitoring method and system for positioning device | -- |
11128794 | Augmented reality real estate MLS and virtual tours | UPLAY1 |
11128802 | Photographing method and mobile terminal | VIVO MOBILE COMMUNICATION CO., LTD. |
11128812 | Generating content for a virtual reality system | VERIZON PATENT AND LICENSING INC. |
11128825 | Navigation device with adaptive fixed pattern noise update and operating method thereof | -- |
11128836 | Multi-camera display | NOKIA TECHNOLGIES OY |
11128919 | Methods and systems for displaying a countdown alert for children | ROVI GUIDES, INC. |
11128924 | Systems and methods for navigating media assets | ROVI GUIDES, INC. |
11128925 | Media presentation system using audience and audio feedback for playback level control | NXP USA, INC. |
11128944 | Proximity detecting headphone devices | -- |
11128969 | Electronic device and mobile device for analyzing user's voice using a plurality of microphones | SAMSUNG ELECTRONICS CO., LTD. |
11128970 | Electronic device and music visualization method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11128977 | Spatial audio downmixing | APPLE INC. |
11129018 | Payment means operation supporting method and electronic device for supporting the same | SAMSUNG ELECTRONICS CO., LTD. |
11129259 | Method and apparatus for multi-experience metadata translation of media content with metadata | AT&T INTELLECTUAL PROPERTY I, L.P. |
11129274 | Rollable display device and electronic device including the same | SAMSUNG DISPLAY CO., LTD. |
11129578 | Method and system for rule based display of sets of images | PME IP PTY LTD |
11129636 | Surgical instruments comprising an articulation drive that provides for high articulation angles | CILAG GMBH INTERNATIONAL |
11129938 | Ambulatory medical device update without interruption of therapy delivery | BETA BIONICS, INC. |
11130037 | Boxing fitness device and detection method thereof | -- |
11130041 | System for providing a virtual exercise place | -- |
11130042 | Smart device | -- |
11130050 | Information processing system, controller device, and information processing apparatus | SONY INTERACTIVE ENTERTAINMENT INC. |
11130233 | Automation windows for robotic process automation | UIPATH, INC. |
11130592 | Method of avoiding controlled flight into terrain and a controlled flight into terrain avoidance system | -- |
11130848 | Laminated optical film and touch panel | ZEON CORPORATION |
11131107 | Sequenced chamber wave generator controller and method | AMERICAN WAVE MACHINES, INC. |
11131331 | Complementary fluidic logic and memory devices | FACEBOOK TECHNOLOGIES, LLC |
11131453 | Touch sensor assembly and door including the same | LG ELECTRONICS INC. |
11131566 | Electrostatic sensor | ALPS ALPINE CO., LTD. |
11131697 | System and method for combining a remote audio source with an animatronically controlled puppet | -- |
11131728 | Method for initializing a sensor array | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11131787 | Electromagnetic sensor and mobile device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11131856 | Head-mounted display | BHAPTICS INC. |
11131887 | Display apparatus, panel switching method and electronic device | MOBVOI INFORMATION TECHNOLOGY COMPANY LIMITED |
11131894 | Display device | -- |
11131952 | Print control apparatus, printer, display apparatus, and print system for managing a print job based upon a completion condition | FUJIFILM BUSINESS INNOVATION CORP. |
11131967 | Clock faces for an electronic device | APPLE INC. |
11132004 | Spatial diveristy for relative position tracking | POSITION IMAGING, INC. |
11132024 | Battery architecture in an electronic device | APPLE INC. |
11132025 | Apparatus including multiple touch screens and method of changing screens therein | SAMSUNG ELECTRONICS CO., LTD. |
11132047 | Performance and power tuning user interface | ATI TECHNOLOGIES ULC |
11132048 | User attention-based user experience | INTEL CORPORATION |
11132050 | Compute through power loss hardware approach for processing device having nonvolatile logic memory | TEXAS INSTRUMENTS INCORPORATED |
11132051 | Systems and methods to provide an interactive environment in response to touch-based inputs | DISNEY ENTERPRISES, INC. |
11132052 | System for generating cues in an augmented reality environment | DISNEY ENTERPRISES, INC. |
11132053 | Method and device for surfacing physical environment interactions during simulated reality sessions | APPLE INC. |
11132054 | Electronic apparatus, control method thereof and electronic system | SAMSUNG ELECTRONICS CO., LTD. |
11132055 | Enhancing the performance of near-to-eye vision systems | ESIGHT CORP. |
11132056 | Predictive eye tracking systems and methods for foveated rendering for electronic displays | FACEBOOK TECHNOLOGIES, LLC |
11132057 | Use of light transmission through tissue to detect force | APPLIED INVENTION, LLC |
11132058 | Spatially offset haptic feedback | FACEBOOK TECHNOLOGIES, LLC |
11132059 | Input device with haptic interface | LOGITECH EUROPE S.A. |
11132060 | Collaborative interactions and feedback with midair interfaces | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11132061 | Fluidic haptic device operable by user movement | FACEBOOK TECHNOLOGIES, LLC |
11132062 | Coherent phase switching and modulation of a linear actuator array | GENERAL VIBRATION CORPORATION |
11132063 | Information processing apparatus for interactively performing work based on input content in extended work space | SONY CORPORATION |
11132064 | User-defined virtual interaction space and manipulation of virtual configuration | ULTRAHAPTICS IP TWO LIMITED |
11132065 | Radar-enabled sensor fusion | GOOGLE LLC |
11132066 | Radial gesture navigation | SNAP INC. |
11132067 | Simulation experience with physical objects | DISNEY ENTERPRISES, INC. |
11132068 | Information display method and information display system | -- |
11132069 | Systems and methods of selection acknowledgement for interfaces promoting obfuscation of user operations | MICROSOFT TECHNOLOGY LICENSING, LLC |
11132070 | Computer input devices with hybrid translation modes | ARKADE, INC. |
11132071 | Foldable mouse device | SILITEK ELECTRONICS (DONGGUAN) CO., LTD. |
11132072 | Electronic device for pairing with stylus pen and method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11132073 | Stylus, touch electronic device, and touch system | -- |
11132074 | Active stylus | WACOM CO., LTD. |
11132075 | Touchpad and display screen thereof | BOE TECHNOLOGY GROUP CO., LTD. |
11132076 | Touch substrate, method for manufacturing the same and touch device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11132077 | Method of routing in a singular direction first traces that are electrically coupled to respective rows of an array of touch sensors between respective second traces that are electrically coupled to respective columns of the array | SENSEL, INC. |
11132079 | Sensor device and sensor-equipped display device including detection electrode | JAPAN DISPLAY INC. |
11132080 | Touch circuit and driving method thereof, and driving system for a touch display device | CHONGQING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11132081 | Touch circuit, touch display device and driving method thereof | LG DISPLAY CO., LTD. |
11132082 | Multifunctional display | PA.COTTE FAMILY HOLDING GMBH |
11132083 | Force sense presenting object and book | NIPPON TELEGRAPH AND TELEPHONE CORPORATION |
11132084 | Touch circuit, touch detection method, display panel and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11132085 | Pressure-sensing touch control display substrate, pressure-sensing touch control display apparatus, method of driving pressure-sensing touch control display apparatus, and method of fabricating pressure-sensing touch control display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11132086 | Information carrier object and system for retrieving information | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11132087 | Display device | SAMSUNG DISPLAY CO., LTD. |
11132088 | Method for obtaining background information and electronic device supporting the same | SAMSUNG ELECTRONICS CO., LTD. |
11132089 | Input device | DENSO CORPORATION |
11132090 | Peripheral display devices | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11132091 | Touch driving circuit | SAMSUNG DISPLAY CO., LTD. |
11132092 | Touch substrate, manufacturing method thereof and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11132093 | Touch sensor, touch panel, conductive member for touch panel, and conductive sheet for touch panel | FUJIFILM CORPORATION |
11132094 | Electronic apparatus | SAMSUNG DISPLAY CO., LTD. |
11132095 | Tactile presentation panel, tactile presentation touch panel, and tactile presentation touch display | MITSUBISHI ELECTRIC CORPORATION |
11132096 | Display device | SAMSUNG DISPLAY CO., LTD. |
11132097 | Channel scan logic | APPLE INC. |
11132098 | Electronic panel and electronic device including the same | SAMSUNG DISPLAY CO., LTD. |
11132099 | Information processing device and information processing method | SONY CORPORATION |
11132100 | 4D interactive mission analytics for visualization of unmanned vehicle performance indicators | NORTHROP GRUMMAN SYSTEMS CORPORATION |
11132101 | Icon moving method, terminal and storage medium | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
11132102 | System and method for geographic data layer management in a geographic information system | GOOGLE LLC |
11132104 | Managing user interface items in a visual user interface (VUI) | HUAWEI TECHNOLOGIES CO., LTD. |
11132105 | Capturing electronic signatures using an expanded interface area | ADOBE INC. |
11132106 | User-specific applications for shared devices | VMWARE, INC. |
11132107 | Native application collaboration | DROPBOX, INC. |
11132108 | Dynamic system and method for content and topic based synchronization during presentations | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11132109 | Timeline visualization and investigation systems and methods for time lasting events | EXFO SOLUTIONS SAS |
11132110 | Graphical user interfaces for monitoring video conferences | LENOVO (SINGAPORE) PTE. LTD. |
11132111 | Assigning workflow network security investigation actions to investigation timelines | SPLUNK INC. |
11132112 | Systems and methods for rendering user interface elements | GOOGLE LLC |
11132113 | Interaction signal processing in distributed computing systems | MICROSOFT TECHNOLOGY LICENSING, LLC |
11132114 | Method and apparatus for generating customized visualization component | BEIJING BAIDU NETCOM SCIENCE AND TECHNOLOGY CO., LTD. |
11132115 | Copying user interface artifacts with dynamic levels of detail and shortcut keys | MICROSOFT TECHNOLOGY LICENSING, LLC |
11132116 | Method and system for accessing mini applications, sub-application, sub-programs, functions and graphical user interfaces from the parent application | -- |
11132117 | Physiological monitor touchscreen interface | MASIMO CORPORATION |
11132118 | User interface editor | FACEBOOK, INC. |
11132119 | User interface and method for adapting a view of a display unit | VOLKSWAGEN AKTIENGESELLSCHAFT |
11132120 | Device, method, and graphical user interface for transitioning between user interfaces | APPLE INC. |
11132121 | Method, apparatus, storage medium, and electronic device of processing split screen display | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
11132122 | Handwriting input apparatus, handwriting input method, and non-transitory recording medium | RICOH COMPANY, LTD. |
11132123 | Key display method, terminal, and non-transitory computer-readable medium | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
11132124 | Memory subsystem operations with unaligned and scatter gather feature to support convolution and dimension shuffle | INTEL CORPORATION |
11132125 | Cognitively managed storage volumes for container environments | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11132126 | Backup services for distributed file systems in cloud computing environments | QUMULO, INC. |
11132127 | Interconnect systems and methods using memory links to send packetized data between different data handling devices of different memory domains | MICRON TECHNOLOGY, INC. |
11132128 | Systems and methods for data placement in container-based storage systems | VERITAS TECHNOLOGIES LLC |
11132129 | Methods for minimizing fragmentation in SSD within a storage system and devices thereof | NETAPP INC. |
11132130 | Segment cleaning method using non-volatile random-access memory and memory management apparatus thereof | RESEARCH & BUSINESS FOUNDATION SUNGKYUNKWAN UNIVERSITY |
11132131 | Consistently enforcing I/O resource constraints for workloads on parallel-access storage devices | ORACLE INTERNATIONAL CORPORATION |
11132132 | Storage apparatus including nonvolatile memory | KIOXIA CORPORATION |
11132133 | Workload-adaptive overprovisioning in solid state storage drive arrays | TOSHIBA MEMORY CORPORATION |
11132134 | Flexible over-provisioning of storage space within solid-state storage devices (SSDs) | APPLE INC. |
11132135 | Dynamic disk replication mode selection based on storage area network latency | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11132136 | Variable width superblock addressing | MICRON TECHNOLOGY, INC. |
11132137 | Methods and systems for providing read-optimized scalable offline de-duplication for blocks of data | ACRONIS INTERNATIONAL GMBH |
11132138 | Converting large extent storage pools into small extent storage pools in place | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11132139 | Systems and methods for migrating components in a hierarchical storage network | COMMVAULT SYSTEMS, INC. |
11132140 | Processing map metadata updates to reduce client I/O variability and device time to ready (TTR) | SEAGATE TECHNOLOGY, LLC |
11132141 | System and method for synchronization of data containers | IONIR SYSTEMS LTD. |
11132142 | Systems and methods for writing zeros to a memory array | MICRON TECHNOLOGY, INC. |
11132143 | Universal flash storage (UFS) device and computing device and computing device including storage UFS device for reporting buffer size based on reuse time after erase | SAMSUNG ELECTRONICS CO., LTD. |
11132144 | Integrated interface and electronic device | FU TAI HUA INDUSTRY (SHENZHEN) CO., LTD. |
11132145 | Techniques for reducing write amplification on solid state storage devices (SSDs) | APPLE INC. |
11132146 | Technique for efficiently allowing simultaneous access to resources in a computing system | NVIDIA CORPORATION |
11132147 | Memory command verification | MICRON TECHNOLOGY, INC. |
11132148 | Semiconductor memory device and a method of operating the same | SK HYNIX INC. |
11132149 | System and information processing method for providing available applications | RICOH COMPANY, LTD. |
11132151 | Communication device and non-transitory computer-readable medium for communication device | BROTHER KOGYO KABUSHIKI KAISHA |
11132152 | Firmware update system, electronic apparatus, and non-transitory computer-readable recording medium having stored thereon firmware update program | KYOCERA DOCUMENT SOLUTIONS INC. |
11132153 | Communication method and packing box | SATO HOLDINGS KABUSHIKI KAISHA |
11132154 | Image processing system to support printing by users of chat applications and control method thereof | CANON KABUSHIKI KAISHA |
11132155 | Image forming apparatus having main body, main memory and controller, and consumable cartridge having cartridge memory therefor | BROTHER KOGYO KABUSHIKI KAISHA |
11132156 | Image forming apparatus | KYOCERA DOCUMENT SOLUTIONS INC. |
11132157 | Laser printhead raster path configuration for modifying a rewriteable label | ZEBRA TECHNOLOGIES CORPORATION |
11132158 | Image forming apparatus, control method, and storage medium storing program | CANON KABUSHIKI KAISHA |
11132159 | Print caching | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11132160 | Electronic terminal and display screen having a camera under a display area | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
11132161 | Controlling display of a plurality of windows on a mobile device | Z124 |
11132162 | Gaze-based user interactions | APPLE INC. |
11132163 | Implementing wireless displays that handle rotation and/or portrait-first sinks | INTEL CORPORATION |
11132164 | WiFi remote displays | III HOLDINGS 1, LLC |
11132165 | Method for archiving a collaboration session with a multimedia data stream and view parameters | BLUEBEAM, INC. |
11132166 | Information processing system, information processing apparatus, and screen-sharing terminal controlling method | RICOH COMPANY, LTD. |
11132167 | Managing display of content on one or more secondary device by primary device | SAMSUNG ELECTRONICS CO., LTD. |
11132168 | Display method, display device, and display program | SEIKO EPSON CORPORATION |
11132169 | Wearable audio recorder and retrieval software applications | -- |
11132170 | Adjusting volume levels | SONOS, INC. |
11132171 | Audio setting configuration | LENOVO (SINGAPORE) PTE. LTD. |
11132172 | Low latency audio data pipeline | AMAZON TECHNOLOGIES, INC. |
11132173 | Network scheduling of stimulus-based actions | AMAZON TECHNOLOGIES, INC. |
11132174 | Facilitating discovery of verbal commands using multimodal interfaces | ADOBE INC. |
11132175 | Multi-dimensional reference element for mixed reality environments | METRIK LLC |
11132204 | Aggregated doorbells for unmapped queues in a graphics processing unit | ADVANCED MICRO DEVICES, INC. |
11132207 | Fast boot systems and methods for programmable logic devices | LATTICE SEMICONDUCTOR CORPORATION |
11132209 | Integrated profile simulation for dynamic content | ADOBE INC. |
11132212 | Platform and interface for providing user assistance in a computing system | SAMSUNG ELECTRONICS CO., LTD. |
11132215 | Techniques to facilitate out of band management in a virtualization environment | INTEL CORPORATION |
11132241 | API registry in a container platform for automatically generating client code libraries | ORACLE INTERNATIONAL CORPORATION |
11132253 | Direct-input redundancy scheme with dedicated error correction code circuit | MICRON TECHNOLOGY, INC. |
11132257 | Prioritized rebuilds using dispersed indices | PURE STORAGE, INC. |
11132258 | In-place RAID conversion system and method | EMC IP HOLDING COMPANY LLC |
11132260 | Data processing method and apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
11132261 | System and method for utilizing operation identifiers for communicating with storage systems to perform a dataset image operation | NETAPP INC. |
11132262 | System and method for enforcing a dataset timeout for generating a dataset image | NETAPP INC. |
11132264 | Point-in-time copy restore | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11132266 | Method, device, and computer program product for managing application system | EMC IP HOLDING COMPANY LLC |
11132271 | Determining which target is most ahead in a multi-target mirroring environment | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11132295 | Memory system and method for controlling nonvolatile memory | TOSHIBA MEMORY CORPORATION |
11132302 | Method and electronic device for data processing between multiple processors | SAMSUNG ELECTRONICS CO., LTD. |
11132303 | Memory sub-system management of firmware block record and device block record | MICRON TECHNOLOGY, INC. |
11132311 | Interface for memory having a cache and multiple independent arrays | MICRON TECHNOLOGY, INC. |
11132315 | Secured and out-of-band (OOB) server san solution on a commodity storage box | AMERICAN MEGATRENDS INTERNATIONAL, LLC |
11132324 | Data network with container virtualization | SEAGATE TECHNOLOGY, LLC |
11132328 | High level instructions with lower-level assembly code style primitives within a memory appliance for accessing memory | RAMBUS, INC. |
11132332 | Method to write data ahead to snapshot area to avoid copy-on-write | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11132333 | File access with different file hosts | MICROSOFT TECHNOLOGY LICENSING, LLC |
11132341 | Automated information lifecycle management using low access patterns | ORACLE INTERNATIONAL CORPORATION |
11132357 | Big-data view integration platform | STATE FARM MUTUAL AUTOMOBILE INSURANCE COMPANY |
11132359 | Systems and methods for grouping and enriching data items accessed from one or more databases for presentation in a user interface | PALANTIR TECHNOLOGIES INC. |
11132373 | Decoupled update cycle and disparate search frequency dispatch for dynamic elements of an asset monitoring and reporting system | SPLUNK INC. |
11132377 | Server implemented geographic information system with graphical interface | PALANTIR TECHNOLOGIES INC. |
11132378 | Systems and methods for interactive analysis | SERVICENOW, INC. |
11132397 | Search apparatus | HANWHA TECHWIN CO., LTD. |
11132412 | User interface for providing docketing data | BLACK HILLS IP HOLDINGS, LLC |
11132418 | Systems and methods for generating floating button interfaces on a web browser | KINDEST, INC. |
11132419 | Configuring output controls on a per-online identity and/or a per-online resource basis | VERIZON MEDIA INC. |
11132426 | Acknowledgment authentication system and method | LAD VERIFICATION SERVICES, LLC |
11132428 | Capacitive through-body communication | ADVANCED NEW TECHNOLOGIES CO., LTD. |
11132430 | Login method and apparatus and electronic device | ADVANCED NEW TECHNOLOGIES CO., LTD. |
11132432 | Tactile challenge-response testing for electronic devices | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11132433 | Device and method for increasing security of a gesture based login | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11132458 | Tape processing offload to object storage | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11132495 | Method and device for dynamic viewport generation to enhance viewport usability | TATA CONSULTANCY SERVICES LIMITED |
11132496 | Electronic book display device, electronic book display method, and program | RAKUTEN GROUP, INC. |
11132497 | Device and method for inputting characters | -- |
11132498 | Portable device and method for controlling cursor of portable device | SAMSUNG ELECTRONICS CO., LTD. |
11132519 | Virtual asset tagging and augmented camera display system and method of use | -- |
11132524 | Method for collecting fingerprints and related products | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
11132526 | Fingerprint recognition panel, fingerprint recognition method and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11132528 | Information processing method and electronic device | LENOVO (BEIJING) CO., LTD. |
11132540 | Ink file searching method, apparatus, and program | WACOM CO., LTD. |
11132545 | Image recognition method and terminal | HUAWEI TECHNOLOGIES CO., LTD. |
11132549 | Measurement result display apparatus and program | MITUTOYO CORPORATION |
11132552 | System and method for bandwidth reduction and communication of visual events | SHIPIN SYSTEMS INC. |
11132612 | Event recommendation system | ORACLE INTERNATIONAL CORPORATION |
11132625 | Systems and methods for training a neurome that emulates the brain of a user | HI LLC |
11132659 | Tamper detection | TEXAS INSTRUMENTS INCORPORATED |
11132685 | Systems and methods for automated identity verification | CAPITAL ONE SERVICES, LLC |
11132709 | Implementation of physical changes to devices for effective electronic content reception | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11132712 | Method for using 3D positional spatial olfaction for virtual marketing | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11132713 | System and method for estimating visual impressions of vehicles configured with external graphic messaging | WRAPIFY, INC. |
11132735 | Dynamic product suggestions and in-store fulfillment | TARGET BRANDS, INC. |
11132742 | Credit score goals and alerts systems and methods | CONSUMERLNFO.COM, INC. |
11132743 | Web-based dashboard system for multi-scale, multi-regional visual and spatial economic analysis with integrated business outreach | -- |
11132747 | Contextual searching | TRADING TECHNOLOGIES INTERNATIONAL, INC. |
11132749 | User interface with moveable, arrangeable, multi-sided color-coded tiles | STREETSHARES, INC. |
11132763 | Creating shockwaves in three-dimensional depth videos and images | SNAP INC. |
11132812 | Output control device, information output system, output control method, and program | NEC CORPORATION |
11132820 | Graph expansion mini-view | BMC SOFTWARE, INC. |
11132821 | Providing graphical user interface tools for efficiently selecting handles in vector artwork on touch-based devices | ADOBE INC. |
11132823 | Information-processing device and information-processing method | NTT DOCOMO, INC. |
11132827 | Artificial reality system architecture for concurrent application execution and collaborative 3D scene rendering | FACEBOOK TECHNOLOGIES, LLC |
11132832 | Augmented reality (AR) mat with light, touch sensing mat with infrared trackable surface | SONY INTERACTIVE ENTERTAINMENT INC. |
11132840 | Method and device for obtaining real time status and controlling of transmitting devices | SAMSUNG ELECTRONICS CO., LTD. |
11132842 | Method and system for synchronizing a plurality of augmented reality devices to a virtual reality device | UNITY IPR APS |
11132844 | Driving method and driving apparatus for AR/VR display device, and display device | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11132854 | Inconspicuous access control device | SENSORMATIC ELECTRONICS, LLC |
11132895 | Method carried out in system including active stylus and sensor controller, sensor controller, and active stylus | WACOM CO., LTD. |
11132910 | Method, apparatus and computer-readable storage medium for visual teaching | CARL ZEISS MICROSCOPY GMBH |
11132911 | Methods, systems, and computer readable media for providing word learning | NAVER CORPORATION |
11132912 | Electronic educational device | -- |
11132915 | Augmented reality system for field training | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
11132919 | Systems and methods for remotely operated machine training | -- |
11132926 | Display control method and apparatus | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11132927 | Shift register and driving method thereof, gate driving circuit and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11132968 | Gate on array circuit and built-in touch display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11132969 | Display device and method for operating the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11132977 | Fade-in user interface display based on finger distance or hand proximity | SNAP INC. |
11132981 | Method and device for processing display information | -- |
11132990 | Wakeword and acoustic event detection | AMAZON TECHNOLOGIES, INC. |
11133000 | Systems and methods for voice control of BMS devices | JOHNSON CONTROLS TYCO IP HOLDINGS LLP |
11133008 | Reducing the need for manual start/end-pointing and trigger phrases | APPLE INC. |
11133018 | Dynamic player selection for audio signal processing | SONOS, INC. |
11133024 | Biometric personalized audio processing system | HARMAN INTERNATIONAL INDUSTRIES, INCORPORATED |
11133052 | Feedback for power management of a memory die using shorting | MICRON TECHNOLOGY, INC. |
11133061 | Disturb management based on write times | MICRON TECHNOLOGY, INC. |
11133069 | Memory controller to determine an optimal read voltage, operating method thereof and storage device including the same | SK HYNIX INC. |
11133075 | Managed NAND power management | MICRON TECHNOLOGY, INC. |
11133076 | Efficient relocation of data between storage devices of a storage system | PURE STORAGE, INC. |
11133085 | BAMBAM: parallel comparative analysis of high-throughput sequencing data | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
11133104 | Displaying relevant data to a user during a surgical procedure | NAVLAB HOLDINGS II, LLC |
11133106 | Surgical instrument assembly comprising a retraction assembly | CILAG GMBH INTERNATIONAL |
11133135 | Input device | LITE-ON ELECTRONICS (GUANGZHOU) LIMITED |
11133355 | Input sensor and display device having the same | SAMSUNG DISPLAY CO., LTD. |
11133356 | Touch panel, method for manufacturing the same, and touch device | SHENZHEN ROYOLE TECHNOLOGIES CO., LTD. |
11133358 | Display system comprising an image sensor | ISORG |
11133432 | Display panel and manufacturing method thereof, and display device | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
11133455 | Reduced hysteresis and reduced creep in nanovoided polymer devices | FACEBOOK TECHNOLOGIES, LLC |
11133591 | Antenna device, position input device including antenna device, and display device including antenna device | SHARP KABUSHIKI KAISHA |
11133628 | Power strip with device information input and display capabilities | BOE TECHNOLOGY GROUP CO., LTD. |
11133703 | Method and apparatus to retrieve data from power distribution units | VERTIV CORPORATION |
11133940 | Securing attestation using a zero-knowledge data management network | JOURNEY.AI |
11134036 | Event planning in a content sharing platform | SNAP INC. |
11134038 | Occasionally-connected computing interface | LIVEPERSON, INC. |
11134042 | Lets meet system for a computer using biosensing | -- |
11134048 | Communication and messaging system | DINGTALK HOLDING (CAYMAN) LIMITED |
11134051 | Apparatus and method for managing notification | SAMSUNG ELECTRONICS CO., LTD. |
11134055 | Naming service in a distributed memory object architecture | MEMVERGE, INC. |
11134136 | Interactive device selection method and apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
11134138 | Mapless user interfaces for limited network conditions | UBER TECHNOLOGIES, INC. |
11134141 | Electronic devices having shared coil structures | APPLE INC. |
11134147 | Information processing apparatus, non-transitory computer readable medium and method for processing information | FUJIFILM BUSINESS INNOVATION CORP. |
11134161 | Mobile terminal that performs near field wireless communication to search image processing apparatus and display a message on lock screen, control method for the mobile terminal, and storage medium | CANON KABUSHIKI KAISHA |
11134164 | Information processing apparatus and non-transitory computer readable medium storing program | FUJIFILM BUSINESS INNOVATION CORP. |
11134168 | Printing from a handheld device via a remote server | QUEST DIAGNOSTICS INVESTMENTS INCORPORATED |
11134172 | Image forming apparatus with user authentication by near field wireless communication | CANON KABUSHIKI KAISHA |
11134178 | Video signal output apparatus, control method, and recording medium | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11134214 | Detection of transitions between text and non-text frames in a video stream | COMCAST CABLE COMMUNICATIONS, LLC |
11134216 | Videoconferencing calibration systems, controllers and methods for calibrating a videoconferencing system | ZELLER DIGITAL INNOVATIONS, INC. |
11134218 | Secure teleconference management | CAREGILITY CORPORATION |
11134221 | Automated system and method for detecting, identifying and tracking wildlife | -- |
11134227 | Systems and methods of presenting appropriate actions for responding to a visitor to a smart home environment | GOOGLE LLC |
11134238 | Goggle type display device, eye gaze detection method, and eye gaze detection system | LAPIS SEMICONDUCTOR CO., LTD. |
11134291 | Social media queue | SONOS, INC. |
11134294 | Image display apparatus and mobile terminal | LG ELECTRONICS INC. |
11134301 | Method and system of data polling for augmented/mixed reality applications | JUJO, INC., A DELAWARE CORPORATION |
11134305 | Content-modification system with volume level adjustment feature | ROKU, INC. |
11134306 | Broadcast reception device and video display method | MAXELL, LTD. |
11134308 | Adapting interactions with a television user | SONY CORPORATION |
11134336 | Cover-baffle-stand system for loudspeaker system and method of use thereof | CLEAN ENERGY LABS, LLC |
11134349 | Hearing assistance device with smart audio focus control | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11134353 | Customized audio processing based on user-specific and hardware-specific audio information | HARMAN INTERNATIONAL INDUSTRIES, INCORPORATED |
11134355 | Audio content playback method and apparatus for portable terminal | SAMSUNG ELECTRONICS CO., LTD. |
11134381 | Method of authenticating user of electronic device, and electronic device for performing the same | SAMSUNG ELECTRONICS CO., LTD. |
11134435 | Electronic device supporting multiple wireless communication protocols and method therefor | SAMSUNG ELECTRONICS CO., LTD. |
11134524 | Method and system for gesture-based confirmation of electronic transactions | MASTERCARD INTERNATIONAL INCORPORATED |
11134529 | Communication system, non-transitory computer-readable recording medium storing connection application for terminal, and communication device | BROTHER KOGYO KABUSHIKI KAISHA |
11134580 | Protective cover for portable electronic device and associated systems and methods | ZAGG INC |
11134593 | Touch substrate, manufacturing method thereof, and touch display device | BOE TECHNOLOGY GROUP CO., LTD. |
11134798 | Vision-based frictionless self-checkouts for small baskets | NCR CORPORATION |
11134897 | Apparatus and method for measuring bio-information | SAMSUNG ELECTRONICS CO., LTD. |
11134940 | Surgical instrument including a variable speed firing member | CILAG GMBH INTERNATIONAL |
11135015 | Robot surgical platform | GLOBUS MEDICAL, INC. |
11135016 | Augmented reality pre-registration | BRAINLAB AG |
11135029 | User-interface control using master controller | INTUITIVE SURGICAL OPERATIONS, INC. |
11135362 | Infusion pump systems and methods | TANDEM DIABETES CARE, INC. |
11135363 | Ambulatory medicament device alarm system | BETA BIONICS, INC. |
11135364 | Ambulatory medicament device with alarm status indication | BETA BIONICS, INC. |
11135365 | Ambulatory medicament device with security override passcode | BETA BIONICS, INC. |
11135366 | Ambulatory medical device with malfunction alert prioritization | BETA BIONICS, INC. |
11135396 | Systems and methods for computer assisted operation | -- |
11135492 | Method, terminal and running shoe for prompting a user to adjust a running posture | BOE TECHNOLOGY GROUP CO., LTD. |
11135502 | Player state display system and player state display method | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11135507 | Head-mountable apparatus and systems | SONY INTERACTIVE ENTERTAINMENT INC. |
11135515 | Information processing method and apparatus and server | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
11135725 | Robot control system, robot control method and user apparatus for robot control system | HONDA MOTOR CO., LTD. |
11135832 | Low-volume flexographic inking system | EASTMAN KODAK COMPANY |
11135916 | Method and apparatus for integration of vehicle applications | VOLKSWAGEN AG |
11135938 | Energy management | HONDA MOTOR CO., LTD. |
11135972 | Heat index sensing system | AMERICAN ALUMINUM ACCESSORIES, INC. |
11136000 | Touch and gesture pad for swipe/tap entry verification system | MAGNA CLOSURES INC. |
11136047 | Tactile and auditory sense presentation device | KABUSHIKI KAISHA TOKAI RIKA DENKI SEISAKUSHO |
11136086 | Saddle riding vehicle, method of controlling saddle riding vehicle, and storage medium | HONDA MOTOR CO., LTD. |
11137444 | Measurement device and method of setting a measurement device | ROHDE & SCHWARZ GMBH & CO. KG |
11137605 | Near-eye display assembly with enhanced display resolution | FACEBOOK TECHNOLOGIES, LLC |
11137666 | Control device and control method | SONY CORPORATION |
11137725 | Electronic device, non-transitory computer-readable recording medium storing program, and information report method | CASIO COMPUTER CO., LTD. |
11137792 | Controllable device and a knob for controlling a function of the controllable device | ZOUND INDUSTRIES INTERNATIONAL AB |
11137796 | Smartpad window management | Z124 |
11137799 | Electronic device with wrap around display | APPLE INC. |
11137821 | Information processing device, image forming apparatus, and method for controlling power saving | KYOCERA DOCUMENT SOLUTIONS INC. |
11137824 | Physical input device in virtual reality | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11137825 | System and method for interactive 360 video playback based on user location | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11137826 | Multi-track playback of media content during repetitive motion activities | SPOTIFY AB |
11137827 | System for aligning a screen protector on an electronic device | ZAGG INTELLECTUAL PROPERTY HOLDING CO., INC. |
11137828 | Haptic sensation recording and playback | IMMERSION CORPORATION |
11137829 | Drive method for driving touch apparatus, touch apparatus and touch display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11137830 | Interactive computing system and control device | APPLE INC. |
11137831 | Tactile sense presentation apparatus, signal generation device, tactile sense presentation system, and tactile sense presentation method | SONY CORPORATION |
11137832 | Systems and methods to predict a user action within a vehicle | EYESIGHT MOBILE TECHNOLOGIES, LTD. |
11137833 | Low-profile keyboard | MICROSOFT TECHNOLOGY LICENSING, LLC |
11137834 | Vehicle system and method for detection of user motions performed simultaneously | EYESIGHT MOBILE TECHNOLOGIES LTD. |
11137835 | System and methods for the provision and application of modular interactive visual display surfaces | TAKARO TECH LTD. |
11137836 | Electronic device | -- |
11137837 | Input device with precision control | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11137838 | Electronic device for storing user data, and method therefor | SAMSUNG ELECTRONICS CO., LTD. |
11137839 | Adaptable digital pen and touch sensitive device | MICROSOFT TECHNOLOGY LICENSING, LLC |
11137840 | Stylus pen, touch-sensing system, touch-sensing controller, and touch-sensing method | LEADING UI CO., LTD. |
11137841 | Devices and methods for determining relative motion | OTM TECHNOLOGIES LTD. |
11137842 | Stylus and sensor controller | WACOM CO., LTD. |
11137843 | Mouse device | DEXIN ELECTRONIC LTD. |
11137844 | Digital jukebox device with improved user interfaces, and associated methods | TOUCHTUNES MUSIC CORPORATION |
11137845 | Method and device for recognizing contact of foldable display screen | -- |
11137846 | Display device with touch detection function and electronic apparatus | JAPAN DISPLAY INC. |
11137847 | Monitoring the status of a touchscreen | MANUFACTURING RESOURCES INTERNATIONAL, INC. |
11137848 | Display device | SAMSUNG DISPLAY CO., LTD. |
11137849 | Touch display device and manufacturing method thereof | LG DISPLAY CO., LTD. |
11137850 | Force sensor and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11137851 | Luminescence shock avoidance in display devices | APPLE INC. |
11137852 | Pressing operation detection device and pressing operation detection method | ALPINE ELECTRONICS, INC. |
11137853 | Touch panel and controlling method of touch panel | -- |
11137854 | Display device with shift register comprising node control circuit for Q and QB node potentials and reset circuit | LG DISPLAY CO., LTD. |
11137855 | Touch panel and touch display panel | -- |
11137856 | Sensor electrode patterns for input devices | SYNAPTICS INCORPORATED |
11137857 | Detection device and program | NIKON CORPORATION |
11137858 | Location-based swing compensation for touch channel attenuation | APPLE INC. |
11137859 | Method and apparatus for determining point report position of touch point, and electronic device | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11137860 | Display device having position input function | SHARP KABUSHIKI KAISHA |
11137861 | Fabric-covered electronic device with touch sensor | APPLE INC. |
11137862 | Display device | LG DISPLAY CO., LTD. |
11137863 | Silver nanostructure-based optical stacks and touch sensors with UV protection | CAMBRIOS FILM SOLUTIONS CORPORATION |
11137864 | Conductive member and touch panel | FUJIFILM CORPORATION |
11137865 | Touch screen, method for manufacturing the same and touch display device | MIANYANG BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11137867 | Fluidic wire touch sensors | TEVERI LLC |
11137868 | Providing content presentation elements in conjunction with a media content item | GOOGLE LLC |
11137869 | Re-ranking story content | FACEBOOK, INC. |
11137870 | Adjusting an interface based on a cognitive mode | EBAY INC. |
11137871 | System and method for interface display screen manipulation | ASSIMA SWITZERLAND SA |
11137872 | Remote control of electronic devices via mobile device | AT&T INTELLECTUAL PROPERTY I, L.P. |
11137873 | Flight deck display management | THE BOEING COMPANY |
11137874 | Ergonomic mixed reality information delivery system for dynamic workflows | MICROSOFT TECHNOLOGY LICENSING, LLC |
11137875 | Mixed reality intelligent tether for dynamic attention direction | MICROSOFT TECHNOLOGY LICENSING, LLC |
11137876 | Information display method, device and terminal for displaying progress on application icon | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
11137877 | Computer system with a plurality of work environments where each work environment affords one or more workspaces | -- |
11137878 | Selection ring user interface | ALFA TECHNOLOGIES, INC. |
11137879 | Contextual conversations for a collaborative workspace environment | MICROSOFT TECHNOLOGY LICENSING, LLC |
11137880 | System and method of providing visualization explanations | BUSINESS OBJECTS SOFTWARE LTD. |
11137881 | Method and apparatus for changing screen in electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11137883 | Leveraging and managing assessment environments in an assessment hub | SALESFORCE.COM, INC. |
11137884 | Modifying an appearance of a GUI to improve GUI usability | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11137885 | Systems and methods for cross domain service component interaction | SALESFORCE.COM, INC. |
11137886 | Providing content for broadcast by a messaging platform | TWITTER, INC. |
11137887 | Unified ecosystem experience for managing multiple healthcare applications from a common interface | NAVVIS & COMPANY, LLC |
11137888 | Device, program, and method for creating monitoring image | CONTEC CO., LTD. |
11137889 | Adaptive interface transformation across display screens | MICROSOFT TECHNOLOGY LICENSING, LLC |
11137890 | Display apparatus and method for displaying | SAMSUNG ELECTRONICS CO., LTD. |
11137891 | Display processing method and electronic device | HUAWEI TECHNOLOGIES CO., LTD. |
11137892 | Information providing apparatus, information providing method, non-transitory recording medium recorded with information providing program, and non-transitory recording medium recorded with user terminal control program | GURUNAVI, INC. |
11137893 | Drag-and-drop on a mobile device | GOOGLE LLC |
11137894 | Optimizing content layout on a foldable device | MICROSOFT TECHNOLOGY LICENSING, LLC |
11137895 | Method and apparatus for unlocking electronic appliance | SAMSUNG ELECTRONICS CO., LTD. |
11137896 | System and method for determining a location of a user relative to a user interface for contextual control | HARMAN INTERNATIONAL INDUSTRIES, INCORPORATED |
11137897 | Method and device for intelligently recognizing gesture-based zoom instruction by browser | ZTE CORPORATION |
11137898 | Device, method, and graphical user interface for displaying a plurality of settings controls | APPLE INC. |
11137899 | Liquid crystal writing film, partial erasing method, multi-voltage output circuit and positioning system capable of partial erasing | SHANDONG LANBEISITE EDUCATIONAL EQUIPMENT GROUP |
11137900 | Interface providing localised friction modulation by acoustic lubrication | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11137901 | Alternate content insertion logic | SNAP INC. |
11137902 | Character input device, character input method, and character input program | OMRON CORPORATION |
11137903 | Gesture-based transitions between modes for mixed mode digital boards | PATHWAY INNOVATIONS AND TECHNOLOGIES, INC |
11137904 | Devices, methods, and graphical user interfaces for interacting with user interface objects corresponding to applications | APPLE INC. |
11137905 | Modeless augmentations to a virtual trackpad on a multiple screen computing device | MICROSOFT TECHNOLOGY LICENSING, LLC |
11137906 | Sensor managed apparatus, method and computer program product | SONY CORPORATION |
11137907 | Method for displaying a virtual keyboard on a mobile terminal screen | ORANGE |
11137908 | Keyboard operation with head-mounted device | APPLE INC. |
11137909 | Secure data entry via a virtual keyboard | GOOGLE LLC |
11137910 | Fast address to sector number/offset translation to support odd sector size testing | ADVANTEST CORPORATION |
11137911 | Fast restart of applications using shared memory | SAP SE |
11137912 | Memory controller and method of operating the same | SK HYNIX INC. |
11137913 | Generation of a packaged version of an IO request | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11137914 | Non-volatile storage system with hybrid command | WESTERN DIGITAL TECHNOLOGIES, INC. |
11137915 | Dynamic logical storage capacity adjustment for storage drives | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11137916 | Selective background data refresh for SSDs | INTEL CORPORATION |
11137917 | Memory controller, memory system having the memory controller, and operating method of the memory controller | SK HYNIX INC. |
11137918 | Administration of control information in a storage system | PURE STORAGE, INC. |
11137919 | Initialisation of a storage device | ARM LIMITED |
11137920 | Storing zones in a zone namespace on separate planes of a multi-plane memory device | MICRON TECHNOLOGY, INC. |
11137921 | Data storage device and system | SAMSUNG ELECTRONICS CO., LTD. |
11137922 | Technologies for providing accelerated functions as a service in a disaggregated architecture | INTEL CORPORATION |
11137923 | Method and system for data reduction in a storage infrastructure to support a high-ration thin-provisioned service | ALIBABA GROUP HOLDING LIMITED |
11137924 | Distributed file storage system supporting accesses from multiple container hosts | VMWARE, INC. |
11137925 | System and method for dynamically determining and non-disruptively re-balancing memory reclamation memory pools | EMC IP HOLDING COMPANY LLC |
11137926 | Systems and methods for automatic storage tiering | VERITAS TECHNOLOGIES LLC |
11137927 | Storage mirroring decision by capability sets | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11137928 | Preemptively breaking incremental snapshot chains | RUBRIK, INC. |
11137929 | Storage system configured to support cascade replication | EMC IP HOLDING COMPANY LLC |
11137930 | Data protection using change-based measurements in block-based backup | EMC IP HOLDING COMPANY LLC |
11137931 | Backup metadata deletion based on backup data deletion | EMC IP HOLDING COMPANY LLC |
11137932 | Pad indication for device capability | WESTERN DIGITAL TECHNOLOGIES, INC. |
11137933 | Accelerating transaction execution across geographically dispersed clusters | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11137934 | Memory block type processing method applicable to electronic device electronic device and non-transitory computer readable storage medium | ONEPLUS TECHNOLOGY (SHENZHEN) CO., LTD. |
11137935 | Storage system with plurality of storage controllers communicatively coupled for determination of storage controller indentifiers | HITACHI, LTD. |
11137936 | Data processing on memory controller | GOOGLE LLC |
11137937 | Information processing device and control method determining valid data in multiple memory areas based on multiple valid flags | RENESAS ELECTRONICS CORPORATION |
11137938 | Converting a multi-plane write operation into multiple single plane write operations performed in parallel on a multi-plane memory device | MICRON TECHNOLOGY, INC. |
11137939 | Semiconductor memory device and operating method thereof | SK HYNIX INC. |
11137940 | Storage system and control method thereof | HITACHI, LTD. |
11137941 | Command replay for non-volatile dual inline memory modules | ADVANCED MICRO DEVICES, INC. |
11137942 | Memory system, memory controller, and method of operating a memory system for determining a number of hit and miss requests about map segments in a map cache and determining whether or not to perform data read operation in parallel | SK HYNIX INC. |
11137943 | Internal commands for access operations | MICRON TECHNOLOGY, INC. |
11137944 | Combined QLC programming method | WESTERN DIGITAL TECHNOLOGIES, INC. |
11137945 | Recovery of last allocated logical block address | EMC IP HOLDING COMPANY LLC |
11137946 | Image processing apparatus, method for controlling the same and storage medium | CANON KABUSHIKI KAISHA |
11137947 | Recognizing hard disk movement | EMC IP HOLDING COMPANY LLC |
11137948 | User equipment to capture identity information from rendering apparatuses | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11137949 | Printing apparatus with a controller having plurality of control modes for controlling an operation of the printing apparatus | BROTHER KOGYO KABUSHIKI KAISHA |
11137950 | Non-transitory storage medium storing instructions executable by communication apparatus, the communication apparatus, and display method | BROTHER KOGYO KABUSHIKI KAISHA |
11137951 | Image forming apparatus, control method of image forming apparatus, and program | CANON KABUSHIKI KAISHA |
11137952 | Image forming apparatus, information processing method, and program | RICOH COMPANY, LTD. |
11137953 | Image forming apparatus with efficient sheet removal from purged sheet storage | RICOH COMPANY, LTD. |
11137954 | System and method for implementing policy-based printing operations using identification numbers | KYOCERA DOCUMENT SOLUTIONS INC. |
11137955 | Server apparatus, printing system, and management method | SEIKO EPSON CORPORATION |
11137956 | Information processing apparatus, information processing system, and non-transitory computer readable medium storing program | FUJIFILM BUSINESS INNOVATION CORP. |
11137957 | Information processing apparatus having a plurality of lines, control method therefor, and storage medium | CANON KABUSHIKI KAISHA |
11137958 | Electronic apparatus, method of controlling electronic apparatus, and non-transitory computer readable recording medium | KYOCERA DOCUMENT SOLUTIONS INC. |
11137959 | Printing apparatus, server, and information processing system | CANON KABUSHIKI KAISHA |
11137960 | Method of controlling information processing apparatus and communication apparatus | CANON KABUSHIKI KAISHA |
11137961 | Image forming apparatus for printing a designated part of print data in a special color | RICOH COMPANY, LTD. |
11137962 | Method and system for visualization and comparison analysis between printers | KONICA MINOLTA BUSINESS SOLUTIONS U.S.A., INC. |
11137963 | Image forming apparatus and computer-readable non-temporary recording medium that stores image forming program | KYOCERA DOCUMENT SOLUTIONS INC. |
11137964 | Information processing apparatus and non-transitory computer readable medium | FUJIFILM BUSINESS INNOVATION CORP. |
11137965 | Information processing apparatus for controlling transmission of information while varying transmission intervals | FUJIFILM BUSINESS INNOVATION CORP. |
11137966 | Methods and systems for use in customizing displayed content associated with a portable storage medium | DELUXE MEDIA INC. |
11137967 | Gaze-based user interactions | APPLE INC. |
11137968 | Screen-creation assistance device, display system, screen-creation assistance method, and recording medium | MITSUBISHI ELECTRIC CORPORATION |
11137969 | Information interaction method, information interaction system, and application thereof | YEALINK (XIAMEN) NETWORK TECHNOLOGY CO., LTD. |
11137970 | Digital signal extraction device | GEERFAB LLC |
11137971 | Data packing circuit and data packing method | -- |
11137972 | Device, method and system for using brainwave information to control sound play | BOE TECHNOLOGY GROUP CO., LTD. |
11137973 | Augmented audio development previewing tool | BOSE CORPORATION |
11137974 | Method and apparatus for audio processing, electronic device and storage medium | BAIDU ONLINE NETWORK TECHNOLOGY (BEIJING) CO., LTD. |
11137975 | Mood detection and/or influence via audio playback devices | SONOS, INC. |
11137976 | Immersive audio tours | GOOGLE LLC |
11137977 | User interface customization based on speaker characteristics | GOOGLE LLC |
11137978 | Method for operating speech recognition service and electronic device supporting the same | SAMSUNG ELECTRONICS CO., LTD. |
11137979 | Metadata exchange involving a networked playback system and a networked microphone system | SONOS, INC. |
11137987 | System and method for automated mapping of data types for use with dataflow environments | ORACLE INTERNATIONAL CORPORATION |
11137994 | Baseboard management controller firmware update | MICROSOFT TECHNOLOGY LICENSING, LLC |
11138006 | Hybrid development systems and methods | SERVICENOW, INC. |
11138021 | Systems and methods to facilitate task-specific workspaces for a collaboration work management platform | ASANA, INC. |
11138022 | Dynamic user interface for predicted procedures | SALESFORCE.COM, INC. |
11138023 | Method and apparatus for composite user interface creation | VERSATA FZ-LLC |
11138026 | Systems and methods for remote computing session display based upon user input event prioritization | CITRIX SYSTEMS, INC. |
11138028 | Hot growing a cloud hosted block device | GOOGLE LLC |
11138033 | Providing an application programming interface (API) including a bulk computing task operation | AMAZON TECHNOLOGIES, INC. |
11138062 | Terminal device troubleshooting method and terminal device | HUAWEI TECHNOLOGIES CO., LTD. |
11138065 | Storage system and method for fast low-density parity check (LDPC) encoding | WESTERN DIGITAL TECHNOLOGIES, INC. |
11138066 | Parity swapping to DRAM | WESTERN DIGITAL TECHNOLOGIES, INC. |
11138068 | Memory sub-system codeword quality metrics streaming | MICRON TECHNOLOGY, INC. |
11138071 | On-chip parity buffer management for storage block combining in non-volatile memory | WESTERN DIGITAL TECHNOLOGIES, INC. |
11138080 | Apparatus and method for reducing cell disturb in an open block of a memory system during a recovery procedure | SK HYNIX INC. |
11138082 | Action determination based on redundancy level | PURE STORAGE, INC. |
11138096 | Automated test input generation for integration testing of microservice-based web applications | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11138103 | Resiliency groups | PURE STORAGE, INC. |
11138104 | Selection of mass storage device streams for garbage collection based on logical saturation | INTEL CORPORATION |
11138105 | Large range defect allocation system and method | SEAGATE TECHNOLOGY LLC |
11138108 | Logical-to-physical map synchronization in a memory device | MICRON TECHNOLOGY, INC. |
11138114 | Providing dynamic selection of cache coherence protocols in processor-based devices | MICROSOFT TECHNOLOGY LICENSING, LLC |
11138123 | Local cache size control in a storage array | EMC IP HOLDING COMPANY LLC |
11138124 | Migrating data between block pools in a storage system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11138129 | Globally optimized partial page deduplication of storage objects | EMC IP HOLDING COMPANY LLC |
11138143 | Techniques for command validation for access to a storage device by a remote client | INTEL CORPORATION |
11138158 | Binding a local data storage device to remote data storage | CALLPLEX, INC. |
11138190 | Materialized views over external tables in database systems | SNOWFLAKE INC. |
11138207 | Integrated dynamic interface for expression-based retrieval of expressive media content | GOOGLE LLC |
11138231 | Method and system for data handling | KING.COM LTD. |
11138241 | Metric definition and visualization | WALMART APOLLO, LLC |
11138255 | Providing combinations of pre-generated and dynamic media effects to create customized media communications | FACEBOOK, INC. |
11138257 | Object search in digital images | ADOBE INC. |
11138261 | Media playable with selectable performers | DONALD HARRISON JR. ENTERPRISES, HARRISON EXTENSIONS, AND MARY AND VICTORIA INC. |
11138264 | Rapid video search system and method of using the same | DICE CORPORATION |
11138271 | Providing efficient graphical user interfaces for visualizing large datasets | QUALIFIES, LLC |
11138303 | Electronic device with fingerprint sensing function and fingerprint image processing method | -- |
11138306 | Physics-based CAPTCHA | AMAZON TECHNOLOGIES, INC. |
11138364 | Electronic apparatus, information processing method, and recording medium | CASIO COMPUTER CO., LTD. |
11138367 | Dynamic interaction behavior commentary | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11138370 | Modifying and using spreadsheets to create a GUI on another device | MASSACHUSETTS MUTUTAL LIFE INSURANCE COMPANY |
11138377 | Automated document analysis comprising company name recognition | FREEDIN SOLUTIONS GROUP, LLC |
11138386 | Recommendation and translation of symbols | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11138390 | Concurrent reception of multiple user speech input for translation | GOOGLE LLC |
11138404 | Complex sensing device, display device, and sensing method | LG DISPLAY CO., LTD. |
11138407 | Fingerprint recognition module and manufacturing method therefor, display panel and display apparatus | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11138429 | Iris recognition using eye-tracking system | TOBII AB |
11138435 | Methods and systems for evaluating the capacity of a container | VOLVO CAR CORPORATION |
11138436 | Automatic control of wearable display device based on external conditions | MAGIC LEAP, INC. |
11138443 | Guidance processing apparatus and guidance method | NEC CORPORATION |
11138449 | Obstacle representation display | INTEL CORPORATION |
11138453 | Driving guide method and apparatus for vehicle | LG ELECTRONICS INC. |
11138503 | Continuously learning and optimizing artificial intelligence (AI) adaptive neural network (ANN) computer modeling methods and systems | LARSX |
11138507 | System, method and computer program product for classifying a multiplicity of items | APPLIED MATERIALS ISRAEL LTD. |
11138518 | Right for me deployment and customization of applications with customized widgets | INTUIT INC. |
11138519 | Method and system for providing personalized presearch for users of a data management system | INTUIT INC. |
11138529 | Techniques for coordinating codes for infrastructure modeling | BENTLEY SYSTEMS, INCORPORATED |
11138541 | System to construct an improved view of a process | AUREA SOFTWARE, INC. |
11138568 | Calendar-aware resource retrieval | MICROSOFT TECHNOLOGY LICENSING, LLC |
11138581 | Multi-mode point-of-sale device | ELO TOUCH SOLUTIONS, INC. |
11138584 | System and method for self-checkout, scan portal, and pay station environments | MANTISSA CORPORATION |
11138675 | Systems, methods and apparatus for attaching electronic documents to an electronic tax return | INTUIT INC. |
11138677 | Machine learning in an online agricultural system | INDIGO AG, INC. |
11138680 | Updating menus based on predicted efficiencies | SQUARE, INC. |
11138686 | Compute optimizations for low precision machine learning operations | INTEL CORPORATION |
11138689 | Method and system for non-linearly stretching a cropped image | -- |
11138692 | Super-resolution apparatus and method for virtual and mixed reality | INTEL CORPORATION |
11138699 | Utilizing context-aware sensors and multi-dimensional gesture inputs to efficiently generate enhanced digital images | ADOBE INC. |
11138720 | Method for recognizing the geometry of a portion of an object | VOLUME GRAPHICS GMBH |
11138743 | Method and apparatus for a synchronous motion of a human body model | BAIDU ONLINE NETWORK TECHNOLOGY (BEIJING) CO., LTD. |
11138760 | Display systems and methods for correcting drifts in camera poses | VARJO TECHNOLOGIES OY |
11138771 | Systems, methods, and graphical user interfaces for annotating, measuring, and modeling environments | APPLE INC. |
11138780 | Method and device for setting a multi-user virtual reality chat environment | NANNING FUGUI PRECISION INDUSTRIAL CO., LTD. |
11138793 | Multi-depth plane display system with reduced switching between depth planes | MAGIC LEAP, INC. |
11138794 | Apparatus, computer program and method | SONY CORPORATION |
11138797 | XR device for providing AR mode and VR mode and method for controlling the same | LG ELECTRONICS INC. |
11138798 | Devices, methods, and graphical user interfaces for displaying objects in 3D contexts | APPLE INC. |
11138803 | System for multi-presence interaction with extended reality objects | AT&T INTELLECTUAL PROPERTY I, L.P. |
11138805 | Quantitative quality assurance for mixed reality | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
11138809 | Method and system for providing an object in virtual or semi-virtual space based on a user characteristic | MICROSOFT TECHNOLOGY LICENSING, LLC |
11138823 | Control device, control method of control device, and communication device | SHARP KABUSHIKI KAISHA |
11138836 | Systems and methods for providing a multi-player wagering game | IGT |
11138843 | Systems and methods for generating a drive signal having a braking portion | IMMERSION CORPORATION |
11138846 | Method and apparatus for video patrol | HANGZHOU HIKVISION DIGITAL TECHNOLOGY CO., LTD. |
11138851 | Capacitor architecture for wireless communication tag | NEXITE LTD. |
11138866 | Indoor positioning system for fire alarm system | TYCO SAFETY PRODUCTS CANADA LTD. |
11138876 | Information system, information processing method, and non-transitory storage medium | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11138878 | Method and apparatus for enhancing driver situational awareness | AT&T INTELLECTUAL PROPERTY I, L.P. |
11138895 | System and method for facilitating creation of an educational test based on prior performance with individual test questions | -- |
11138896 | Information display apparatus, information display method, and computer-readable recording medium | CASIO COMPUTER CO., LTD. |
11138897 | Systems and methods for automated and direct network positioning | PEARSON EDUCATION, INC. |
11138912 | Dynamic screen modes on a bendable computing device | MICROSOFT TECHNOLOGY LICENSING, LLC |
11138915 | Fan assembly for displaying an image | MAGIC LEAP, INC. |
11138927 | Electronic device having display | SAMSUNG ELECTRONICS CO., LTD. |
11138936 | Display device and method of driving the same | SAMSUNG DISPLAY CO., LTD. |
11138956 | Method for controlling display of terminal, storage medium, and electronic device | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
11138962 | Display apparatus | LG DISPLAY CO., LTD. |
11138969 | Locally distributed keyword detection | SONOS, INC. |
11138971 | Using context to interpret natural language speech recognition commands | LENOVO (SINGAPORE) PTE. LTD. |
11138972 | Isolating a device, from multiple devices in an environment, for being responsive to spoken assistant invocation(s) | GOOGLE LLC |
11138974 | Privacy mode based on speaker identifier | AMAZON TECHNOLOGIES, INC. |
11138976 | Automatic media device input scrolling | AMAZON TECHNOLOGIES, INC. |
11138984 | Information processing apparatus and information processing method for generating and processing a file including speech waveform data and vibration waveform data | SONY CORPORATION |
11138991 | Information processing apparatus and information processing method | SONY CORPORATION |
11139026 | Variable reference based sensing scheme | INTEL CORPORATION |
11139032 | Method and apparatus for reading data stored in flash memory by referring to binary digit distribution characteristics of bit sequences read from flash memory | -- |
11139035 | Memory device error detection with improved scanning | MICRON TECHNOLOGY, INC. |
11139071 | Virtual augmentation of clinical care environments | CERNER INNOVATION, INC. |
11139098 | Bi-stable actuator based on electromagnetic attraction | FONDAZIONE ISTITUTO ITALIANO DI TECNOLOGIA |
11139128 | Remote control with stabilization film designed as capacitive sensor | FM MARKETING GMBH |
11139317 | Array substrate, touch panel and manufacturing method of array substrate | BOE TECHNOLOGY GROUP CO., LTD. |
11139318 | Array substrate, display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11139360 | Display panel including display signal pads and sensing signal pads mounted on the display panel sidewall | SAMSUNG DISPLAY CO., LTD. |
11139451 | Display panel | SAMSUNG DISPLAY CO., LTD. |
11139684 | Apparatus, method and article for a power storage device compartment | GOGORO INC. |
11139767 | Methods and apparatus for driving a transducer | CIRRUS LOGIC, INC. |
11139827 | Conditional transcoding for encoded data | SAMSUNG ELECTRONICS CO., LTD. |
11139831 | Fast fail support for error correction in non-volatile memory | SK HYNIX INC. |
11139959 | Stream ciphers for digital storage encryption | THE UNIVERSITY OF CHICAGO |
11139968 | Secure database backup and recovery | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11139985 | Receiving information through a zero-knowledge data management network | JOURNEY.AI |
11139998 | Building management system with dynamic control sequence and plug and play functionality | JOHNSON CONTROLS TYCO IP HOLDINGS LLP |
11140046 | Offline updates for native mobile applications | SERVICENOW, INC. |
11140112 | Method of generating a thread for discussion amongst a plurality of participants in a group conversation and real-time communication and collaboration platform | UNIFY PATENTE GMBH & CO. KG |
11140116 | Method for providing notification to uncover execution screen and electronic apparatus for performing same | SAMSUNG ELECTRONICS CO., LTD. |
11140148 | Method and system for instant single sign-on workflows | KONICA MINOLTA BUSINESS SOLUTION U.S.A., INC. |
11140162 | Response method and system in virtual network computing authentication, and proxy server | HUAWEI TECHNOLOGIES CO., LTD. |
11140204 | Media delivery notification data ingestion and display | DISH NETWORK L.L.C. |
11140216 | Dynamically providing host input control for streaming applications | NVIDIA CORPORATION |
11140222 | Aggregating electronic devices to provide a synchronized presentation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11140224 | Moving storage volumes across network boundaries | MICROSOFT TECHNOLOGY LICENSING, LLC |
11140225 | Information processing apparatus | SONY INTERACTIVE ENTERTAINMENT INC. |
11140240 | Generating a dynamic dependent client device activity dashboard and managing contact-control privileges via managing client device interfaces | FACEBOOK, INC. |
11140246 | Hinge structure for foldable device including smartpen receiving portion | EAST GLOBAL CO., LTD. |
11140255 | Messaging client application interface | DROPBOX, INC. |
11140276 | Image processing apparatus, non-transitory storage medium, and image processing method | CANON KABUSHIKI KAISHA |
11140283 | Action tags for multi-function devices to build a job | XEROX CORPORATION |
11140284 | Image forming system equipped with interactive agent function, method of controlling same, and storage medium | CANON KABUSHIKI KAISHA |
11140287 | Information processing apparatus, control method, and storage medium | CANON KABUSHIKI KAISHA |
11140288 | Information processing apparatus that switches languages to be displayed, control method therefor, and storage medium | CANON KABUSHIKI KAISHA |
11140317 | Method and device for managing thumbnail of three-dimensional contents | SAMSUNG ELECTRONICS CO., LTD. |
11140319 | Eye-tracking module with scenario-based mode switching function | -- |
11140339 | Video image processing method, apparatus and terminal | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
11140356 | Terminal and server for providing video call service | HYPERCONNECT, INC. |
11140357 | Multi-direction communication apparatus and multi-direction communication method | SONY CORPORATION |
11140360 | System and method for an interactive digitally rendered avatar of a subject person | KNOW SYSTEMS CORP. |
11140361 | Emotes for non-verbal communication in a videoconferencing system | KATMAI TECH HOLDINGS LLC |
11140382 | AV output analysis device and method, and computer-readable recording medium | SAMSUNG ELECTRONICS CO., LTD. |
11140424 | Identifying media on a mobile device | GOOGLE TECHNOLOGY HOLDINGS LLC |
11140464 | System and a method for creating and sharing content anywhere and anytime | RXPRISM HEALTH SYSTEMS PRIVATE LIMITED |
11140479 | Integrated loudspeaker and control device | BIAMP SYSTEMS, LLC |
11140480 | Indirect sourced cognitive loudspeaker system | -- |
11140507 | Rendering of spatial audio content | NOKIA TECHNOLOGIES OY |
11140508 | Apparatus and associated methods for audio presented as spatial audio | NOKIA TECHNOLOGIES OY |
11140509 | Head-tracking methodology for headphones and headsets | -- |
11140524 | Vehicle to vehicle messaging | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11140724 | Systems and methods for enabling sharing between devices | AT&T MOBILITY II LLC |
11140775 | Circuit board and display system | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11140787 | Connecting an electronic component to an interactive textile | GOOGLE LLC |
11140791 | Electronic device including sensor mounted below display panel | SAMSUNG ELECTRONICS CO., LTD. |
11140926 | Smart garment, user terminal, system including same, and method of changing design of smart garment | SAMSUNG ELECTRONICS CO., LTD. |
11140936 | Guided allocation in an apparel management system | LEVI STRAUSS & CO. |
11140939 | Sensor assisted head mounted displays for welding | ILLINOIS TOOL WORKS INC. |
11141061 | Cognitive load estimation based on pupil dilation | TATA CONSULTANCY SERVICES LIMITED |
11141088 | Electronic device for recognition of mental behavioral attributes based on deep neural networks | SONY CORPORATION |
11141098 | Electronic device for measuring hydrature using display and method for operating the same | SAMSUNG ELECTRONICS CO., LTD. |
11141113 | Detection of human-machine interaction errors | RAANANA |
11141116 | Systems and methods for health data visualization and user support tools for continuous glucose monitoring | DEXCOM, INC. |
11141136 | Ultrasound observation device, processing device, method of operating ultrasound observation device, and computer readable recording medium | OLYMPUS CORPORATION |
11141237 | Methods and systems for display of patient data in computer-assisted surgery | MOBIUS IMAGING LLC |
11141640 | Eye gaze training device and method | -- |
11141667 | Control method for attraction apparatus and attraction system | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11141963 | Surface protective film | BANDO CHEMICAL INDUSTRIES, LTD. |
11141994 | Print head assembly for applying images over a contoured axially symmetric object | LSINC CORPORATION |
11142233 | Steering wheel and method for controlling the same | HYUNDAI MOTOR COMPANY |
11143497 | Determination of a flexible display | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11143508 | Handheld device for calculating locations coordinates for visible but uncharted remote points | -- |
11143523 | Providing raised patterns and haptic feedback for mapping applications | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11143867 | Wristwatch based interface for augmented reality eyewear | SNAP INC. |
11143869 | Eye tracking device and head-mounted display device | BEIJING 7INVENSUN TECHNOLOGY CO., LTD. |
11143876 | Optical axis control based on gaze detection within a head-mountable display | SONY INTERACTIVE ENTERTAINMENT INC. |
11143896 | Touch device and touch display panel | -- |
11143899 | Portable device and method of manufacturing a display device | JAPAN DISPLAY INC. |
11143921 | Display device | LG DISPLAY CO., LTD. |
11144018 | Data interaction platforms utilizing dynamic relational awareness | DSI DIGITAL, LLC |
11144052 | Readiness and identification by gaze and/or gesture pattern detection | TOYOTA RESEARCH INSTITUTE, INC. |
11144084 | Control method applied to a joystick | -- |
11144091 | Power save mode for wearable device | LENOVO (SINGAPORE) PTE. LTD. |
11144092 | Computing device connection mechanism | MICROSOFT TECHNOLOGY LICENSING, LLC |
11144095 | Foldable device and method of controlling the same | SAMSUNG ELECTRONICS CO., LTD. |
11144109 | Apparatus, method, and storage medium for controlling a power saving state in a SATA storage system | CANON KABUSHIKI KAISHA |
11144111 | Intelligent adaptive entities | ROCKWELL COLLINS, INC. |
11144112 | Systems and methods for creating haptic proxies for use in virtual reality | CITY UNIVERSITY OF HONG KONG |
11144113 | System and method for human interaction with virtual objects using reference device with fiducial pattern | FIREFLY DIMENSION, INC. |
11144114 | Information processing apparatus and information processing method | SONY CORPORATION |
11144115 | Porting physical object into virtual reality | FACEBOOK TECHNOLOGIES, LLC |
11144116 | Virtual reality exercise game | VIRZOOM, INC. |
11144117 | Deep learning based head motion prediction for extended reality | QUALCOMM INCORPORATED |
11144118 | Distraction factor used in A/B testing of a web application | CITRIX SYSTEMS, INC. |
11144119 | Methods and systems for generating a magnification region in output video images | IRISVISION, INC. |
11144120 | Systems and methods for screen brightness control and auto-lock based on eye detection | CITRIX SYSTEMS, INC. |
11144121 | Wearable interactive user interface | APPLE INC. |
11144122 | Haptic feedback device | FUJIFILM BUSINESS INNOVATION CORP. |
11144123 | Systems and methods for human-machine subconscious data exploration | THE JOHNS HOPKINS UNIVERSITY |
11144124 | Electronic device and control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11144125 | Hands-free switch system | FIRST-LIGHT USA, LLC |
11144126 | Touch display device, driving method, and driving circuit | LG DISPLAY CO., LTD. |
11144127 | Active texture presentation apparatus driven by high-density flexible electromagnetic coil array | BEIHANG UNIVERSITY |
11144128 | Systems and methods for controlling video wall content using air gestures | VERIZON PATENT AND LICENSING INC. |
11144129 | Depth sensing infrared input device and associated methods thereof | PANASONIC AVIONICS CORPORATION |
11144130 | Information processing apparatus, information processing system, and information processing method | SONY CORPORATION |
11144131 | Illuminated module and keyboard thereof | -- |
11144133 | Keyboard and method of selecting colors of keys of the keyboard | CORSAIR MEMORY, INC. |
11144134 | Mouse device | -- |
11144135 | Mouse button with replaceable lever mechanism | RAZER (ASIA-PACIFIC) PTE. LTD. |
11144136 | Mouse capable of receiving stylus pen | -- |
11144137 | Computer pointing device | THE UNITED STATES GOVERNMENT AS REPRESENTED BY THE DEPARTNENT OF VETERANS AFFAIRS |
11144138 | Touch pen, driving method thereof and touch system | HEFEI BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11144139 | Electronic device and electronic system | -- |
11144140 | System and method for bidirectional communication between stylus and stylus sensor controller | WACOM CO., LTD. |
11144141 | Input devices and methods for providing a scrolling input to an application | RAZER (ASIA-PACIFIC) PTE. LTD. |
11144142 | Flexible touch screen, manufacturing method thereof and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY GROUP CO., LTD. |
11144143 | Touch display panel and device | LG DISPLAY CO., LTD. |
11144144 | Touch sensing device and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11144145 | Touch display device | -- |
11144146 | Flat panel display having in-cell type touch sensor | SAMSUNG DISPLAY CO., LTD. |
11144147 | Display device and data driver | LG DISPLAY CO., LTD. |
11144148 | Touchscreen display device, touch driving circuit and pen touch sensing method | LG DISPLAY CO., LTD. |
11144149 | Methods and techniques for correcting pressure sensor data in the presence of abnormal pressure sensor readings | STMICROELECTRONICS ASIA PACIFIC PTE LTD |
11144150 | Tactile sensation providing apparatus | KYOCERA CORPORATION |
11144151 | Touch sensing device and electronic device having hybrid sensing structure | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11144153 | User interface with acoustic proximity and position sensing arrangements | ELLIPTIC LABORATORIES AS |
11144154 | Electronic device for processing input event and method of operating same | SAMSUNG ELECTRONICS CO., LTD. |
11144155 | Electronic device | -- |
11144156 | Electronic device including display and sensor | SAMSUNG ELECTRONICS CO., LTD. |
11144157 | Electronic apparatus | SAMSUNG DISPLAY CO., LTD. |
11144158 | Differential acoustic touch and force sensing | APPLE INC. |
11144159 | Driving method of display panel, display panel and display device | SHANGHAI TIANMA AM-OLED CO., LTD. |
11144160 | Three-dimensional data reduction method and system | SIGMASENSE, LLC. |
11144161 | Rotatably coupled touch screen displays | MICROSOFT TECHNOLOGY LICENSING, LLC |
11144162 | Device and method for sensor electrode testing | SYNAPTICS INCORPORATED |
11144163 | Capacitance detection method of touch display panel, capacitance detection circuit of touch display panel, and electronic device | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
11144164 | Position detection method, position detection device, and interactive projector | SEIKO EPSON CORPORATION |
11144165 | Proximity sensor and display device | JAPAN DISPLAY INC. |
11144166 | Display device with electrostatic capacitive touch panel | SEIKO EPSON CORPORATION |
11144168 | Touch panel and manufacturing method thereof | -- |
11144169 | Electromagnetic induction type coordinate positioning apparatus | SHENZHEN PU YING INNOVATION TECHNOLOGY CORP., LTD. |
11144170 | Display panel and display module | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11144171 | Reduced latency server-mediated audio-video communication | GLIDE TALK LTD. |
11144172 | Launching application task based on single user input and preset condition | HUAWEI TECHNOLOGIES CO., LTD. |
11144173 | Electronic device and method for providing object recommendation | SAMSUNG ELECTRONICS CO., LTD. |
11144174 | Providing trending information to users | GOOGLE LLC |
11144175 | Rule based application execution using multi-modal inputs | SAMSUNG ELECTRONICS CO., LTD. |
11144176 | User interfaces for electronic voice communications | APPLE INC. |
11144177 | Application execution method by display device and display device thereof | SAMSUNG ELECTRONICS CO., LTD. |
11144178 | Method for providing contents for mobile terminal on the basis of user touch and hold time | SEOUL |
11144179 | Next user interaction prediction | SAP SE |
11144180 | Extensibility features for electronic communications | MICROSOFT TECHNOLOGY LICENSING, LLC |
11144181 | Graphical user interface magnetic panel | SAP SE |
11144182 | Determining user preference of an object from a group of objects maintained by a social networking system | FACEBOOK, INC. |
11144183 | System and method for previewing a dashboard display on various form factors | ROCKWELL AUTOMATION TECHNOLOGIES, INC. |
11144184 | Selection thresholds in a visualization interface | MINESET, INC. |
11144185 | Generating and providing concurrent journey visualizations associated with different journey definitions | SPLUNK INC. |
11144186 | Content object layering for user interfaces | VERIZON MEDIA INC. |
11144187 | Storage medium having stored therein game program, information processing system, information processing apparatus, and game processing method | NINTENDO CO., LTD. |
11144188 | Drag and drop to highlight and transfer data | ALLSCRIPTS SOFTWARE, LLC |
11144189 | Determination and relocation of movement targets based on a drag-and-drop operation of a thumbnail across document areas | CANON KABUSHIKI KAISHA |
11144190 | Electronic device and method for sharing data thereof | SAMSUNG ELECTRONICS CO., LTD. |
11144191 | Method and apparatus for activating application function based on inputs on an application interface | ALIBABA GROUP HOLDING LIMITED |
11144192 | Customizable user interface for use with digital ink | MICROSOFT TECHNOLOGY LICENSING, LLC |
11144193 | Input device and input method | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11144194 | Interactive stereoscopic display and interactive sensing method for the same | -- |
11144195 | Fast data copying method and electronic device | HUAWEI TECHNOLOGIES CO., LTD. |
11144196 | Operating visual user interface controls with ink commands | MICROSOFT TECHNOLOGY LICENSING, LLC |
11144197 | Electronic device performing function according to gesture input and operation method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11144198 | Control method of touch display apparatus | -- |
11144199 | Electronic device and control method therefor | SAMSUNG ELECTRONICS CO., LTD. |
11144201 | Video picture adjustment method and apparatus, computer device and storage medium | BEIJING MICROLIVE VISION TECHNOLOGY CO., LTD |
11144202 | Volume management apparatus, volume management method, and volume management program | HITACHI, LTD. |
11144203 | Selectively operable memory device | MICRON TECHNOLOGY, INC. |
11144204 | Recovering data in a storage network | PURE STORAGE, INC. |
11144205 | Audio playback device and operation method of the same | -- |
11144206 | Method and system for sharing data reduction metadata with storage systems | EMC IP HOLDING COMPANY LLC |
11144207 | Accelerating memory compression of a physically scattered buffer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11144208 | Data compression system using base values and methods thereof | ADVANCED MICRO DEVICES, INC. |
11144209 | Method, apparatus and computer program product for managing input/output | DELL PRODUCTS L.P. |
11144210 | Valid data merging method, memory control circuit unit and memory storage apparatus | -- |
11144211 | Low overhead resynchronization snapshot creation and utilization | NETAPP INC. |
11144212 | Independent partitions within an array | PURE STORAGE, INC. |
11144213 | Providing preferential access to a metadata track in two track writes | INTEMATIONAL BUSINESS MACHINES CORPORATION |
11144214 | Memory authentication | MICRON TECHNOLOGY, INC. |
11144215 | Method, apparatus and electronic device for controlling memory access | BEIJING HORIZON ROBOTICS TECHNOLOGY RESEARCH AND DEVELOPMENT CO., LTD. |
11144216 | Virtual machine page movement for encrypted memory | RED HAT, INC. |
11144217 | Data protection method and associated storage device | -- |
11144218 | Array voltage regulating technique to enable data operations on large memory arrays with resistive memory elements | UNITY SEMICONDUCTOR CORPORATION |
11144219 | Ensuring sufficient available storage capacity for data resynchronization/reconstruction in a hyper-converged infrastructure | VMWARE, INC. |
11144220 | Affinity sensitive storage of data corresponding to a doubly mapped redundant array of independent nodes | EMC IP HOLDING COMPANY LLC |
11144221 | Efficient resilience in a metadata paging array for in-flight user data | DELL PRODUCTS L.P. |
11144222 | System and method for auto-tiering data in a log-structured file system based on logical slice read temperature | EMC IP HOLDING COMPANY LLC |
11144223 | Flash memory initialization scheme for writing boot up information into selected storage locations averagely and randomly distributed over more storage locations and correspondingly method for reading boot up information from selected storage locations | -- |
11144224 | Locality-aware, memory-efficient, time-efficient hot data identification using count-min-sketch for flash or streaming applications | DELL PRODUCTS L.P. |
11144225 | Memory system, memory controller, and operation method thereof utilizing at least first and second operational modes | SK HYNIX INC. |
11144226 | Intelligent path selection and load balancing | SAMSUNG ELECTRONICS CO., LTD. |
11144227 | Content-based post-process data deduplication | VMWARE, INC. |
11144228 | Circuit partitioning for a memory device | MICRON TECHNOLOGY, INC. |
11144229 | Bandwidth efficient hash-based migration of storage volumes between storage systems | EMC IP HOLDING COMPANY LLC |
11144230 | Data copy amount reduction in data replication | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11144231 | Relocation and persistence of named data elements in coordination namespace | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11144232 | Storage system with efficient snapshot pair creation during synchronous replication of logical storage volumes | EMC IP HOLDING COMPANY LLC |
11144233 | Efficiently managing point-in-time copies of data within a primary storage system | EMC IP HOLDING COMPANY LLC |
11144234 | Apparatus, method for storage access management, and non-transitory computer-readable storage medium for storing program | FUJITSU LIMITED |
11144235 | System and method for evaluating memory system performance | XLNX, INC. |
11144236 | Information processing apparatus, information processing method, and non-transitory computer-readable storage medium for storing program | FUJITSU LIMITED |
11144237 | Concurrent reading and writing with crash recovery in persistent memory | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11144238 | Background processing during remote memory access | NEXT SILICON LTD |
11144239 | Storage controller, storage device, and write control method | KABUSHIKI KAISHA TOSHIBA |
11144240 | Memory sub-system for increasing bandwidth for command scheduling | MICRON TECHNOLOGY, INC. |
11144241 | Write leveling a memory device | MICRON TECHNOLOGY, INC. |
11144242 | Distributed storage system | HITACHI, LTD. |
11144243 | Method and device for managing redundant array of independent disks and computer program product | EMC IP HOLDING COMPANY LLC |
11144244 | Command transmitting method with temporary storing commands by memory interfaces for rewritable non-volatile memory modules, memory control circuit unit and memory storage device | -- |
11144245 | Memory control method, memory storage device and memory control circuit unit | -- |
11144246 | Memory system using available bad block based on data storage reliability and operating method thereof | SK HYNIX INC. |
11144247 | Fast input/output in a content-addressable storage architecture with paged metadata | EMC IP HOLDING COMPANY LLC |
11144248 | Memory device and method of operating the same | SK HYNIX INC. |
11144249 | Storage system including nonvolatile memory module for converting random logical addresses to sequential logical addresses and operating method of the nonvolatile memory module | SAMSUNG ELECTRONICS CO., LTD. |
11144250 | Method and system for facilitating a persistent memory-centric system | ALIBABA GROUP HOLDING LIMITED |
11144251 | Providing a global unique identifier for a storage volume | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11144252 | Optimizing write IO bandwidth and latency in an active-active clustered system based on a single storage node having ownership of a storage object | EMC IP HOLDING COMPANY LLC |
11144253 | Split printing on a printing medium | BROTHER KOGYO KABUSHIKI KAISHA |
11144254 | Information processing apparatus | SHARP KABUSHIKI KAISHA |
11144255 | Information processing apparatus, non-transitory computer readable medium storing information processing program, and information processing method | FUJIFILM BUSINESS INNOVATION CORP. |
11144256 | Information processing apparatus switching setting mode of setting process for printing | BROTHER KOGYO KABUSHIKI KAISHA |
11144257 | Component management device, component management method, and non-transitory storage medium | TOSHIBA TEC KABUSHIKI KAISHA |
11144258 | Image forming apparatus and image forming apparatus control method | CANON KABUSHIKI KAISHA |
11144259 | Information processing system that executes processes described in an adapter corresponding to an authenticated user, and method of controlling it | CANON KABUSHIKI KAISHA |
11144260 | Non-transitory computer-readable recording medium for information processing apparatus, information processing apparatus including same, and method for outputting print data using same | BROTHER KOGYO KABUSHIKI KAISHA |
11144261 | Information processing apparatus with transfer-prohibition control for saved data and non-transitory computer readable medium | FUJIFILM BUSINESS INNOVATION CORP. |
11144262 | Policy-based printing system using graphical codes at printing devices for split-printing methods | KYOCERA DOCUMENT SOLUTIONS INC. |
11144263 | Printer driver | KYOCERA DOCUMENT SOLUTIONS INC. |
11144264 | Non-transitory computer-readable recording medium storing instructions for receiving an edit instruction and a print instruction for a template image | BROTHER KOGYO KABUSHIKI KAISHA |
11144265 | Information processing apparatus having general-use printing program, printing method of controlling information processing apparatus, and non-transitory computer-readable recording medium therefor | BROTHER KOGYO KABUSHIKI KAISHA |
11144266 | Printing system | RISO KAGAKU CORPORATION |
11144267 | Image forming apparatus, control method for printing system, and non-transitory computer readable storage medium | CANON KABUSHIKI KAISHA |
11144268 | Timing synchronization in a display-server computing system and method | NETZYN, INC. |
11144269 | Digital picture display system with photo clustering and filtering | AURA HOME, INC. |
11144270 | Electronic apparatus and method of driving the same | SAMSUNG DISPLAY CO., LTD. |
11144271 | Systems for moveable computer display devices | -- |
11144272 | Fan display apparatus, display method and fan display video wall system | SHENZHEN FRIDA LCD CO., LTD |
11144273 | Image display apparatus having multiple operation modes and control method thereof | CANON KABUSHIKI KAISHA |
11144274 | Methods, systems, and media for providing a remote control interface | GOOGLE LLC |
11144275 | Method for sharing content and tools independently from devices, applications, users, and times | MICROSOFT TECHNOLOGY LICENSING, LLC |
11144276 | Communication terminal, management system, communication system, and display method | RICOH COMPANY, LTD. |
11144277 | Electronic device for controlling volume level of audio signal on basis of states of multiple speakers | SAMSUNG ELECTRONICS CO., LTD. |
11144278 | Verifying operational statuses of agents interfacing with digital assistant applications | GOOGLE LLC |
11144279 | Memory retention system | HUMAN AI LABS, INC. |
11144284 | Method and system for code tile programming | EPIC GAMES, INC. |
11144285 | Automatically converting a textual data prompt embedded within a graphical user interface (GUI) to a widget | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11144335 | System or method to display blockchain information with centralized information in a tenant interface on a multi-tenant platform | SALESFORCE.COM, INC. |
11144337 | Implementing interface for rapid ground truth binning | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11144338 | Computing system for macro generation, modification, verification, and execution | HYLAND SOFTWARE, INC. |
11144339 | Optimizing access to production data | VERITAS TECHNOLOGIES LLC |
11144341 | Management apparatus and management method | HITACHI, LTD. |
11144352 | Correlation of thread intensity and heap usage to identify heap-hoarding stack traces | ORACLE INTERNATIONAL CORPORATION |
11144372 | Cross-platform stateless clipboard experiences | MICROSOFT TECHNOLOGY LICENSING, LLC |
11144388 | Nonvolatile memory device and memory system including nonvolatile memory device | SAMSUNG ELECTRONICS CO., LTD. |
11144389 | Non-volatile memory program failure recovery via redundant arrays | SEAGATE TECHNOLOGY LLC |
11144393 | Memory controller, memory system including the same, and method of operating the memory controller | SAMSUNG ELECTRONICS CO., LTD. |
11144400 | Efficient management of point in time copies of data in object storage by sending the point in time copies, and a directive for manipulating the point in time copies, to the object storage | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11144401 | Component aware incremental backup, restore, and reconciliation solution | VMWARE, INC. |
11144403 | Aperiodic snapshot creation recommendation engine | DELL PRODUCTS L.P. |
11144410 | System and method to dynamically increase memory channel robustness at high transfer rates | DELL PRODUCTS L.P. |
11144413 | Cluster member transfer for raid system expansion | DELL PRODUCTS L.P. |
11144422 | Apparatus and method for controlling external device | SAMSUNG ELECTRONICS CO., LTD. |
11144426 | Prediction tool | BANK OF AMERICA CORPORATION |
11144446 | Logical memory unit for flash memory | PROTON WORLD INTERNATIONAL N.V. |
11144447 | Device for performing at least one medical action at a human or animal body via device over memory cleaning called by wear leveling | ROCHE DIABETES CARE, INC. |
11144448 | Memory sub-system for managing flash translation layers table updates in response to unmap commands | MICRON TECHNOLOGY, INC. |
11144451 | Memory system and method for controlling nonvolatile memory | TOSHIBA MEMORY CORPORATION |
11144453 | Unmap to initialize sectors | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11144454 | Enhanced vault save with compression | DELL PRODUCTS L.P. |
11144462 | Wait classified cache writes in a data storage system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11144481 | Techniques for dynamically adjusting the manner in which I/O requests are transmitted between a computing device and a storage device | APPLE INC. |
11144488 | Techniques of sending alerts from storage services running on server san target | AMERICAN MEGATRENDS INTERNATIONAL, LLC |
11144517 | Data store transition using a data migration server | PAYPAL, INC. |
11144531 | Systems and methods for multi-file check-in | OPEN TEXT SA ULC |
11144533 | Inline deduplication using log based storage | EMC IP HOLDING COMPANY LLC |
11144541 | Intelligent content and formatting reuse | MICROSOFT TECHNOLOGY LICENSING, LLC |
11144544 | Providing answers to questions including assembling answers from multiple document segments | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11144545 | Monitoring console for entity detail | SPLUNK INC. |
11144557 | Aiding discovery of program content by providing deeplinks into most interesting moments via social media | GOOGLE LLC |
11144585 | Intelligent and context aware reading systems | MASSACHUSETTS MUTUAL LIFE INSURANCE COMPANY |
11144596 | Retroactive information searching enabled by neural sensing | HARMAN INTERNATIONAL INDUSTRIES, INCORPORATED |
11144607 | Network search mapping and execution | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11144635 | Restricted command set management in a data storage system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11144651 | Secure cloud-based storage of data shared across file system objects and clients | EMC IP HOLDING COMPANY LLC |
11144671 | Containment of sensitive data within a communication platform | -- |
11144680 | Methods for determining environmental parameter data of a real object in an image | ATHEER, INC. |
11144681 | Generative design pipeline for urban and neighborhood planning | AUTODESK, INC. |
11144701 | System and method for key parameter identification, process model calibration and variability analysis in a virtual semiconductor device fabrication environment | COVENTOR, INC. |
11144706 | Systems and methods for layout transformation of document content | GOOGLE LLC |
11144709 | Method and apparatus for interactive reports | ARRIA DATA2TEXT LIMITED |
11144733 | Task-oriented messaging system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11144742 | Fingerprint sensor and terminal device | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
11144745 | Optical fingerprint sensing module and electronic device | -- |
11144751 | Information processing apparatus and non-transitory computer readable medium to allow operation without contact | FUJIFILM BUSINESS INNOVATION CORP. |
11144754 | Gaze detection using one or more neural networks | NVIDIA CORPORATION |
11144755 | Support glint for remote eye tracking | TOBII AB |
11144776 | Mobile surveillance apparatus, program, and control method | NEC CORPORATION |
11144784 | Text-to-visual machine learning embedding techniques | ADOBE INC. |
11144801 | Generating control data for a printing system | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11144811 | Aspect pre-selection using machine learning | EBAY INC. |
11144838 | Applied artificial intelligence technology for evaluating drivers of data presented in visualizations | NARRATIVE SCIENCE INC. |
11144852 | Survey insight reporting system and method | ENERGAGE, LLC |
11144853 | Resource demand management systems and methods | MASSACHUSETTS MUTUAL LIFE INSURANCE COMPANY |
11144860 | Method and system for generating a dashboard | KNOWLEDGE OBSERVER INC. |
11144888 | Method and system for augmenting real-fix tips with additional content | SNAP-ON INCORPORATED |
11144917 | Alert management system with real-time remediation and integration with the exception originating system | DOUBLE CHECK SOLUTIONS, LLC |
11144986 | Theme recommendation engine | SHOPIFY INC. |
11144991 | Cognitive assessment system | -- |
11144994 | Computer-implemented apparatus and method for providing information concerning a financial instrument | STREET DILIGENCE, INC. |
11144997 | System and method for expediting purchase of vehicular insurance | -- |
11145014 | Multi-destination travel planning methods | PERILLO TOURS, INC. |
11145031 | Display apparatus and method of compensating for visual artifacts | VARJO TECHNOLOGIES OY |
11145039 | Dynamic tone mapping method, mobile terminal, and computer readable storage medium | SHENZHEN SKYWORTH-RGB ELECTRONIC CO., LTD. |
11145053 | Image processing apparatus and computer-readable storage medium storing instructions for specifying lesion portion and performing differentiation classification in response to judging that differentiation classification operation is engaged based on signal from endoscope | OLYMPUS CORPORATION |
11145058 | User interface configured to facilitate user annotation for instance segmentation within biological samples | AGILENT TECHNOLOGIES, INC. |
11145095 | Graphical user interface comprising multiple, interrelated, automatically-adjusting components | GOOGLE LLC |
11145097 | Changing view order of augmented reality objects based on user gaze | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11145101 | Electronic device for displaying avatar corresponding to external object according to change in position of external object | SAMSUNG ELECTRONICS CO., LTD. |
11145102 | Using a handheld device to recreate a human pose or align an object in an augmented reality or virtual reality environment | VOLVO CAR CORPORATION |
11145122 | System and method for enhancing augmented reality (AR) experience on user equipment (UE) based on in-device contents | SAMSUNG ELECTRONICS CO., LTD. |
11145123 | Generating extended reality overlays in an industrial environment | SPLUNK INC. |
11145124 | System and method for rendering virtual reality interactions | -- |
11145125 | Communication protocol for streaming mixed-reality environments between multiple devices | LUCASFILM ENTERTAINMENT COMPANY LTD. |
11145126 | Movement instruction using a mirror in an artificial reality environment | FACEBOOK TECHNOLOGIES, LLC |
11145127 | System and method for mapping | MAGIC LEAP, INC. |
11145131 | Utilizing machine learning to generate augmented reality vehicle information for a scale model of a vehicle | CAPITAL ONE SERVICES, LLC |
11145132 | Modification of peripheral content in world-locked see-through computer display systems | MENTOR ACQUISITION ONE, LLC |
11145135 | Augmented reality interaction and contextual menu system | SPATIAL SYSTEMS INC. |
11145136 | Systems, methods, and storage media for conveying virtual content in an augmented reality environment | -- |
11145139 | Automatic placement and arrangement of content items in three-dimensional environment | MICROSOFT TECHNOLOGY LICENSING, LLC |
11145149 | Electronic lock | -- |
11145181 | Method and wearable electronic device for imbalance warning | -- |
11145209 | Method and system for identifying location of a parked vehicle | UBICQUIA IQ LLC |
11145216 | Methods and systems for dynamically generating a training program | BREAKTHROUGH PERFORMANCETECH, LLC |
11145218 | Spray paint simulator and training aid | ENVISION TECHNOLOGIES, LLC |
11145228 | Immersive display structure | LOCKHEED MARTIN CORPORATION |
11145234 | Screen projecting method, apparatus, device and storage medium | BAIDU ONLINE NETWORK TECHNOLOGY (BEIJING) CO., LTD. |
11145238 | Method for controlling image graphing of terminal, nontransitory computer-readable storage medium, and terminal | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
11145246 | Field recalibration of displays | SYNAPTICS INCORPORATED |
11145248 | Display device and method for manufacturing same | SAMSUNG DISPLAY CO., LTD. |
11145275 | Systems and techniques for aggregation, display, and sharing of data | SYNTHRO INC. |
11145276 | Integrated near-far light field display systems | OSTENDO TECHNOLOGIES, INC. |
11145288 | Systems and methods for a text-to-speech interface | GOOGLE LLC |
11145294 | Intelligent automated assistant for delivering content from user experiences | APPLE INC. |
11145306 | Interactive media system using audio inputs | OSSUM TECHNOLOGY INC. |
11145311 | Information processing apparatus that transmits a speech signal to a speech recognition server triggered by an activation word other than defined activation words, speech recognition system including the information processing apparatus, and information processing method | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11145320 | Privacy protection in collective feedforward | DOLBY LABORATORIES LICENSING CORPORATION |
11145333 | Tool capture and presentation system | ADOBE INC. |
11145372 | Decoding method, memory controlling circuit unit, and memory storage device | -- |
11145408 | Medical communication protocol translator | MASIMO CORPORATION |
11145687 | Display having infrared element arranged such that at least one portion thereof overlaps pixel, and electronic device including same | SAMSUNG ELETRONICS CO., LTD |
11145695 | Display screen, mobile terminal and display method | VIVO MOBILE COMMUNICATION CO., LTD. |
11145807 | Electronic device | SK HYNIX INC. |
11145827 | Light-emitting element, display device, electronic device, and lighting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11146187 | Inertial force imparting device and tactile sensation presenting device | SEIKO INSTRUMENTS INC. |
11146277 | Clock generator | CIRRUS LOGIC, INC. |
11146446 | System and methods for alerting a user consuming media to the progress of others consuming media | ROVI GUIDES, INC. |
11146461 | Apparatus and methods of analyzing status of computing servers | 8X8, INC. |
11146510 | Communication methods and apparatuses | ALIBABA GROUP HOLDING LIMITED |
11146513 | Generating messages having in-message applications | TWITTER, INC. |
11146547 | Enforce data security based on a mobile device, positioning, augmented reality | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11146556 | Methods and systems for contiguous utilization of individual end-user-based cloud-storage subscriptions | PARABLU INC. |
11146567 | Method and apparatus for information exchange over a web based environment | STT WEBOS, INC. |
11146574 | Annotation of event data to include access interface identifiers for use by downstream entities in a distributed data processing system | SPLUNK INC. |
11146609 | Sender-receiver interface for artificial intelligence communication assistance for augmenting communications | GRAMMARLY, INC. |
11146619 | Systems and methods for selecting media items | QUALCOMM INCORPORATED |
11146644 | Apparatus and method for selecting devices within an internet of things for connecting and disconnecting | KAHA PTE. LTD. |
11146661 | Systems and methods for detecting collaborative virtual gestures | REC ROOM INC. |
11146662 | Method and system of transmitting state based input over a network | NVIDIA CORPORATION |
11146665 | Methods and apparatus for sharing and arbitration of host stack information with user space communication stacks | APPLE INC. |
11146670 | Electronic device and method of executing function of electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11146675 | System and user interface having push-to-talk, outbound dialer, and messaging functions with recipients identified using a proxy alias | STATE FARM MUTUAL AUTOMOBILE INSURANCE COMPANY |
11146696 | Image processing system, image processing apparatus, and image processing method using a plurality of boxes for a box function corresponding to user identified voice ID | CANON KABUSHIKI KAISHA |
11146697 | Image forming system and image forming apparatus with voice instruction to reform print setting operation | KONICA MINOLTA, INC. |
11146698 | Terminal device, non-transitory computer-readable storage medium storing display control program, and display control method | SEIKO EPSON CORPORATION |
11146700 | Image forming apparatus and communication system that utilize group chat function | KYOCERA DOCUMENT SOLUTIONS INC. |
11146704 | Image forming apparatus | KYOCERA DOCUMENT SOLUTIONS INC. |
11146733 | Cargo management system and methods | AMERICAN AIRLINES, INC. |
11146739 | Method for image shooting, terminal device, and storage medium | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
11146742 | Method and apparatus for multi-exposure photography, and storage medium | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11146743 | Display control apparatus with first controlling device and second controlling device superimposing bookmark data | PARONYM INC. |
11146757 | Electronic apparatus and control method | KABUSHIKI KAISHA TOSHIBA |
11146771 | Display control device, display control method, and program | SONY CORPORATION |
11146775 | Methods and apparatus for dimensioning an object using proximate devices | SYMBOL TECHNOLOGIES, LLC |
11146841 | Voice-based television control method and intelligent terminal | BAIDU ONLINE NETWORK TECHNOLOGY (BEIJING) CO., LTD. |
11146847 | Dynamic context-based video streaming overlay generation | HAYSTACK TV, INC. |
11146851 | Cable, method of controlling cable, connection device, electronic device, and method of controlling electronic device | SONY CORPORATION |
11146873 | Display device | LG ELECTRONICS INC. |
11146901 | Crowd-sourced device latency estimation for synchronization of recordings in vocal capture applications | SMULE, INC. |
11146902 | Facilitating a bone conduction otoacoustic emission test | THE JOHNS HOPKINS UNIVERSITY |
11146908 | Generating personalized end user head-related transfer function (HRTF) from generic HRTF | SONY CORPORATION |
11146912 | System automatically updating database information based on a user's specified geographical location | VOLO, LLC |
11147172 | Display device | -- |
11147448 | Head mounted display device for eye examination and method for ophthalmic examination using therefor | M2S CO.,LTD |
11147509 | Method for customizing a mounted sensing device | ESSILOR INTERNATIONAL |
11147510 | Flexible sensors and sensor systems | BEBOP SENSORS, INC. |
11147547 | Surgical stapler comprising storable cartridges having different staple sizes | CILAG GMBH INTERNATIONAL |
11147640 | Medical devices, systems, and methods using eye gaze tracking | INTUITIVE SURGICAL OPERATIONS, INC. |
11147641 | Robot system | KAWASAKI JUKOGYO KABUSHIKI KAISHA |
11148007 | Activity and workout updates | APPLE INC. |
11148034 | System for providing a virtual exercise place | -- |
11148044 | Input device for intelligent terminal | SHANGHAI ZHONGLIAN TECHNOLOGIES LTD., CO |
11148244 | Vibration spectra window enhancement | COMPUTATIONAL SYSTEMS, INC. |
11148671 | Autonomous systems human controller simulation | UNIVERSITY OF CENTRAL FLORIDA RESEARCH FOUNDATION, INC. |
11148826 | Method and apparatus for configuring screen displays | AIRBUS HELICOPTERS |
11148905 | Handsfree elevator control system | NOUVEAU NATIONAL LLC |
11150081 | Thermal sensor position detecting device | CHILD MIND INSTITUTE, INC. |
11150105 | Avionics device, systems and methods of display | ASPEN AVIONICS, INC. |
11150136 | Color accuracy vertfication device that manages color accuracy of plurality of printers | KONICA MINOLTA, INC. |
11150310 | Calibration of magnetic and optical sensors in a virtual reality or augmented reality display system | MAGIC LEAP, INC. |
11150324 | Displacement sensing to localize movement | FACEBOOK TECHNOLOGIES, LLC |
11150332 | Self-calibrating optical transceiver system with reduced crosstalk sensitivity for through-display proximity sensing | APPLE INC. |
11150437 | Prescription adjustment methods and systems for varifocal subsystems | FACEBOOK TECHNOLOGIES, LLC |
11150469 | Method and device for eye tracking using event camera data | -- |
11150470 | Inertial measurement unit signal based image reprojection | MICROSOFT TECHNOLOGY LICENSING, LLC |
11150476 | Method for providing a display unit for an electronic information device | ESSILOR INTERNATIONAL |
11150481 | Reality viewer | CHINA INDUSTRIES LIMITED |
11150482 | Augmented reality content creation | FACEBOOK TECHNOLOGIES, LLC |
11150486 | Method and system for object rippling in a display system including multiple displays | PURE DEPTH INC. |
11150502 | Display substrate and display device | SHARP KABUSHIKI KAISHA |
11150505 | Display panel and display device | XIAMEN TIANMA MICRO-ELECTRONICS CO., LTD. |
11150620 | Mobile gateway device for controlling building equipment | JOHNSON CONTROLS TYCO IP HOLDINGS LLP |
11150621 | Methods and systems for the industrial internet of things | STRONG FORCE IOT PORTFOLIO 2016, LLC |
11150692 | Electronic device with sensors and display devices | APPLE INC. |
11150696 | Enhanced application preview mode | APPLE INC. |
11150703 | Systems and methods for detecting the position of a keyboard with respect to a display of a multi-form factor information handling system (IHS) | DELL PRODUCTS L.P. |
11150724 | Avatar-based augmented reality engagement | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11150725 | System for detecting six degrees of freedom of movement by tracking optical flow of backscattered laser speckle patterns | MAGIC LEAP, INC. |
11150726 | Systems and methods for obscuring glare in a vehicle | SEAN PATTON |
11150728 | User interface device and control method thereof for supporting easy and accurate selection of overlapped virtual objects | KOREA INSTITUTE OF SCIENCE AND TECHNOLOGY |
11150729 | Off-axis gaze tracking in in-vehicle computing systems | HARMAN INTERNATIONAL INDUSTRIES, INCORPORATED |
11150730 | Devices, systems, and methods for controlling computing devices via neuromuscular signals of users | FACEBOOK TECHNOLOGIES, LLC |
11150731 | Multi-modal haptic feedback for an electronic device using a single haptic actuator | APPLE INC. |
11150732 | Image pickup apparatus having vibration device | CANON KABUSHIKI KAISHA |
11150733 | Methods and apparatuses for providing a haptic output signal to a haptic actuator | CIRRUS LOGIC, INC. |
11150734 | Haptic structure for providing localized haptic output | APPLE INC. |
11150735 | Haptic touch buttons with sensors for devices | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11150736 | Systems and methods for providing user interfaces in an intelligent television | FLEXTRONICS AP, LLC |
11150737 | Apparatus, system, and method for wrist tracking and gesture detection via time of flight sensors | FACEBOOK TECHNOLOGIES, LLC |
11150738 | Wearable glasses and method of providing content using the same | SAMSUNG ELECTRONICS CO., LTD. |
11150739 | Chinese character entry via a Pinyin input method | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11150740 | Key structure | -- |
11150741 | Hybrid switch for an input device | LOGITECH EUROPE S.A. |
11150742 | Deformable display device and operating method thereof | LG ELECTRONICS INC. |
11150743 | Electronic device and method for intelligent interaction thereof | SAMSUNG ELECTRONICS CO., LTD. |
11150744 | Smart controller | ITVERS CO., LTD. |
11150745 | Media device | -- |
11150746 | Wearable electronic devices having user interface mirroring based on device position | GOOGLE LLC |
11150747 | Method of determining spatial configurations of a plurality of transducers relative to a target object | HOTTINGER BRüEL & KJæR A/S |
11150748 | Mouse device and method for calibrating sensitivity thereof | -- |
11150749 | Control module for stylus with whiteboard-style erasure | MICROSOFT TECHNOLOGY LICENSING, LLC |
11150750 | Electronic pen and electronic pen main body unit | WACOM CO., LTD. |
11150751 | Dynamically reconfigurable touchpad | DELL PRODUCTS L.P. |
11150752 | Display device and portable device including the same | SAMSUNG DISPLAY CO., LTD. |
11150753 | Display device including a touch detecting unit having an insulating pattern | SAMSUNG DISPLAY CO., LTD. |
11150754 | Display device | SAMSUNG DISPLAY CO., LTD. |
11150755 | Touch display integrated circuit | FOCALTECH ELECTRONICS, LTD. |
11150756 | Liquid crystal display device | JAPAN DISPLAY INC. |
11150757 | Touch display device, touch display panel, and driving circuit | LG DISPLAY CO., LTD. |
11150758 | Pressure activated accurate pointing | WACOM CO., LTD. |
11150759 | Book | NOVALIA LTD. |
11150760 | Touch analog front-end circuit and touch display apparatus thereof | -- |
11150761 | Position indicator, position detecting device, position detecting circuit, and position detecting method | WACOM CO., LTD. |
11150762 | Multi-user multi-touch projected capacitance touch sensor with event initiation based on common touch entity detection | ELO TOUCH SOLOUTIONS, INC. |
11150764 | Touch apparatus and touch detection method thereof | HIDEEP INC. |
11150765 | Display device including a touch sensor and a method of eliminating noise | SAMSUNG DISPLAY CO., LTD. |
11150766 | Switching operation sensing device with touch-sensing and force-sensing using dual-sensing structure | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11150767 | Electronic device | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11150768 | Position detection device, display device, and position detection method | SEIKO EPSON CORPORATION |
11150769 | Method for capacitively detecting contact and actuation | PREH GMBH |
11150770 | Input device, electronic system and control method therefor | SAMSUNG ELECTRONICS CO., LTD. |
11150771 | Touch panel and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11150772 | Display device having a non-display area with a sensing portion and a non-sensing portion | SAMSUNG DISPLAY CO., LTD. |
11150773 | Position detector | WACOM CO., LTD. |
11150774 | Modifying display of objects on a user interface for a computing device based on detected patterns of user interaction | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11150775 | Electronic device and method for controlling screen display using temperature and humidity | SAMSUNG ELECTRONICS CO., LTD. |
11150776 | Graphical user interface for marking anatomic structures | CENTERLINE BIOMEDICAL, INC. |
11150777 | Virtual user input controls in a mixed reality environment | MAGIC LEAP, INC. |
11150778 | System and method for visualization of history of events using BIM model | HONEYWELL INTERNATIONAL INC. |
11150779 | Systems and methods for providing an internet browser zoom and group bookmark functions | EMPIRE IP LLC |
11150780 | Updating display of workspaces in a user interface for managing workspaces in response to user input | APPLE INC. |
11150781 | Workflow widgets | APPLE INC. |
11150782 | Channel navigation overviews | FACEBOOK, INC. |
11150783 | GUI based methods and systems for working with large numbers of interactive items | -- |
11150784 | User interface elements for controlling menu displays | SERVICENOW, INC. |
11150785 | Displaying an electronic document | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11150786 | Information processing device, information processing method, program and storage medium | RAKUTEN GROUP, INC. |
11150787 | Image display device and operating method for enlarging an image displayed in a region of a display and displaying the enlarged image variously | SAMSUNG ELECTRONICS CO., LTD. |
11150788 | Augmented or virtual reality (AR/VR) companion device techniques | EBAY INC. |
11150789 | Method, systems, and media to arrange a plurality of digital images within an image display section of a graphical user inteface (GUI) | SOCIAL NATIVE, INC. |
11150790 | Application interface management method and apparatus | ADVANCED NEW TECHNOLOGIES CO., LTD. |
11150791 | Unified ecosystem experience for managing multiple healthcare applications from a common interface with trigger-based layout control | NAVVIS & COMPANY, LLC |
11150792 | Method and device for executing object on display | SAMSUNG ELECTRONICS CO., LTD. |
11150793 | Social balancer for indicating the relative priorities of linked objects | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11150794 | Electronic device control in response to finger rotation upon fingerprint sensor and corresponding methods | MOTOROLA MOBILITY LLC |
11150795 | Systems and methods for providing content | FACEBOOK, INC. |
11150796 | Method, system, and device for interfacing with a component in a plurality of interaction modes | BANMA ZHIXING NETWORK (HONGKONG) CO., LIMITED |
11150797 | Method and device for gesture control and interaction based on touch-sensitive surface to display | BEIJING LUCKEY TECHNOLOGY CO., LTD. |
11150798 | Multifunction device control of another electronic device | APPLE INC. |
11150799 | Interactively controlling a machine with feedback from a control parameter | ARBURG GMBH + CO KG |
11150800 | Pinch-based input systems and methods | FACEBOOK TECHNOLOGIES, LLC |
11150801 | Account switching | GOOGLE LLC |
11150804 | Neural network for keyboard input decoding | GOOGLE LLC |
11150805 | System and method for using free space to improve erasure code locality | VAST DATA LTD. |
11150806 | Systems and methods for reducing disk usage and network latency | COUPANG CORP. |
11150807 | Dynamic storage system configuration | AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITED |
11150808 | Flash memory system | MOSAID TECHNOLOGIES INCORPORATED |
11150809 | Memory controller and storage device including the same | FADU INC. |
11150810 | I/O data transmission in a hyper-converged storage system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11150811 | Data storage apparatus performing flush write operation, operating method thereof, and data processing system including the same | SK HYNIX INC. |
11150812 | Predictive memory management | MICRON TECHNOLOGY, INC. |
11150813 | Memory system | TOSHIBA MEMORY CORPORATION |
11150814 | Utilizing a link interface for performing partial write operations to memory | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11150815 | Information processing apparatus, information processing method, and computer program product | TOSHIBA MEMORY CORPORATION |
11150816 | Immutable storage for cloud service providers | MICROSOFT TECHNOLOGY LICENSING, LLC |
11150817 | Integrating kernel-bypass user-level file systems into legacy applications | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11150818 | Memory array having power consumption characteristics | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11150819 | Controller for allocating memory blocks, operation method of the controller, and memory system including the controller | SK HYNIX INC. |
11150820 | Storage system and storage management method | HITACHI, LTD. |
11150821 | Memory devices with multiple sets of latencies and methods for operating the same | MICRON TECHNOLOGY, INC. |
11150822 | Memory system for determining usage of a buffer based on I/O throughput and operation method thereof | SK HYNIX INC. |
11150823 | Method, device and computer program product for splitting disk set | EMC IP HOLDING COMPANY LLC |
11150825 | Adaptive spare block usage in solid state drives | MICROSOFT TECHNOLOGY LICENSING, LLC |
11150826 | Multi-threaded dynamic per-file read-ahead cache for deduplication system | EMC IP HOLDING COMPANY LLC |
11150827 | Storage system and duplicate data management method | HITACHI, LTD. |
11150828 | Device configured for dynamic software change | LIFE365, INC |
11150829 | Storage system and data control method | HITACHI, LTD. |
11150830 | Integrated hierarchical storage management | COHESITY, INC. |
11150831 | Virtual machine synchronization and recovery | RED HAT, INC. |
11150832 | Method, device and computer program product for backup management | EMC IP HOLDING COMPANY LLC |
11150833 | Backup apparatus | KONICA MINOLTA, INC. |
11150834 | Determining storage consumption in a storage system | PURE STORAGE, INC. |
11150835 | Memory system and method for controlling nonvolatile memory | TOSHIBA MEMORY CORPORATION |
11150836 | Deterministic optimization via performance tracking in a data storage system | SEAGATE TECHNOLOGY LLC |
11150837 | Method, device and system for processing sequential groups of buffered write data | SAMSUNG ELECTRONICS CO., LTD. |
11150838 | Memory system and method of operating the memory system | SK HYNIX INC. |
11150839 | Host and method for interleaving data in a storage system for enhanced quality of service | WESTERN DIGITAL TECHNOLOGIES, INC. |
11150840 | Pinning selected volumes within a heterogeneous cache | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11150841 | NAND dropped command detection and recovery | WESTERN DIGITAL TECHNOLOGIES, INC. |
11150842 | Dynamic memory controller and method for use therewith | WESTERN DIGITAL TECHNOLOGIES, INC. |
11150843 | Storage device and method of operating the same | SK HYNIX INC. |
11150844 | Reflow endurance improvements in triple-level cell NAND flash | MICRON TECHNOLOGY, INC. |
11150845 | Methods and systems for servicing data requests in a multi-node system | EMC IP HOLDING COMPANY LLC |
11150846 | Storage system, computer-readable recording medium, and control method for system that reconstructs and distributes data | HITACHI, LTD. |
11150847 | Shingled magnetic recording drive mapping using nonvolatile random access memory for persistent updates | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11150848 | System and method for identification and location of user identified feature specific printers | TOSHIBA TEC KABUSHIKI KAISHA |
11150849 | Device and method for checking the printing of an article | PHOENIX CONTACT GMBH & CO. KG |
11150850 | Print release to imaging device from mobile computing device | LEXMARK INTERNATIONAL, INC. |
11150851 | Display controller, image forming apparatus including the same, and display control method | SHARP KABUSHIKI KAISHA |
11150852 | Information processing apparatus that changes a setting value for a part workflow based on editing of workflow for a different part, workflow editing method, and medium | CANON KABUSHIKI KAISHA |
11150853 | Apparatus and method for switching connection destination between external devices | RICOH COMPANY, LTD. |
11150854 | Display control method, apparatus, and electronic device | LENOVO (BEIJING) CO., LTD. |
11150855 | Display support structure | PRODUCTION RESOURCE GROUP, L.L.C. |
11150856 | Electronic apparatus and method for controlling thereof | SAMSUNG ELECTRONICS CO., LTD. |
11150857 | Antenna control for mobile device communication | IMMERSIVE ROBOTICS PTY LTD |
11150858 | Electronic devices sharing image quality information and control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11150859 | Method and system for facilitating collaboration sessions | STEELCASE INC. |
11150860 | Dynamic virtual workspace with contextual control of input/output (I/O) devices | DELL PRODUCTS L.P. |
11150861 | Apparatus and method for simultaneous multi-user screen and window sharing, capturing and coordination | COSCREEN, INC. |
11150862 | Flexible display panel and flexible display apparatus | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11150863 | Vehicle sound output device, sound output control method, and non-transitory computer readable medium storing sound output control program | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11150864 | Displaying enhancement items associated with an audio recording | MICROSOFT TECHNOLOGY LICENSING, LLC |
11150865 | Systems and methods to optimize music play in a scrolling news feed | FACEBOOK, INC. |
11150866 | Systems and methods for contextual audio detection and communication mode transactions | SYNERVOZ COMMUNICATIONS INC. |
11150867 | Mixing console | TEAC CORPORATION |
11150868 | Multi-frequency sensing method and apparatus using mobile-clusters | ZOPHONOS INC. |
11150869 | Voice command filtering | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11150870 | Method for providing natural language expression and electronic device supporting same | SAMSUNG ELECTRONICS CO., LTD. |
11150881 | Advanced layer editor | ROKU, INC. |
11150882 | Naming robotic process automation activities according to automatically detected target labels | UIPATH INC. |
11150891 | Method and device for updating software executed from non-volatile memory | ARM IP LIMITED |
11150901 | Systems and methods for minimizing frequency of garbage collection by deduplication of variables | DELL PRODUCTS L.P. |
11150922 | Initializing a conversation with an automated agent via selectable graphical element | GOOGLE LLC |
11150923 | Electronic apparatus and method for providing manual thereof | SAMSUNG ELECTRONICS CO., LTD. |
11150932 | Virtual disk management for virtual disks accessed from compute only nodes | NUTANIX, INC. |
11150949 | Resource release method, resource allocation method, devices, and computer program products | EMC IP HOLDING COMPANY LLC |
11150966 | Managing toast notifications via a toast adapter and plugins | DELL PRODUCTS L.P. |
11150970 | Method, electronic device and computer program product for evaluating health of storage disk | EMC IP HOLDING COMPANY LLC |
11150975 | Method and device for determining causes of performance degradation for storage systems | EMC IP HOLDING COMPANY LLC |
11150988 | Metadata pattern to detect write loss/inconsistencies of optimized-write-once operations | DELL PRODUCTS L.P. |
11150989 | Method, device and computer program product for managing a storage system | EMC IP HOLDING COMPANY LLC |
11150990 | Method, apparatus and computer readable medium for managing a plurality of disks | EMC IP HOLDING COMPANY LLC |
11150997 | Adaptive bandwidth management of a replication process | EXAGRID SYSTEMS, INC. |
11151000 | Systems and methods for continuous data protection with near zero recovery point | RUBRIK, INC. |
11151005 | System and method for storage node data synchronization | EMC IP HOLDING COMPANY LLC |
11151006 | HBM RAS cache architecture | SAMSUNG ELECTRONICS CO., LTD. |
11151027 | Methods and apparatuses for requesting ready status information from a memory | MICRON TECHNOLOGY, INC. |
11151029 | Computing system and method for controlling storage device | KIOXIA CORPORATION |
11151030 | Method for prediction of the duration of garbage collection for backup storage systems | EMC IP HOLDING COMPANY LLC |
11151043 | Demand delay and data value correlated memory pre-fetching systems and methods | MICRON TECHNOLOGY, INC. |
11151045 | Distributed storage system, data management method, and data management program | HITACHI, LTD. |
11151046 | Programmable interface to in-memory cache processor | INTEL CORPORATION |
11151049 | System and method for data migration from a CAS storage system to a non-CAS storage system | EMC IP HOLDING COMPANY LLC |
11151053 | Increasing data read and/or write heat tracking resolution in storage devices having cache architecture | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11151060 | Electronic tool and methods for meetings for communicating user selected media content | BARCO N.V. |
11151061 | Keyboard having remapping and administrative functions | LOGITECH EUROPE S.A. |
11151104 | Time systems as data | MICROSOFT TECHNOLOGY LICENSING, LLC |
11151107 | Alternate states in associative information mining and analysis | QLIKTECH INTERNATIONAL AB |
11151126 | Hybrid column store providing both paged and memory-resident configurations | SAP SE |
11151133 | Computer data distribution architecture | DEEPHAVEN DATA LABS, LLC |
11151136 | Dynamic management of memory allocation in a database | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11151137 | Multi-partition operation in combination operations | SPLUNK INC. |
11151139 | Parallel processing of data having data dependencies for accelerating the launch and performance of operating systems and other computing applications | COMAMI, INC. |
11151148 | Search apparatus and non-transitory computer readable medium storing search program | FUJIFILM BUSINESS INNOVATION CORP. |
11151162 | Timestamp consistency for synchronous replication | NETAPP INC. |
11151187 | Process to provide audio/video/literature files and/or events/activities, based upon an emoji or icon associated to a personal feeling | -- |
11151188 | Automated lecture deconstruction | CHEGG, INC. |
11151195 | Method and system for predicative QandA and resource suggestions | CAREERAMERICA, LLC |
11151200 | Information processing apparatus and non-transitory computer readable medium storing information processing program for managing attribute information of document | FUJIFILM BUSINESS INNOVATION CORP. |
11151201 | Systems and methods for generating interactive hypermedia-based graphical user interfaces for mobile devices | TELETRACKING TECHNOLOGIES, INC. |
11151207 | Apparatus and method for facilitating a reuse of an asset | AT&T INTELLECTUAL PROPERTY I, L.P. |
11151211 | System and method for real estate information processing on a mobile communication device | MOBILITIE, LLC |
11151213 | Browser search result option to facilitate human spidering | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11151214 | Dynamically determining a server for enrollment with management system | VMWARE, INC. |
11151217 | Dynamic contextual library | MICROSTRATEGY INCORPORATED |
11151230 | User authentication using one-time authentication information | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11151238 | Graphical event-based password system | UNITED SERVICES AUTOMOBILE ASSOCIATION (“USAA”) |
11151282 | System and method using a database for enhanced user initiated requests of material or information | UNITED STATES POSTAL SERVICE |
11151283 | Secure data analysis in multitenant applications | SAP SE |
11151303 | Integration of content in non-browser applications | GOOGLE LLC |
11151304 | Modular systems and methods for selectively enabling cloud-based assistive technologies | AUDIOEYE, INC. |
11151306 | Context sensitive verification point driven inspection | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11151315 | Automatically defining groups in documents | MICROSTRATEGY INCORPORATED |
11151359 | Face swap method, face swap device, host terminal and audience terminal | JOYME PTE. LTD. |
11151376 | Rider-driver localization for determining placement of AR content for passenger | UBER TECHNOLOGIES, INC. |
11151381 | Proximity-based content sharing as an augmentation for imagery captured by a camera of a device | VERIZON PATENT AND LICENSING INC. |
11151480 | Hyperparameter tuning system results viewer | SAS INSTITUTE INC. |
11151484 | Framework for classifying forms and processing form data | SAP SE |
11151488 | Intelligent user interface and application for operations management | UNITED PARCEL SERVICE OF AMERICA, INC. |
11151518 | Natural language event | MICROSOFT TECHNOLOGY LICENSING, LLC |
11151588 | Future trends forecasting system | CONSENSUS POINT, INC. |
11151615 | Advertisement management apparatus, advertisement management method, and computer readable storage medium | CASIO COMPUTER CO., LTD. |
11151627 | System and method for customizing photo product designs with minimal and intuitive user inputs | SHUTTERFLY, LLC |
11151628 | Proximity-based vehicle comparison | CAPITAL ONE SERVICES, LLC |
11151632 | Systems and methods for visual search and autonomous delivery | NURO, INC. |
11151641 | Virtual display device for an interactive merchant sales environment | PAYPAL, INC. |
11151646 | Integrating an externally-supplied interface component into a transaction platform | LON OPERATIONS, LLC |
11151648 | Location dependent trader voice recording | JPMORGAN CHASE BANK, N.A. |
11151661 | Feed actor optimization | MICROSOFT TECHNOLOGY LICENSING, LLC |
11151669 | Systems and methods for identifying hidden home maintenance costs | STATE FARM MUTUAL AUTOMOBILE INSURANCE COMPANY |
11151677 | Systems and methods for targeting policymaker communication | FISCALNOTE, INC. |
11151699 | Virtual, augmented, and mixed reality systems and methods | MAGIC LEAP, INC. |
11151749 | Image compression method and apparatus | IMMERSIVE ROBOTICS PTY LTD. |
11151750 | Displaying a virtual eye on a wearable device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11151752 | System for visualization of a building material | CERTAINTEED LLC |
11151762 | Systems and methods for shared visualization and display of drilling information | UBITERRA CORPORATION |
11151763 | Information presentation device, information presentation method, and storage medium | KABUSHIKI KAISHA TOSHIBA |
11151768 | Information processing apparatus, information processing method, and program | SONY CORPORATION |
11151773 | Method and apparatus for adjusting viewing angle in virtual environment, and readable storage medium | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
11151774 | Adaptive immersive media rendering pipeline | AT&T INTELLECTUAL PROPERTY I, L.P. |
11151776 | Prediction and throttling adjustments based on application rendering performance | VALVE CORPORATION |
11151793 | Waypoint creation in map detection | MAGIC LEAP, INC. |
11151794 | Messaging system with augmented reality messages | SNAP INC. |
11151796 | Systems and methods for providing real-time composite video from multiple source devices featuring augmented reality elements | -- |
11151804 | Information processing device, information processing method, and program | SONY CORPORATION |
11151890 | 5th-generation (5G) interactive distance dedicated teaching system based on holographic terminal and method for operating same | CENTRAL SOUTH NORMAL UNIVERSITY |
11151898 | Techniques for enhancing workflows relating to equipment maintenance | KLATT WORKS, INC. |
11151900 | Method and apparatus for generating voice guidance in a race vehicle | RACEVOICE LLC |
11151933 | Display device | SAMSUNG DISPLAY CO., LTD. |
11151960 | Electronic apparatus including display panel configured to turn on and off using an illumination sensor, method and computer-readable recording medium | SAMSUNG ELECTRONICS CO., LTD. |
11151961 | Electronic device and method of providing information in electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11151964 | Display apparatus | -- |
11151977 | Audio playback apparatus and method having a noise-canceling mechanism | -- |
11151983 | Building system with an entity graph storing software logic | JOHNSON CONTROLS TECHNOLOGY COMPANY |
11151987 | Method and system for interacting with third-party application | BAIDU ONLINE NETWORK TECHNOLOGY (BEIJING) CO., LTD. |
11151994 | Methods and systems for managing voice commands and the execution thereof | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11151995 | Electronic device for mapping an invoke word to a sequence of inputs for generating a personalized command | SAMSUNG ELECTRONICS CO., LTD. |
11151998 | Artificial intelligence device | LG ELECTRONICS INC. |
11151999 | Controlling external behavior of cognitive systems | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152031 | System and method to compress a time frame of one or more videos | CLIPR CO. |
11152039 | Input/output line sharing for memory arrays | MICRON TECHNOLOGY, INC. |
11152041 | Data reading method, device, and medium of non-volatile memory | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11152059 | Calibration of open blocks in NAND flash memory | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152072 | Memory device including grouped page buffers and read operation method thereof | SK HYNIX INC. |
11152075 | Memory system | TOSHIBA MEMORY CORPORATION |
11152080 | BAMBAM: parallel comparative analysis of high-throughput sequencing data | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
11152089 | Medical scan hierarchical labeling system | ENLITIC, INC. |
11152091 | Systems and methods for clinical task separation in electronic health record applications | ALLSCRIPTS SOFTWARE, LLC |
11152100 | Health application user interfaces | APPLE INC. |
11152102 | Display device and display method | TERUMO KABUSHIKI KAISHA |
11152166 | Keyboard device including a plurality of substrate plates connected by elastic bridge member | -- |
11152167 | Reconfigurable control device | CONTINENTAL AUTOMOTIVE GMBH |
11152169 | Mouse device | -- |
11152409 | Display panel for processing biometrics using TFT photodetectors integrated thereon | -- |
11152453 | Touch display panel and display device | XIAMEN TIANMA MICRO-ELECTRONICS CO., LTD. |
11153094 | Secure data deduplication with smaller hash values | EMC IP HOLDING COMPANY LLC |
11153133 | Methods and circuits for adaptive equalization | RAMBUS INC. |
11153184 | Technologies for annotating process and user information for network flows | CISCO TECHNOLOGY, INC. |
11153231 | Apparatus and method for processing flush requests within a packet network | ARM LIMITED |
11153257 | System and method for managing and displaying data messages | TWITTER, INC. |
11153259 | System and method for creation and scheduling of future communications and gifts | -- |
11153335 | Delayed replication for protection of replicated databases | 8X8, INC. |
11153384 | Rebuilding derived content | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11153401 | Information processing system, information processing apparatus, and method of processing information | RICOH COMPANY, LTD. |
11153423 | Automatic data backup and charging of mobile devices | WESTERN DIGITAL TECHNOLOGIES, INC. |
11153426 | Electronic device and control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11153427 | Mobile terminal and method for controlling the same | LG ELECTRONICS INC. |
11153431 | Mobile terminal and method of operating the same | SAMSUNG ELECTRONICS CO., LTD. |
11153446 | Information processing apparatus for presenting an object for a batch operation without selection in advance and non-transitory computer readable medium | FUJIFILM BUSINESS INNOVATION CORP. |
11153453 | Image reading device and image forming apparatus incorporating same | RICOH COMPANY, LTD. |
11153458 | Image processing apparatus and non-transitory computer readable medium | FUJIFILM BUSINESS INNOVATION CORP. |
11153459 | Image forming apparatus that prints a plurality of source document images on one recording sheet in a consolidated form | KYOCERA DOCUMENT SOLUTIONS INC. |
11153460 | Control method, information processing apparatus, and non-transitory computer-readable storage medium | CANON KABUSHIKI KAISHA |
11153461 | Image processing apparatus, method of controlling same, and storage medium | CANON KABUSHIKI KAISHA |
11153472 | Automatic upload of pictures from a camera | CUTTING EDGE VISION, LLC |
11153488 | Variable latency and frame rate camera | UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE ARMY |
11153512 | Imaging and display with ellipsoidal lensing structure | FACEBOOK TECHNOLOGIES, LLC |
11153529 | Conversion method and conversion apparatus | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11153531 | Pairing devices in conference using ultrasonic beacon and subsequent control thereof | POLYCOM, INC. |
11153536 | Imaging system with multiple angles of view | HOPVUE INC. |
11153543 | Illuminating apparatus | MAXELL, LTD. |
11153549 | Augmented reality guidance for spinal surgery | ONPOINT MEDICAL, INC. |
11153623 | Systems and methods for displaying media content and media guidance information | ROVI GUIDES, INC. |
11153624 | Method for reading a video stream | VIACCESS |
11153633 | Generating and presenting directional bullet screen | SHANGHAI BILIBILI TECHNOLOGY CO., LTD. |
11153635 | Intelligent display of content based on event monitoring | ROVI GUIDES, INC. |
11153649 | Electronic device and display method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11153657 | Interface for editing multiple video clips | GOPRO, INC. |
11153687 | Wireless headphone interactions | APPLE INC. |
11153701 | Dual advanced audio distribution profile (A2DP) sink | CYPRESS SEMICONDUCTOR CORPORATION |
11153705 | Method and apparatus for an ultrasonic emitter system floor audio unit | VOYETRA TURTLE BEACH, INC. |
11153706 | Playback based on acoustic signals | SONOS, INC. |
11153726 | Techniques for enhancing group communication on a mobile device | FACEBOOK, INC. |
11153740 | System and method for collecting and disseminating information for addressing crisis conditions | SAFE HOUSE |
11153741 | System and method for collecting and disseminating information for addressing recovery needs | SAFE HOUSE |
11153753 | Method for providing payment service and electronic device therefor | SAMSUNG ELECTRONICS CO., LTD. |
11153952 | Electroencephalography control of controllable device | ABL IP HOLDING LLC |
11153980 | Light-emitting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11154232 | Mechano-acoustic sensing devices and methods | THE BOARD OF TRUSTEES OF THE UNIVERSITY OF ILLINOIS |
11154253 | Systems and methods for health data visualization and user support tools for continuous glucose monitoring | DEXCOM, INC. |
11154628 | Self-sterilizing sensor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11154656 | Blood glucose control system with medicament bolus recommendation | BETA BIONICS, INC. |
11154756 | Golf club and golf club head structures | KARSTEN MANUFACTURING CORPORATION |
11154770 | Information processing system, storage medium storing information processing program, information processing apparatus, and information processing method | NINTENTO CO., LTD. |
11154771 | Apparatus and method for managing operations of accessories in multi-dimensions | STEELSERIES APS |
11154776 | Semantic gaming and application transformation | IDHL HOLDINGS, INC. |
11154778 | Display control program, display control apparatus and display control method | SONY INTERACTIVE ENTERTAINMENT INC. |
11154981 | Robot user interface for telepresence robot system | TELADOC HEALTH, INC. |
11155102 | Image to script converter | DATAMAX-O'NEIL CORPORATION |
11155206 | Proximity sensor | HYUNDAI MOTOR COMPANY |
11155361 | Methods and systems for a graphical user interface of an electronic aviation checklist | HONEYWELL INTERNATIONAL INC. |
11155457 | Supply control apparatus, supply device, supply control method, and program | NEC CORPORATION |
11155754 | Composition and display device | SUMITOMO CHEMICAL COMPANY, LIMITED |
11156352 | Projection lamp having LEDs, rotatable modifier, and speaker | -- |
11156398 | Display device, door including the same, and refrigerator including the door | SAMSUNG ELECTRONICS CO., LTD. |
11156471 | Hands-free augmented reality system for picking and/or sorting assets | UNITED PARCEL SERVICE OF AMERICA, INC. |
11156473 | Information processing apparatus, information processing system, and information processing method | SONY CORPORATION |
11156475 | Method and system for packing an electronic flight bag | FOREFLIGHT LLC |
11156496 | Method for detecting bandwidth of linear vibration motor | AAC TECHNOLOGIES PTE. LTD. |
11156771 | Method of calibration for holographic energy directing systems | LIGHT FIELD LAB, INC. |
11156829 | Pupil expander cailibration | FACEBOOK TECHNOLOGIES, LLC |
11156830 | Co-located pose estimation in a shared artificial reality environment | FACEBOOK TECHNOLOGIES, LLC |
11156831 | Eye-tracking system and method for pupil detection, associated systems and computer programs | TOBII AB |
11156834 | Optical systems for head-worn computers | MENTOR ACQUISITION ONE, LLC |
11156838 | Mixed reality measurement with peripheral tool | MICROSOFT TECHNOLOGY LICENSING, LLC |
11156882 | Circuit substrate, display device and driving method | CHONGQING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11156940 | Image forming apparatus with a mechanism for supplying toner for forming an image, and method thereof | CANON KABUSHIKI KAISHA |
11156949 | Image forming apparatus | CANON KABUSHIKI KAISHA |
11157004 | Real-time control system for a vehicle and a method of executing control of the vehicle via the real-time control system | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
11157041 | Systems, methods, and devices for wireless gigabit (WiGig) computing | JPMORGAN CHASE BANK, N.A. |
11157042 | Systems and methods for interaction of wearable communication devices | NEWPORT BEACH |
11157044 | Display device | SAMSUNG DISPLAY CO., LTD. |
11157045 | Terminal with flexible screen | BEIJING XIAMOI MOBILE SOFTWARE CO., LTD. |
11157046 | Electronic device | -- |
11157048 | Memory module with screen and motherboard module | -- |
11157069 | Power control based on packet type | SONOS, INC. |
11157070 | Massive simultaneous remote digital presence world | MAGIC LEAP, INC. |
11157072 | Direct retinal projector | APPLE INC. |
11157073 | Gaze calibration for eye-mounted displays | TECTUS CORPORATION |
11157074 | Presenting assessment content to a user | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11157075 | Gaze-activated voice services for interactive workspaces | DELL PRODUCTS L.P. |
11157076 | Power management for display systems | SNAP INC. |
11157077 | Method and system for dual mode eye tracking on wearable heads-up display | GOOGLE LLC |
11157078 | Information processing apparatus, information processing method, and program | SONY CORPORATION |
11157079 | Multi-player calibration of various stand-alone capture systems | SONY INTERACTIVE ENTERTAINMENT INC. |
11157080 | Detection device, detection method, control device, and control method | SONY CORPORATION |
11157081 | Apparatus and method for user interfacing in display glasses | SHENZHEN YUNYINGGU TECHNOLOGY CO., LTD. |
11157082 | Method, human machine interface, machine computing unit and computer programs to control at least one actuator to carry out at least one task | ASOCIADA TRANSYLVANIAN INSTITUTE OF NEUROSCIENCE |
11157083 | Techniques for identifying user interface elements and systems and devices using the same | ATMEL CORPORATION |
11157084 | Touch enabling process, haptic accessory, and core haptic engine to enable creation and delivery of tactile-enabled experiences with virtual objects | TACTAI, INC. |
11157085 | Method and apparatus for switching display mode, mobile terminal and storage medium | BEIJING |
11157086 | Determining a geographical location based on human gestures | PISON TECHNOLOGY, INC. |
11157087 | Activity recognition method, activity recognition system, and handwriting identification system | -- |
11157088 | Keyboard actuation in convertible device | LENOVO (SINGAPORE) PTE. LTD. |
11157089 | Character editing on a physical device via interaction with a virtual device user interface | HYPORI LLC |
11157090 | Ambient electromagnetic distortion correction for electromagnetic tracking | MAGIC LEAP, INC. |
11157091 | 3D pointing devices and methods | IDHL HOLDINGS, INC. |
11157092 | Smart mouse for an information handling system | DELL PRODUCTS L.P. |
11157093 | Computer mouse with vibration function | -- |
11157094 | Touch input switching for multi-form factor information handling system (IHS) | DELL PRODUCTS L.P. |
11157095 | Electronic stylus including a plurality of biometric sensors and operating method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11157096 | Foldable flexible circuit board | DUS OPERATING, INC. |
11157097 | Adaptive scroll wheel | LOGITECH EUROPE S.A. |
11157098 | Mouse with tunable center of gravity | CORSAIR MEMORY, INC. |
11157099 | Electronic writing device and a method for operating the same | ADX RESEARCH, INC. |
11157100 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11157101 | Touch panel comprising plurality of traces, method for making the same, and touch display device | INTERFACE TECHNOLOGY (CHENGDU) CO., LTD. |
11157102 | Touch display device and panel | LG DISPLAY CO., LTD. |
11157103 | Touch control display panel | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11157105 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11157106 | Piezoresistive sensing input device | SYNAPTICS INCORPORATED |
11157107 | Method and apparatus for providing touch interface | SAMSUNG ELECTRONICS CO., LTD. |
11157108 | Modified sensor electrodes for optimized edge detection in touch-sensitive displays | PARADE TECHNOLOGIES, LTD. |
11157109 | Touch sensing with water rejection | APPLE INC. |
11157110 | Electronic device and control method for electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11157111 | Ultrafine LED display that includes sensor elements | SONY INTERACTIVE ENTERTAINMENT LLC |
11157112 | Display panel, display device and manufacturing method of display panel | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11157113 | Self-mixing interference based sensors for characterizing touch input | APPLE INC. |
11157114 | Vehicle surface deformation identification | FORD GLOBAL TECHNOLOGIES, LLC |
11157115 | Composite cover material for sensitivity improvement of ultrasonic touch screens | APPLE INC. |
11157116 | Touch panel controller having reduced noise and power consumption and sensing device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11157117 | Pseudo push determination method in touch sensor without force sensor | WACOM CO., LTD. |
11157118 | Electronic panel and electronic apparatus having the same | SAMSUNG DISPLAY CO., LTD. |
11157119 | Touch sensor and method of manufacturing the same | DONGWOO FINE-CHEM CO., LTD. |
11157120 | Touch sensor and display device including the touch sensor | SAMSUNG DISPLAY CO., LTD. |
11157121 | Conductive member for touch panel, touch panel, and conductive member | FUJIFILM CORPORATION |
11157122 | Method to design low visibility metal mesh touch sensor | FUTURETECH CAPITAL, INC. |
11157123 | Touch sensor integrated display device | LG DISPLAY CO., LTD. |
11157124 | Touch panel device | FUTABA CORPORATION |
11157125 | Touch panel implementing touch and pressure sensing performances and related touch display panel | -- |
11157126 | Capacitive touch panel that detects the approach of the user | NORITAKE CO., LIMITED |
11157127 | User terminal apparatus and controlling method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11157128 | Software robots for programmatically controlling computer programs to perform tasks | SOROCO PRIVATE LIMITED |
11157129 | System and method of indicating the distance or the surface of an image of a geographical object | GOOGLE LLC |
11157130 | Cursor-based resizing for copied image portions | ADOBE INC. |
11157131 | Virtual reality-based radiology practice apparatus and method | VRAD INC. |
11157132 | Immersive experience password authentication in extended reality environments | SAP SE |
11157133 | Method and portable terminal having bended display unit and cover for executing application | SAMSUNGN ELECTRONICS CO., LTD. |
11157134 | Interfaces for a messaging inbox | FACEBOOK, INC. |
11157135 | Multi-dimensional object rearrangement | APPLE INC. |
11157136 | User interfaces for enabling an activity | APPLE INC. |
11157137 | Dynamic interactive seat map | STUBHUB, INC. |
11157138 | Thumbnail generation for digital images | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11157139 | Optimizing object displays on user interfaces | GOOGLE LLC |
11157140 | Interface providing method for multitasking and electronic device implementing the same | SAMSUNG ELECTRONICS CO., LTD. |
11157141 | Electronic device and method of messaging meeting invitees | BLACKBERRY LIMITED |
11157142 | Document processing apparatus and non-transitory computer readable medium | FUJIFLIM BUSINESS INNOVATION CORP. |
11157143 | Music user interface | APPLE INC. |
11157144 | Medical image providing apparatus and medical image processing method of the same | SAMSUNG ELECTRONICS CO., LTD. |
11157145 | Dynamic web actions palette | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11157146 | Display apparatus and control method thereof for providing preview content | SAMSUNG ELECTRONICS CO., LTD. |
11157147 | Method and system for presenting and operating a skill-based activity | VETNOS LLC |
11157148 | System, method and device-readable medium for message composition within a unified event view | BLACKBERRY LIMITED |
11157149 | Managing comments in a cloud-based environment | GOOGLE LLC |
11157150 | Variable I/O interface for portable media device | APPLE INC. |
11157151 | Direct linking within applications | CITRIX SYSTEMS, INC. |
11157152 | Interaction mechanisms for pointer control | SAP SE |
11157153 | Profile information layout customization in computer systems | MICROSOFT TECHNOLOGY LICENSING, LLC |
11157154 | Media-editing application with novel editing tools | APPLE INC. |
11157155 | Air line displaying method, apparatus and system, ground station and computer-readable storage medium | AUTEL ROBOTICS EUROPE GMBH |
11157156 | Speed-based content rendering | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11157157 | Apparatus, method, computer-readable storage medium, and smartphone for causing scrolling of content in response to touch operations | SONY CORPORATION |
11157158 | Coordination of static backgrounds and rubberbanding | APPLE INC. |
11157159 | Augmented reality scrollbar | MAGIC LEAP, INC. |
11157160 | Graphical user interface (GUI) for controlling virtual workspaces produced across information handling systems (IHSs) | DELL PRODUCTS L.P. |
11157161 | Using pressure sensor data in a remote access environment | CITRIX SYSTEMS, INC. |
11157162 | Autonomous agricultural system user interface interlock | CNH INDUSTRIAL AMERICA LLC |
11157163 | Paintbrush-like techniques for determining fabricable segmented designs | X DEVELOPMENT LLC |
11157165 | Permanent synchronization system for handwriting input | MYSCRIPT |
11157166 | Automove smart transcription | FELT, INC. |
11157167 | Systems and methods for operating a mobile application using a communication tool | PAG FINANCIAL INTERNATIONAL LLC |
11157168 | Method and apparatus for controlling a plurality of internet of things devices | SAMSUNG ELECTRONICS CO., LTD. |
11157169 | Operating modes that designate an interface modality for interacting with an automated assistant | GOOGLE LLC |
11157170 | Keyboard tremor control | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11157171 | Migrating data to disk without interrupting running operations | COMMVAULT SYSTEMS, INC. |
11157172 | Method for managing storage devices, electronic device and computer-readable medium | EMC IP HOLDING COMPANY LLC |
11157173 | Namespace management in non-volatile memory devices | MICRON TECHNOLOGY, INC. |
11157174 | Hybrid first-fit K-choice insertions for hash tables, hash sets, approximate set membership data structures, and caches | ADVANCED MICRO DEVICES, INC. |
11157175 | Data storage device, non-volatile memory operating method, and method for executing operational commands to operate a data storage medium via a shared channel and optimized by task switching commands | -- |
11157176 | On demand memory page size | MICRON TECHNOLOGY, INC. |
11157177 | Hiccup-less failback and journal recovery in an active-active storage system | EMC IP HOLDING COMPANY LLC |
11157178 | Method, device and computer program product for data stream processing | EMC IP HOLDING COMPANY LLC |
11157179 | Dynamic allocation of blocks of a storage device based on power loss protection | PURE STORAGE, INC. |
11157180 | Computing systems including storage devices controlled by hosts | SAMSUNG ELECTRONICS CO., LTD. |
11157181 | Card activation device and methods for authenticating and activating a data storage device by using a card activation device | -- |
11157182 | Storage systems with go to sleep adaption | SANDISK TECHNOLOGIES LLC |
11157183 | Memory controller | MONTAGE TECHNOLOGY CO., LTD. |
11157184 | Host access to storage system metadata | EMC IP HOLDING COMPANY LLC |
11157185 | System and method for higher amortization with PLB and VLB defragmentation | EMC IP HOLDING COMPANY LLC |
11157186 | Distributed object storage system with dynamic spreading | WESTERN DIGITAL TECHNOLOGIES, INC. |
11157187 | Method, device, and computer program product for overwriting data | EMC IP HOLDING COMPANY LLC |
11157188 | Detecting data deduplication opportunities using entropy-based distance | EMC IP HOLDING COMPANY LLC |
11157189 | Hybrid data reduction | DELL PRODUCTS L.P. |
11157190 | Method, device and computer program product for managing raid | EMC IP HOLDING COMPANY LLC |
11157191 | Intra-device notational data movement system | DELL PRODUCTS L.P. |
11157192 | Recall of previously migrated data from a cloud storage to a storage controller by using parallel writers for the data | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11157193 | Coherency issue resolution in logical to physical page translation in a memory sub-system | MICRON TECHNOLOGY, INC. |
11157194 | Automated predictive tiered storage system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11157195 | Resumable replica resynchronization | VMWARE, INC. |
11157196 | Erasing device | YOKOGAWA ELECTRIC CORPORATION |
11157197 | Socket interconnector for high pad count memory cards | WESTERN DIGITAL TECHNOLOGIES, INC. |
11157198 | Generating merge-friendly sequential IO patterns in shared logger page descriptor tiers | EMC IP HOLDING COMPANY LLC |
11157199 | Multi-mode address mapping management | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11157200 | Communicating over portions of a communication medium | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11157201 | Memory system and operating method thereof | SK HYNIX INC. |
11157202 | Memory management utilizing buffer reset commands | MICRON TECHNOLOGY, INC. |
11157203 | Adaptive load balancing in storage system having multiple input-output submission queues | EMC IP HOLDING COMPANY LLC |
11157204 | Method of NVMe over fabric RAID implementation for read command execution | SAMSUNG ELECTRONICS CO., LTD. |
11157205 | Object storage system with control entity quota enforcement | WESTERN DIGITAL TECHNOLOGIES, INC. |
11157206 | Multi-die system capable of sharing non-volatile memory | REALTEK SINGAPORE PRIVATE LIMITED |
11157207 | Apparatus and method for engaging plural memory system with each other to store data | SK HYNIX INC. |
11157208 | Methods and apparatus for improving data transformation in processing devices | MOVIDIUS LIMITED |
11157209 | Storage allocation techniques using logical region I/O access information and temporal trend prediction | EMC IP HOLDING COMPANY LLC |
11157210 | Memory system performing dummy program operation during normal program operation | SK HYNIX INC. |
11157211 | Memory system and operating method thereof | SK HYNIX INC. |
11157212 | Virtual controller memory buffer | SEAGATE TECHNOLOGY, LLC |
11157213 | Parallel memory access and computation in memory devices | MICRON TECHNOLOGY, INC. |
11157214 | Controller, memory system and operating method thereof | SK HYNIX INC. |
11157215 | Information processing apparatus, image forming system, information processing method, and storage medium | CANON KABUSHIKI KAISHA |
11157216 | Methods and devices for managing printing of content | LENOVO (SINGAPORE) PTE. LTD. |
11157217 | Methods, apparatuses, and systems for verifying printed image and improving print quality | DATAMAX-O'NEIL CORPORATION |
11157218 | Storage medium storing computer-readable instructions for causing information processing apparatus to display information of printer | BROTHER KOGYO KABUSHIKI KAISHA |
11157219 | Method and system for estimating printer consumables | KYOCERA DOCUMENT SOLUTIONS INC. |
11157220 | Connecting an image processing device via a mobile device | CANON KABUSHIKI KAISHA |
11157221 | Control apparatus, control method, and storage medium for displaying a preview screen | CANON KABUSHIKI KAISHA |
11157222 | Image forming system and post-processing determination method | KONICA MINOLTA, INC. |
11157223 | Color conversion method, color conversion device and program | MIMAKI ENGINEERING CO., LTD. |
11157224 | Information processing apparatus for notifying status of a periodically executed process and non-transitory computer readable medium | FUJIFILM BUSINESS INNOVATION CORP. |
11157225 | Information-processing device registering print queue information including print setting on memory | BROTHER KOGYO KABUSHIKI KAISHA |
11157226 | Control apparatus, head mounted display and robot system | SEIKO EPSON CORPORATION |
11157227 | Switching control device and control method thereof, and display device | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. |
11157228 | Display apparatus and control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11157229 | Screen sharing presentation system | NASDAQ TECHNOLOGY AB |
11157230 | Motion activated sound generating and monitoring mobile application | WHISPER CAPITAL LLC |
11157231 | Method and apparatus for controlling sound signal output | SAMSUNG ELECTRONICS CO., LTD. |
11157232 | Interaction context-based control of output volume level | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11157233 | Application subset selective audio capture | TWITCH INTERACTIVE, INC. |
11157234 | Methods and user interfaces for sharing audio | APPLE INC. |
11157235 | System and method for veryifying audible and/or visual notifications | APTIV TECHNOLOGIES LIMITED |
11157236 | Room correction based on occupancy determination | SONY CORPORATION |
11157273 | Scaled agile framework program board | SERVICENOW, INC. |
11157278 | Histogram operation | TEXAS INSTRUMENTS INCORPORATED |
11157287 | Computational array microprocessor system with variable latency memory access | TESLA, INC. |
11157293 | Dynamic incident console interfaces | MICROSOFT TECHNOLOGY LICENSING, LLC |
11157294 | User interface advisor | -- |
11157295 | System and method for providing intelligent operant operating interface and intelligent personal assistant as a service on a crypto secure social media and cross bridge service with continuous prosumer validation based on i-operant+198 tags, i-bubble+198 tags, demojis+198 and demoticons+198 | -- |
11157296 | Creating a virtual machine containing third party code | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11157299 | Thin provisioning virtual desktop infrastructure virtual machines in cloud environments without thin clone support | CITRIX SYSTEMS, INC. |
11157306 | Faster access of virtual machine memory backed by a host computing device's virtual memory | MICROSOFT TECHNOLOGY LICENSING, LLC |
11157309 | Operating cluster computer system with coupling facility | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11157313 | Method, apparatus and computer storage medium for controlling a storage system | EMC IP HOLDING COMPANY LLC |
11157331 | Systems and methods for multiuser data concurrency and data object assignment | AUCTANE, LLC |
11157335 | Methods and systems for multiple access to a single hardware data stream | ATHEER, INC. |
11157352 | Compensation of read errors | INFINEON TECHNOLOGIES AG |
11157353 | Detecting single event upsets and stuck-at faults in RAM-based data path controllers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11157354 | Dynamic random access memory devices and memory systems having the same | SAMSUNG ELECTRONICS CO., LTD. |
11157355 | Management of foreground and background processes in a storage controller | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11157356 | System and method for supporting data protection across FPGA SSDs | SAMSUNG ELECTRONICS CO., LTD. |
11157361 | Efficient utilization of storage space in arrays of storage drives | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11157363 | Distributed raid storage-device-assisted data rebuild system | DELL PRODUCTS L.P. |
11157365 | Method for processing stripe in storage device and storage device | HUAWEI TECHNOLOGIES CO., LTD. |
11157366 | Securing data in a dispersed storage network | PURE STORAGE, INC. |
11157371 | Small database page recovery | SAP SE |
11157375 | Generation of host requests to a storage controller for read diagnostic parameters for a data mirroring configuration | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11157379 | Managing blocks of memory based on block health using hybrid controllers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11157382 | Obtaining of statistical performance of storage system | EMC IP HOLDING COMPANY LLC |
11157383 | Automated determination of web page rendering performance | EBAY INC. |
11157392 | Debugging through causality and temporal pattering in a event processing system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11157400 | Performing a media management operation based on changing a write mode of a data block in a cache | MICRON TECHNOLOGY, INC. |
11157401 | Data storage device and operating method thereof performing a block scan operation for checking for valid page counts | SK HYNIX INC. |
11157403 | Controller and memory system for receiving a format request and configuring a map table | SK HYNIX INC. |
11157404 | Remapping techniques for a range of logical block addresses in a logical to physical table of NAND storage | MICRON TECHNOLOGY, INC. |
11157413 | Unified in-memory cache | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11157417 | Memory having a static cache and a dynamic cache | MICRON TECHNOLOGY, INC. |
11157423 | Pipelined-data-transform-enabled data mover system | DELL PRODUCTS L.P. |
11157439 | Method for delaying fundamental reset in power loss protection (PLP) enabled devices | WESTERN DIGITAL TECHNOLOGIES, INC. |
11157446 | Network ingestion of multimodal multisource machine data to traffic regulated volume | SPLUNK INC. |
11157448 | Notifications and graphical user interface for applications in folders | BLACKBERRY LIMITED |
11157462 | Namespace data object name persistence after decoupling of transportable storage device from data server | MICROSOFT TECHNOLOGY LICENSING, LLC |
11157485 | Systems and methods for replacing a stored version of media with a version better suited for a user | ROVI GUIDES, INC. |
11157489 | Data querying | GOOGLE LLC |
11157521 | Correlating multiple sources | -- |
11157541 | Methods and system for distributing information via multiple forms of delivery services | GREENFLY, INC. |
11157558 | Systems and methods for controlling display of video content in an online media platform | THE TORONTO-DOMINION BANK |
11157572 | Sharing user activity data with other users | GOOGLE LLC |
11157576 | Method, system and terminal for performing search in a browser | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
11157587 | Content loading to provide enhanced viewing experience | CHARTER COMMUNICATIONS OPERATING, LLC |
11157589 | Method and system to control operation of a portlet | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11157621 | Systems and methods to detect and prevent auto-click attacks | NORTONLIFELOCK INC. |
11157638 | Methods and systems for easy and secure printing at kiosks without any user intervention | XEROX CORPORATION |
11157660 | Virtual host upgrade using a secured disk image | EMC IP HOLDING COMPANY LLC |
11157662 | Techniques for automatically generating designs having characteristic topologies for urban design projects | AUTODESK, INC. |
11157679 | Semantic navigation of content documents | CAPITAL ONE SERVICES, LLC |
11157680 | Systems for suggesting content components | ADOBE INC. |
11157682 | Modular systems and methods for selectively enabling cloud-based assistive technologies | AUDIOEYE, INC. |
11157684 | Contextual menu with additional information to help user choice | MICROSOFT TECHNOLOGY LICENSING, LLC |
11157685 | Sharing digital items | FACEBOOK, INC. |
11157689 | Operations on dynamic data associated with cells in spreadsheets | MICROSOFT TECHNOLOGY LICENSING, LLC |
11157691 | Natural quick function gestures | MICROSOFT TECHNOLOGY LICENSING, LLC |
11157699 | Interactive method and apparatus based on test-type application | BEIJING BAIDU NETCOM SCIENCE AND TECHNOLOGY CO., LTD. |
11157712 | Fingerprint recognition driving circuit, fingerprint recognition module, touch screen, display device and fingerprint recognition driving method | BOE TECHNOLOGY GROUP CO., LTD. |
11157713 | Electronic device including fingerprint recognition module provided with conductive pattern | SAMSUNG ELECTRONICS CO., LTD. |
11157714 | Method for determining a finger motion on a fingerprint sensor | FINGERPRINT CARDS AB |
11157715 | Photodetection apparatus and biometric identification method using the same | SHANGHAI HARVEST INTELLIGENCE TECHNOLOGY CO., LTD. |
11157716 | Multi-sensor-based under-screen fingerprint capturing method and system and electronic device | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
11157719 | Electronic device and method for recognizing fingerprint based on drag input | SAMSUNG ELECTRONICS CO., LTD. |
11157725 | Gesture-based casting and manipulation of virtual content in artificial-reality environments | FACEBOOK TECHNOLOGIES, LLC |
11157732 | System and method of handwriting recognition in diagrams | MYSCRIPT |
11157738 | Audio-visual perception system and apparatus and robot system | CLOUDMINDS ROBOTICS CO., LTD. |
11157740 | Augmented reality object model configuration based on placement location | AMAZON TECHNOLOGIES, INC. |
11157765 | Method and system for determining physical characteristics of objects | SAMSUNG ELECTRONICS CO., LTD. |
11157839 | Distribution management for public transit vehicles | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11157843 | Pull-based routing for service sessions | UNITED SERVICES AUTOMOBILE ASSOCIATION (USAA) |
11157858 | Response quality identification | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11157864 | Method and device for displaying logistics information and computer readable storage medium | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11157878 | Contact information management | -- |
11157898 | Systems and methods for peer-to-peer transmission of digital assets | HEALTH ALLIANCE MANAGEMENT, LLC |
11157924 | System and method for customer journey analytics in e-commerce and digital marketing | BLUE GREEN BRANDS, LLC |
11157951 | System and method for determining and displaying an optimal assignment of data items | PALANTIR TECHNOLOGIES INC. |
11157961 | Augmenting graphical user interfaces in response to social media interactions | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11157966 | Network of systems for generating and sending personalized campaign messages from a plurality of entities to a plurality of clients and a method of generating and sending such messages | DEEPTARGET, INC. |
11157967 | Method and system for providing content supply adjustment | VERIZON MEDIA INC. |
11157994 | System and method for content integrated product purchasing | PAYPAL, INC. |
11157995 | System and method for generating and distributing embeddable electronic commerce stores | DKR CONSULTING LLC |
11157996 | System and method for generating and supplying viewer customized multimedia presentations | AUTODATA SOLUTIONS, INC. |
11158006 | Greenhouse agriculture system | EDIBLE GARDEN AG INCORPORATED |
11158024 | Configuring alpha channel video based on display settings | APPLE INC. |
11158028 | Mirrored selfie | SNAP INC. |
11158067 | Neighborhood alert mode for triggering multi-device recording, multi-camera locating, and multi-camera event stitching for audio/video recording and communication devices | AMAZON TECHNOLOGIES, INC. |
11158096 | Topology optimization using straight-through estimators | X DEVELOPMENT LLC |
11158099 | Dynamic waterfall charts | FORGE GLOBAL, INC. |
11158101 | Information processing system, information processing device, server device, image providing method and image generation method | SONY INTERACTIVE ENTERTAINMENT INC. |
11158102 | Method and apparatus for processing information | BEIJING BAIDU NETCOM SCIENCE AND TECHNOLOGY CO., LTD. |
11158105 | Methods and systems for generating holographic animations | ROVI GUIDES, INC. |
11158108 | Systems and methods for providing a mixed-reality pass-through experience | MICROSOFT TECHNOLOGY LICENSING, LLC |
11158125 | Image sensor system and smart closet device | KING ABDULAZIZ UNIVERSITY |
11158126 | Redirected walking in virtual reality environments | APPLE INC. |
11158129 | Flickering mitigation when toggling eyepiece display illumination in augmented reality systems | MAGIC LEAP, INC. |
11158130 | Systems for augmented reality sketching | ADOBE INC. |
11158132 | Media access control range extension | INTEL CORPORATION |
11158184 | Systems and methods for commissioning a security system | HONEYWELL INTERNATIONAL INC. |
11158191 | Method and apparatus for enhancing driver situational awareness | AT&T INTELLECTUAL PROPERTY I, L.P. |
11158195 | Platooning management system | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11158206 | Assisting learners based on analytics of in-session cognition | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11158208 | Interactive cooking application | ALK VENTURES LLC |
11158220 | Interactive animated protection window with haptic feedback system | UNIVERSAL CITY STUDIOS LLC |
11158230 | Method for adaptively controlling low power display mode and electronic device thereof | SAMSUNG ELECTRONICS CO., LTD. |
11158252 | Circuit board and display device | JOLED INC. |
11158258 | Display panel having an input sensing function and a display device | SAMSUNG DISPLAY CO., LTD. |
11158289 | Wearable device and method therein | HUAWEI TECHNOLOGIES CO., LTD. |
11158290 | Electronic device and method of controlling the same | SAMSUNG ELECTRONICS CO., LTD. |
11158306 | Building system with entity graph commands | JOHNSON CONTROLS TECHNOLOGY COMPANY |
11158313 | System for audio distribution including network microphones for voice applications | CRESTRON ELECTRONICS, INC. |
11158318 | AI voice interaction method, device and system | SHENZHEN AUKEY SMART INFORMATION TECHNOLOGY CO., LTD. |
11158320 | Methods and systems for speech detection | SOAPBOX LABS LTD. |
11158322 | Human resolution of repeated phrases in a hybrid transcription system | VERBIT SOFTWARE LTD. |
11158323 | Electronic apparatus, electronic system and control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11158359 | Storage device adjusting a timing of a data signal and a data strobe signal | SAMSUNG ELECTRONICS CO., LTD. |
11158390 | Method and apparatus for performing automatic power control in a memory device with aid of associated detection during initialization phase | -- |
11158396 | Data redirection upon failure of a program operation | MICRON TECHNOLOGY, INC. |
11158397 | Bambam: parallel comparative analysis of high-throughput sequencing data | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
11158406 | Automatic patient recruitment system | ENLITIC, INC. |
11158421 | Physiological parameter alarm delay | MASIMO CORPORATION |
11158469 | Framework structure and keyboard device | LITE-ON ELECTRONICS (GUANGZHOU) LIMITED |
11158547 | Semiconductor device, method of manufacturing the same, and electronic device including the device | INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES |
11158685 | Organic light-emitting diode touch display operating method | -- |
11158686 | Display device | SAMSUNG DISPLAY CO., LTD. |
11158690 | Low cost micro OLED structure and method | FACEBOOK TECHNOLOGIES, LLC |
11158695 | Display device having biometric sensors | -- |
11158834 | Foldable display device and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11158885 | Electronic device and method of detecting status of battery thereof | SAMSUNG ELECTRONICS CO., LTD. |
11159036 | Systems and methods for flexible power topology for display assembly in an information handling system | DELL PRODUCTS L.P. |
11159150 | Waveform generation circuit for finely tunable sensing frequency | SYNAPTICS INCORPORATED |
11159161 | Button structure for touch panel, button for touch panel, and electronic device | MITSUBISHI ELECTRIC CORPORATION |
11159162 | Camera control key | PLR IP HOLDINGS, LLC |
11159310 | Digital security bubble | AMAZON TECHNOLOGIES, INC. |
11159326 | Client-side authentication system and associated method | HIRO SYSTEMS PBC |
11159330 | Rendering online content via secured URL | WHATSAPP LLC. |
11159389 | Inter-application workload network traffic monitoring and visualization | JUNIPER NETWORKS, INC. |
11159471 | Apparatus, system, and method of elastically processing message information from multiple sources | NASDAQ TECHNOLOGY AB |
11159473 | Systems and methods for sharing content | FACEBOOK, INC. |
11159478 | Voice communications with real-time status notifications | WHATSAPP LLC |
11159513 | Systems, apparatus, and computer program products for installing security certificates in publicly accessible printer stations through gateway | KYOCERA DOCUMENT SOLUTIONS INC. |
11159576 | Unified policy enforcement management in the cloud | NETSKOPE, INC. |
11159585 | Content delivery and consumption with affinity-based remixing | AT&T INTELLECTUAL PROPERTY I, L.P. |
11159610 | Cluster formation offload using remote access controller group manager | DELL PRODUCTS L.P. |
11159631 | Integration of social interactions into media sharing | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11159641 | Method and system for sharing data between terminals | BANMA ZHIXING NETWORK (HONGKONG) CO., LIMITED |
11159645 | Adaptive backchannel synchronization for virtual, augmented, or mixed reality (xR) applications in edge cloud architectures | DELL PRODUCTS L.P. |
11159651 | Methods and apparatus for memory allocation and reallocation in networking stack infrastructures | APPLE INC. |
11159662 | Mobile terminal and display method | ZTE CORPORATION |
11159671 | User interface for surfacing contextual actions in a mobile computing device | PALM VENTURES GROUP, INC. |
11159673 | Repositioning of a display on a touch screen based on touch screen usage statistics | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11159681 | Wireless account management application for a wireless device | TRACFONE WIRELESS, INC. |
11159682 | Image forming apparatus and image formation method | KYOCERA DOCUMENT SOLUTIONS INC. |
11159685 | Display control device, display control method, and storage medium | KYOCERA DOCUMENT SOLUTIONS INC. |
11159686 | Image processing apparatus and method for same providing a ranked button arrangement according to the state of the image processing apparatus | CANON KABUSHIKI KAISHA |
11159692 | Printing apparatus capable of counting the number of times of printing, method for controlling printing apparatus, and storage medium | CANON KABUSHIKI KAISHA |
11159695 | System and method for remote user interactive storage management for communal devices | XEROX CORPORATION |
11159697 | Control apparatus, control method, and non-transitory computer-readable storage medium | CANON KABUSHIKI KAISHA |
11159716 | Photography assist using smart contact lenses | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11159717 | Systems and methods for real time screen display coordinate and shape detection | EYECANDYLAB CORPORATION |
11159721 | Electronic device and image control method of the electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11159733 | Accessible remote deposit capture | MX TECHNOLOGIES, INC. |
11159748 | Studio in a box | -- |
11159765 | Systems and methods for generating video images in a centered view mode | NATIONAL PRODUCTS, INC. |
11159771 | Virtual reality and augmented reality for industrial automation | ROCKWELL AUTOMATION TECHNOLOGIES, INC. |
11159777 | Image display system, non-transitory storage medium having stored therein image display program, image display apparatus, and image display method | NINTENDO CO., LTD. |
11159782 | Electronic device and gaze tracking method of electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11159868 | Electronic device for authenticating user by using audio signal and method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11159870 | Acoustic output apparatus | SHENZHEN VOXTECH CO., LTD. |
11159878 | Autonomously motile device with beamforming | AMAZON TECHNOLOGIES, INC. |
11159902 | System and method for providing user location-based multi-zone media | SOUND UNITED, LLC. |
11159931 | Systems and methods for secure pairing authorization of passenger applications and vehicles | UBER TECHNOLOGIES, INC. |
11159932 | Electronic devices with intuitive sharing capabilities | APPLE INC. |
11160179 | Methods, systems, and apparatuses for preventing moisture accumulation on a display screen | HAND HELD PRODUCTS, INC. |
11160253 | Method for acclimating a dog to autonomous training sessions with a training apparatus | COMPANION LABS, INC. |
11160319 | Smart article visual communication based on facial movement | NANTWORKS, LLC |
11160497 | Software configuration for virtual skincare assessment and virtual cues | ELYSE ENTERPRISES LLC |
11160504 | Electronic device for measuring biometric information | SAMSUNG ELECTRONICS CO., LTD. |
11160514 | Patient support apparatus with caregiver reminders | STRYKER CORPORATION |
11160608 | Medical instrument with multiple displays for controlling a treatment system | KONINKLIJKE PHILIPS N.V. |
11160609 | Systems and methods for generating customized control boundaries | MAKO SURGICAL CORP. |
11160687 | Vision-protective headgear with automatic darkening filter comprising an array of switchable shutters | 3M INNOVATIVE PROPERTIES COMPANY |
11160688 | Visual aid display device and method of operating the same | SAMSUNG ELECTRONICS CO., LTD. |
11160717 | Device and method for instilling intrinsic motivation regarding eye contact in children affected by eye contact disorders | -- |
11161010 | Activity and workout updates | APPLE INC. |
11161029 | Sport training on augmented/virtual reality devices by measuring hand-eye coordination-based measurements | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11161048 | Interactive table covering device and electronic interactive table system | -- |
11161053 | Audio playing frisbee | -- |
11161153 | Systems, methods, and devices for classifying and identifying waste in real-time | -- |
11161281 | Structure and method for monitoring directed self-assembly pattern formation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11161307 | Data aggregation and analytics for digital manufacturing | KEMEERA INC. |
11161457 | Pivotable interior rearview device for a motor vehicle | SMR PATENTS S.à.R.L. |
11161730 | Chilled beverage dispensing | SESTRA SYSTEMS, INC |
11161996 | Printed electronics | E2IP TECHNOLOGIES INC. |
11162685 | Knob assembly for cook top | LG ELECTRONICS INC. |
11162699 | Generating screens for display by HVAC controller using templates | ADEMCO INC. |
11162851 | Pressure sensing structure and electronic product | SHENZHEN NEW DEGREE TECHNOLOGY CO., LTD. |
11163057 | Estimation device, living body count estimation device, estimation method, and recording medium | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11163071 | Distributed location determination in wireless networks | MICROSOFT TECHNOLOGY LICENSING, LLC |
11163106 | Multilayer opto-electronic module | -- |
11163158 | Skin-based approach to virtual modeling | AUTODESK, INC. |
11163159 | Display system with extended display area | FACEBOOK TECHNOLOGIES, LLC |
11163161 | Wearable imaging device | GOPRO, INC. |
11163164 | Steerable high-resolution display | AVEGANT CORP. |
11163176 | Light field vision-correction device | LIGHT FIELD LAB, INC. |
11163202 | Display panel and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11163272 | Servo parameter adjustment device and servo parameter adjustment method | OMRON CORPORATION |
11163283 | Methods and systems for the industrial internet of things | STRONG FORCE IOT PORTFOLIO 2016, LLC |
11163286 | Monitoring system control technology | ALARM.COM INCORPORATED |
11163289 | Control device, terminal device, cradle, notification system, control method, and storage medium | SHARP KABUSHIKI KAISHA |
11163342 | System and method for securing components in a chassis | DELL PRODUCTS L.P. |
11163353 | Electronic device, control method of electronic device, and program | SONY CORPORATION |
11163354 | Information processing apparatus, and control method | LENOVO (SINGAPORE) PTE. LTD. |
11163356 | Device-facing human-computer interaction method and system | -- |
11163357 | Support system and corresponding method for the management of a machine for treating food products | ALI GROUP S.R.L.—CARPIGIANI |
11163358 | Spectating virtual (VR) environments associated with VR user interactivity | SONY INTERACTIVE ENTERTAINMENT INC. |
11163359 | Method and system for correlating an image capturing device to a human user for analyzing gaze information associated with cognitive performance | NEUROTRACK TECHNOLOGIES, INC. |
11163360 | Wearable computing apparatus for augmented reality, virtual reality and artificial intelligence interactions, and methods relating thereto | -- |
11163361 | Calibration techniques for handstate representation modeling using neuromuscular signals | FACEBOOK TECHNOLOGIES, LLC |
11163362 | Passive haptics as reference for active haptics | MICROSOFT TECHNOLOGY LICENSING, LLC |
11163363 | Tactile sensation providing apparatus | KYOCERA CORPORATION |
11163364 | Touch control substrate, touch screen, electronic device and touch control method | BOE TECHNOLOGY GROUP CO., LTD. |
11163365 | Vibro-tactile feedback method and device | FORCE DIMENSION SARL |
11163366 | Tactile device | NIPPON TELEGRAPH AND TELEPHONE CORPORATION |
11163367 | Method of obtaining gesture zone definition data for a control system based on user input | SIGNIFY HOLDING B.V. |
11163368 | Method for recognizing an input | AUDI AG |
11163369 | Client device motion control via a video feed | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11163370 | System and method for capturing presentation gestures | RINGCENTRAL, INC. |
11163371 | Non-line-of-sight radar-based gesture recognition | GOOGLE LLC |
11163372 | Transport gait and gesture interpretation | TOYOTA MOTOR NORTH AMERICA, INC |
11163373 | Method and electronic device of gesture recognition | BEIJING DAJIA INTERNET INFORMATION TECHNOLOGY CO., LTD. |
11163374 | Display device | SAMSUNG DISPLAY CO., LTD. |
11163375 | Slider structure for a mechanical keyboard | LOGITECH EUROPE S.A. |
11163376 | Adjustable keyboard | -- |
11163377 | Remote generation of executable code for a client application based on natural language commands captured at a client device | ADOBE INC. |
11163378 | Electronic device and operating method therefor | SAMSUNG ELECTRONICS CO., LTD. |
11163379 | Illuminating an environment for localisation | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11163380 | Method for controlling a portable object and portable object controlled by such a method | SAS JOYEUSE |
11163381 | Low-power pointing method and electronic device implementing the pointing method | STMICROELECTRONICS S.R.L. |
11163382 | Mouse | -- |
11163383 | Information handling system stylus with an automated power switch based on writing tip position | DELL PRODUCTS L.P. |
11163384 | Roller wheel module | LITE-ON ELECTRONICS (GUANGZHOU) LIMITED |
11163385 | Multi-layered flexible device for use in either a passive or active manner with a touchscreen computing device | BOSTON INVENTIONS, LLC |
11163386 | Touch display panel and liquid crystal display device | BOE TECHNOLOGY GROUP CO., LTD. |
11163387 | Electronic device | SAMSUNG DISPLAY CO., LTD. |
11163388 | Touch control array substrate and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11163389 | Touch display substrate and display device | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. |
11163390 | Flexible display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11163391 | Display panel with touch detection function | PANASONIC LIQUID CRYSTAL DISPLAY CO., LTD. |
11163392 | Display device | -- |
11163393 | Device for locating an impact against an interactive surface, corresponding facilities, method and computer program | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11163394 | System and method for detecting and characterizing force inputs on a surface | SENSEL, INC. |
11163395 | Touch sensor and method for sensing touch using thereof | SAMSUNG DISPLAY CO., LTD. |
11163396 | Method and touch instrument for uplink-based zero activation force | MICROSOFT TECHNOLOGY LICENSING, LLC |
11163397 | Input sensing panel and display device having the same | SAMSUNG DISPLAY CO., LTD. |
11163398 | Touch display device and touch driving method thereof | LG DISPLAY CO., LTD. |
11163399 | Split sense lines for negative pixel conpensation | APPLE INC. |
11163400 | Automatic control of image capture device display operation underwater | GOPRO, INC. |
11163401 | Electronic device and method thereof for detecting whether component is submerged in conductive liquid | -- |
11163402 | Mutual capacitive touch sensing anomaly detection | RENESAS ELECTRONICS CORPORATION |
11163403 | Touch positioning method and apparatus, and electronic device | CHIPONE TECHNOLOGY (BEIJING) CO., LTD. |
11163404 | Device and method for compensating for temperature change in strain-gauge pressure sensor and method for implementing strain-gauge pressure from touchscreen element | SAMSUNG ELECTRONICS CO., LTD. |
11163405 | Touch- and/or proximity-sensitive input device, method of using the input device and sensor film | DIEHL AKO STIFTUNG & CO. KG |
11163406 | Excitation schemes for an input device | SYNAPTICS INCORPORATED |
11163407 | Input device including pen tip, electronic system and control method therefor | SAMSUNG ELECTRONICS CO., LTD. |
11163408 | Touch display device | HKC CORPORATION LIMITED |
11163409 | Touch panel | JAPAN DISPLAY INC. |
11163410 | Operation detection device | MURATA MANUFACTURING CO., LTD. |
11163411 | Force detection apparatus, display apparatus, and organic electro-luminescence display apparatus | JAPAN DISPLAY INC. |
11163412 | Touch sensor, touch sensor driving method, and display device | SAMSUNG DISPLAY CO., LTD. |
11163413 | Capacitive sensor for a three dimensional object | CIRQUE CORPORATION |
11163414 | Flexible touch panel electrode structure | -- |
11163415 | Touch display module, touch display device and electronic device | BOE TECHNOLOGY GROUP CO., LTD. |
11163416 | Light-emitting user input device for calibration or pairing | MAGIC LEAP, INC. |
11163417 | Systems, methods, and graphical user interfaces for interacting with augmented and virtual reality environments | APPLE INC. |
11163418 | Systems and methods for providing a user interface for an environment that includes virtual objects | FACEBOOK TECHNOLOGIES, LLC |
11163419 | Information processing apparatus and information processing method for imaging display | SONY CORPORATION |
11163420 | Data processing apparatus and method for rendering a tree structure | INTERDIGITAL MADISON PATENT HOLDINGS, SAS |
11163421 | Queuing files to be sent to an application | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11163422 | Methods and graphical user interfaces for positioning a selection and selecting text on computing devices with touch-sensitive displays | -- |
11163424 | Unified display for virtual resources | CITRIX SYSTEMS, INC. |
11163425 | User terminal apparatus and management method of home network thereof | SAMSUNG ELECTRONICS CO., LTD. |
11163426 | Interaction position determination method and system, storage medium and smart terminal | -- |
11163427 | Method and device for displaying application information, mobile terminal, and storage medium | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11163428 | Displaying a hover graphic with a dynamic time delay | MICROSOFT TECHNOLOGY LICENSING, LLC |
11163429 | Enhanced configuration of a profile photo system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11163430 | Method for selecting screen on touch screen by using pressure touch | HIDEEP INC. |
11163431 | Enabling and disabling one-click clauses | FOXWORDY INC. |
11163432 | Information processing system, electronic blackboard apparatus, and recording medium | RICOH COMPANY, LTD. |
11163433 | Displaying content without obscuring key details on a computer screen | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11163434 | Systems and methods for using augmenting reality to control a connected home system | ADEMCO INC. |
11163435 | Systems and methods for computerized generation of user interface systems | TD AMERITRADE IP COMPANY, INC. |
11163436 | Information processing apparatus, information processing method and program | DRNC HOLDINGS, INC. |
11163437 | Mobile apparatus displaying end effect and control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11163438 | Method and apparatus for adjusting outputs of electronic device by using touch technology | -- |
11163439 | Electronic device, control method, and recording medium used in a vehicle | KYOCERA CORPORATION |
11163440 | Event recognition | APPLE INC. |
11163441 | Micro-radial chart graphical user interface | SAP SE |
11163442 | Self-formatting data storage device | WESTERN DIGITAL TECHNOLOGIES, INC. |
11163443 | Method and apparatus for controlling storage operations of data of region of interest | BEIJING HORIZON ROBOTICS TECHNOLOGY RESEARCH AND DEVLOPMENT CO., LTD. |
11163444 | Configure storage class memory command | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11163445 | Modularized multi-purpose storage system | WESTERN DIGITAL TECHNOLOGIES, INC. |
11163446 | Systems and methods of amortizing deletion processing of a log structured storage based volume virtualization | EMC IP HOLDING COMPANY LLC |
11163447 | Dedupe file system for bulk data migration to cloud platform | -- |
11163448 | Indicating total storage capacity for a storage device | PURE STORAGE, INC. |
11163449 | Adaptive ingest throttling in layered storage systems | EMC IP HOLDING COMPANY LLC |
11163450 | Data storage space recovery | EBAY INC. |
11163451 | Top-down IO load balancing storage system and method | EMC IP HOLDING COMPANY LLC |
11163452 | Workload based device access | ELASTIC FLASH INC. |
11163453 | Memory device, memory system including memory device and vehicle-based system including memory system | SAMSUNG ELECTRONICS CO., LTD. |
11163454 | Bottom-up IO load balancing storage system and method | EMC IP HOLDING COMPANY LLC |
11163455 | Measuring device for measuring signals and data handling method | ROHDE & SCHWARZ GMBH & CO. KG |
11163456 | Using mirror indicators to determine whether to mirror tracks in a data set in a primary volume mirrored to a secondary volume | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11163457 | Authorizing modification of resources | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11163458 | Authorizing modification of resources | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11163459 | Rekeying information on storage devices using a proactive copy service | EMC IP HOLDING COMPANY LLC |
11163460 | Dynamically-reconfigurable storage device | -- |
11163461 | Lockless method for writing updated versions of a configuration data file for a distributed file system using directory renaming | VMWARE, INC. |
11163462 | Automated resource selection for software-defined storage deployment | EMC IP HOLDING COMPANY LLC |
11163463 | Non-disruptive migration of a virtual volume in a clustered data storage system | EMC IP HOLDING COMPANY LLC |
11163464 | Method, electronic device and computer program product for storage management | EMC IP HOLDING COMPANY LLC |
11163465 | Top-down wear-leveling storage system and method | EMC IP HOLDING COMPANY LLC |
11163466 | Data migration synchronization process using a manifest from a source device to a new destination device | APPLE INC. |
11163467 | System and methods for opportunistic migration of digital assets | DELL PRODUCTS L.P. |
11163468 | Metadata compression techniques | EMC IP HOLDING COMPANY LLC |
11163469 | Data management system and data management method | HITACHI, LTD. |
11163470 | Method, electronic device and computer program product for managing redundant arrays of independent disks | EMC IP HOLDING COMPANY LLC |
11163471 | Storage system and method for movement between rotation subgroups | EMC IP HOLDING COMPANY LLC |
11163472 | Method and system for managing storage system | EMC IP HOLDING COMPANY LLC |
11163473 | Systems, devices, techniques, and methods for data migration | MICRON TECHNOLOGY, INC. |
11163474 | Migration of data from a storage controller to cloud storage by using parallel readers for the data | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11163475 | Block input/output (I/O) accesses in the presence of a storage class memory | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11163476 | Dynamic rebalancing of free space between storage pools | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11163477 | Concurrent copy emulation | EMC IP HOLDING COMPANY LLC |
11163478 | Reducing the amount of data transferred to remote storage locations for modified objects | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11163479 | Replicated state cluster with standby node state assessment during leadership transition | EMC IP HOLDING COMPANY LLC |
11163480 | Method and apparatus for performing an erase operation comprising a sequence of micro-pulses in a memory device | INTEL CORPORATION |
11163481 | Monitoring storage systems for performance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11163482 | Dynamic performance-class adjustment for storage drives | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11163483 | Robust detection techniques for updating read voltages of memory devices | SK HYNIX INC. |
11163484 | Reporting time progress on events written to a stream storage system | EMC IP HOLDING COMPANY LLC |
11163485 | Intelligently choosing transport channels across protocols by drive type | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11163486 | Memory sub-system-bounded memory function | MICRON TECHNOLOGY, INC. |
11163487 | Methods for generating notifications for updated information from mode registers of a memory device to a host and memory devices and systems employing the same | MICRON TECHNOLOGY, INC. |
11163488 | Extended cross-temperature handling in a memory sub-system | MICRON TECHNOLOGY, INC. |
11163489 | Workload clusterization for memory system and method of executing the same | SK HYNIX INC. |
11163490 | Programmable engine for data movement | MICRON TECHNOLOGY, INC. |
11163491 | Memory system and method of operating the same | SK HYNIX INC. |
11163492 | Media hiccup management in a storage system | EMC IP HOLDING COMPANY LLC |
11163493 | Memory system and method of controlling nonvolatile memory with checking a total size indicative of a sum of data length specified by a write command | KIOXIA CORPORATION |
11163494 | Memory system, memory controller and operating method | SK HYNIX INC. |
11163495 | Processing in memory | MICRON TECHNOLOGY, INC. |
11163496 | Systems and methods of updating persistent statistics on a multi-transactional and multi-node storage system | EMC IP HOLDING COMPANY LLC |
11163497 | Leveraging multi-channel SSD for application-optimized workload and raid optimization | EMC IP HOLDING COMPANY LLC |
11163498 | System and method for rare copy-on-write in a distributed computing environment | ORACLE INTERNATIONAL CORPORATION |
11163499 | Method, apparatus and system for controlling mounting of file system | BEIJING BAIDU NETCOM SCIENCE AND TECHNOLOGY CO., LTD. |
11163500 | Caching files in a directory | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11163501 | Raid storage multi-step command system | DELL PRODUCTS L.P. |
11163502 | Printing apparatus, method, and storage medium | CANON KABUSHIKI KAISHA |
11163503 | Control method, image processing apparatus, and non-transitory computer-readable storage medium | CANON KABUSHIKI KAISHA |
11163504 | Dividing a spanning region of adjacent sub-images to generate print data | HP INDIGO B.V. |
11163505 | Image forming system, image forming apparatus, information processing apparatus, and method for controlling image forming apparatus | CANON KABUSHIKI KAISHA |
11163506 | Information processing apparatus and non-transitory computer readable medium | FUJIFILM BUSINESS INNOVATION CORP. |
11163507 | Image forming system including image forming apparatus for outputting recording media on which images are formed, and post-processing apparatus for sorting recording media outputted from image forming apparatus | KYOCERA DOCUMENT SOLUTIONS INC. |
11163508 | Information processing system, information processing apparatus, and information processing method | RICOH COMPANY, LTD. |
11163509 | Image processing apparatus and non-transitory computer readable medium storing image processing program | FUJIFILM BUSINESS INNOVATION CORP. |
11163510 | System and method for selective group printing at discounted pricing | TOSHIBA TEC KABUSHIKI KAISHA |
11163511 | Information processing apparatus and non-transitory computer readable medium | FUJIFILM BUSINESS INNOVATION CORP. |
11163512 | Information processing apparatus and method of controlling the same | CANON KABUSHIKI KAISHA |
11163513 | Image forming apparatus, data communication method | KYOCERA DOCUMENT SOLUTIONS INC. |
11163514 | Display device capable of switching image sources and operating system thereof | -- |
11163515 | Controller redundancy for a display system | DAKTRONICS, INC. |
11163516 | Electronic apparatus, display apparatus, and multivision setting method | SAMSUNG ELECTRONICS CO., LTD. |
11163517 | Display device for a vehicle having migration of image display | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11163518 | Docking station | -- |
11163519 | Multipurpose bluetooth interface and controller | -- |
11163520 | Multimedia experience according to biometrics | SONOS, INC. |
11163521 | Microphone assembly with authentication | KNOWLES ELECTRONICS, LLC |
11163522 | Fine grain haptic wearable device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11163523 | Automated low power mode based upon aspects associated with vehicle | SPOTIFY AB |
11163524 | Adjusting volume levels of speakers | DTS, INC. |
11163525 | Audio system construction method, information control device, and audio system | YAMAHA CORPORATION |
11163526 | Printing system capable of transmitting and executing print data by a voice instruction, a control method, and a server | CANON KABUSHIKI KAISHA |
11163588 | Source code independent virtual reality capture and replay systems and methods | VREAL INC. |
11163597 | Persistent guest and software-defined storage in computing fabric | UNISYS CORPORATION |
11163609 | High-performance memory allocator | SAP SE |
11163617 | Proactive notification of relevant feature suggestions based on contextual analysis | MICROSOFT TECHNOLOGY LICENSING, LLC |
11163626 | Deploying a validated data storage deployment | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11163644 | Storage boost | EMC IP HOLDING COMPANY LLC |
11163651 | Automated data restore | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11163658 | Methods, devices and computer readable mediums for managing storage system | EMC IP HOLDING COMPANY LLC |
11163677 | Dynamically allocated thread-local storage | MICROSOFT TECHNOLOGY LICENSING, LLC |
11163685 | Target and initiator mode configuration of tape drives for data transfer between source and destination tape drives | ORACLE INTERNATIONAL CORPORATION |
11163686 | Method and apparatus for accessing tensor data | BEIJING HORIZON ROBOTICS TECHNOLOGY RESEARCH AND DEVELOPMENT CO., LTD. |
11163690 | Deduplicated host cache flush to remote storage | NETAPP INC. |
11163693 | Hash-based one-level mapping for storage clusters | EMC IP HOLDING COMPANY LLC |
11163704 | Method, system, and apparatus for reducing processor latency | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11163706 | High bandwidth SDRAM controller | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11163719 | Hybrid remote direct memory access | AMAZON TECHNOLOGIES, INC. |
11163750 | Dynamic, transparent manipulation of content and/or namespaces within data storage systems | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11163756 | Querying over external tables in database systems | SNOWFLAKE INC. |
11163757 | Querying over external tables in database systems | SNOWFLAKE INC. |
11163763 | Decision-support application and system for medical differential-diagnosis and treatment using a question-answering system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11163777 | Smart content recommendations for content authors | ORACLE INTERNATIONAL CORPORATION |
11163785 | Document search result presentation apparatus and non-transitory computer readable medium | FUJIFILM BUSINESS INNOVATION CORP. |
11163813 | Providing a thumbnail image that follows a main image | GOOGLE LLC |
11163814 | Systems and methods to autonomously add geolocation information to media objects | MYLIO, LLC |
11163816 | System and method for scrolling through media files on touchscreen devices | NBCUNIVERSAL MEDIA, LLC |
11163819 | Image search and retrieval using object attributes | ADOBE INC. |
11163823 | Method and apparatus for managing digital files | MEMORYWEB, LLC |
11163825 | Selecting songs with a desired tempo | PANDORA MEDIA, LLC |
11163833 | Discovering and displaying business artifact and term relationships | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11163835 | Information processing system, information processing apparatus, information processing program and information processing method | RICOH COMPANY, LTD. |
11163842 | Personalized table of contents (TOC) generation based on search results | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11163850 | System, method and computer program product for data transfer management | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11163883 | Enhancing security of a touch screen device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11163905 | Contact management | GINKO LLC |
11163914 | Managing enterprise security by utilizing a smart keyboard and a smart mouse device | BANK OF AMERICA CORPORATION |
11163917 | Modular three-dimensional tile system | TANGO LABS, INC. |
11163935 | Intelligent navigation via a transient user interface control | MICROSOFT TECHNOLOGY LICENSING, LLC |
11163939 | Article inspection apparatus | ANRITSU CORPORATION |
11163960 | Automatic semantic analysis and comparison of chatbot capabilities | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11163964 | Configurable conversational agent generator | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11163969 | Fingerprint recognition method and apparatus, and mobile terminal | HUAWEI TECHNOLOGIES CO., LTD. |
11163994 | Method and device for determining iris recognition image, terminal apparatus, and storage medium | BEIJING 7INVENSUN TECHNOLOGY CO., LTD. |
11163995 | User recognition and gaze tracking in a video system | LOGITECH EUROPE S.A. |
11164000 | Method and mobile terminal for providing information based on image | LG ELECTRONICS INC. |
11164002 | Method for human-machine interaction and apparatus for the same | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
11164045 | Complex image data analysis using artificial intelligence and machine learning algorithms | SIRONA MEDICAL, INC. |
11164053 | Variable smoothing in printing | ELECTRONICS FOR IMAGING, INC. |
11164065 | Ideation virtual assistant tools | BRIGHT MARBLES, INC. |
11164109 | Artificial intelligence engine for mixing and enhancing features from one or more trained pre-existing machine-learning models | MICROSOFT TECHNOLOGY LICENSING, LLC |
11164118 | Generating work plans which include work steps when creating new work orders | SALESFORCE.COM, INC. |
11164119 | Systems and methods for assigning roles to user profiles for an incident | MOTOROLA SOLUTIONS, INC. |
11164130 | Systems and methods for cloud-based commissioning of well devices | SENSIA NETHERLANDS B.V. |
11164131 | Measure factory | DIMENSIONAL INSIGHT INCORPORATED |
11164207 | Processing a mobile banking transactions using a non-browser based application | BERKELEY |
11164213 | Systems and methods for remembering held items and finding lost items using wearable camera systems | ORCAM TECHNOLOGIES LTD. |
11164222 | Electronic book display system, electronic book display method, and program | OPTIM CORPORATION |
11164231 | Driving computer displays with customization options and collecting customization specifications | ZAZZLE INC. |
11164240 | Virtual garment carousel | SELFIESTYLER, INC. |
11164241 | Compartment rental in an autonomous ride source and delivery road vehicle | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164249 | Interface for landfall location options | CFPH, LLC |
11164278 | Screen capture method, terminal, and storage medium employing both parent application program and sub-application program | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
11164288 | Method of managing display data | DISPLAYLINK (UK) LIMITED |
11164304 | Methods for automatically generating a common measurement across multiple assembly units | INSTRUMENTAL, INC. |
11164316 | Image processing systems and methods for displaying multiple images of a biological specimen | VENTANA MEDICAL SYSTEMS, INC. |
11164333 | Monitoring icon status in a display from an external device | GRACENOTE, INC. |
11164343 | Synthesizing brush strokes based on user-defined strokes | ADOBE INC. |
11164349 | Visualizing a time series relation | SAP SE |
11164357 | In-flight adaptive foveated rendering | QUALCOMM INCORPORATED |
11164362 | Virtual reality user interface generation | AMAZON TECHNOLOGIES, INC. |
11164377 | Motion-controlled portals in virtual reality | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164380 | System and method for transition boundaries and distance responsive interfaces in augmented and virtual reality | SAMSUNG ELECTRONICS CO., LTD. |
11164383 | AR device and method for controlling the same | LG ELECTRONICS INC. |
11164384 | Mobile device image item replacements | HOUZZ, INC. |
11164385 | Apparatuses and methods for establishing virtual reality (VR) call between caller VR device and callee VR device | SAMSUNG ELECTRONICS CO., LTD. |
11164387 | Method for measuring and modeling spaces using markerless augmented reality | SMART PICTURE TECHNOLOGIES, INC. |
11164388 | Electronic device and method for providing augmented reality object therefor | SAMSUNG ELECTRONICS CO., LTD. |
11164390 | Method and apparatus for displaying virtual reality image | KOREA INSTITUTE OF SCIENCE AND TECHNOLOGY |
11164391 | Mixed reality object detection | OPTUM TECHNOLOGY, INC. |
11164392 | Infrastructure design using 3D reality data | BENTLEY SYSTEMS, INCORPORATED |
11164395 | Structure switching in a three-dimensional environment | MICROSOFT TECHNOLOGY LICENSING, LLC |
11164466 | Systems and methods for detecting and representing traffic maneuvers on displays | HONEYWELL INTERNATIONAL INC. |
11164474 | Methods and systems for user-interface-assisted composition construction | THINKCERCA.COM, INC. |
11164485 | Energy harvesting device and display device | -- |
11164538 | Storage medium, expansion base and operation method thereof combined with portable electronic device | -- |
11164544 | Display device and operating method thereof | LG ELECTRONICS INC. |
11164546 | HMD device and method for controlling same | SAMSUNG ELECTRONICS CO., LTD. |
11164548 | Intelligent buffering of large-scale video | JBF INTERLUDE 2009 LTD |
11164570 | Voice assistant tracking and activation | FORD GLOBAL TECHNOLOGIES, LLC |
11164573 | Method and apparatus for controlling page | BAIDU ONLINE NETWORK TECHNOLOGY (BEIJING) CO., LTD. |
11164575 | Methods and systems for managing voice response systems to optimize responses | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164576 | Multimodal responses | GOOGLE LLC |
11164580 | Network source identification via audio signals | GOOGLE LLC |
11164583 | Voice processing method and apparatus | BAIDU ONLINE NETWORK TECHNOLOGY (BEIJING) CO., LTD. |
11164603 | System for generating an output file | CSER VENTURES, LLC |
11164607 | Identification of storage resources in multiple domains | DELL PRODUCTS L.P. |
11164634 | Non-volatile storage system with fast SLC operation | WESTERN DIGITAL TECHNOLOGIES, INC. |
11164642 | Systems and methods for hardening flash memory to radiation | BOARD OF TRUSTEES OF THE UNIVERSITY OF ALABAMA, FOR AND ON BEHALF OF THE UNIVERSITY OF ALABAMA IN HUNTSVILLE |
11164644 | Storage device and method of operating the same | SK HYNIX INC. |
11164656 | Bambam: parallel comparative analysis of high-throughput sequencing data | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
11164660 | Visually augmenting a graphical rendering of a chemical structure representation or biological sequence representation with multi-dimensional information | PERKINELMER INFORMATICS, INC. |
11164673 | Attaching patient context to a call history associated with voice communication | -- |
11164707 | Touch device and input module | LITE-ON ELECTRONICS (GUANGZHOU) LIMITED |
11164896 | Array substrate and display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11164897 | Display device | SHARP KABUSHIKI KAISHA |
11164915 | Display device including an input sensing circuit having dummy patterns | SAMSUNG DISPLAY CO., LTD. |
11164916 | Display panel, manufacturing method thereof, and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11164934 | Tiled displays with black-matrix support screens | X DISPLAY COMPANY TECHNOLOGY LIMITED |
11165044 | Display device | SAMSUNG DISPLAY CO., LTD. |
11165047 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11165277 | Uninterruptible power system and operation method thereof | -- |
11165440 | Systems and methods for geometric partitioning in object storage | TSINGHUA UNIVERSITY |
11165557 | Encryption engine having randomized round scheduling to prevent side channel attacks | FACEBOOK TECHNOLOGIES, LLC |
11165662 | Enabling interactive cable routing and planning optimization for customized hardware configurations | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11165667 | Dynamic scaling of storage volumes for storage client file systems | AMAZON TECHNOLOGIES, INC. |
11165673 | Methods and systems for visualizing mobile terminal network conditions | VIASAT, INC. |
11165717 | Fabric interconnection for memory banks based on network-on-chip methodology | WESTERN DIGITAL TECHNOLOGIES, INC. |
11165734 | Messaging system share-to-chat | SNAP INC. |
11165739 | System and method for managing and displaying data messages | TWITTER, INC. |
11165755 | Privacy protection during video conferencing screen share | CITRIX SYSTEMS, INC. |
11165838 | Video capture and management system | CURATOR VIDEO, LLC |
11165855 | Content distribution system | TOSHIBA TEC KABUSHIKI KAISHA |
11165882 | Service provider user accounts | SONOS, INC. |
11165903 | Apparatus for transmitting message and method thereof | -- |
11165904 | Electronic apparatus, control device, computer-readable non-transitory storage medium, and operation method of electronic apparatus | KYOCERA CORPORATION |
11165906 | Prior caller location and identity as input into a public-safety answering point (PSAP) | MOTOROLA SOLUTIONS, INC. |
11165914 | Information processing apparatus and control method thereof | CANON KABUSHIKI KAISHA |
11165917 | Information processing apparatus, non-transitory computer-readable storage medium storing information processing program, and information processing method | SEIKO EPSON CORPORATION |
11165918 | Information processing apparatus for displaying information, method, and non-transitory computer readable medium | FUJIFILM BUSINESS INNOVATION CORP. |
11165923 | Information processing apparatus having at least one interface for electric power transfer, method of controlling information processing apparatus to transfer electric power to an external device, and non-transitory computer-readable recording medium | BROTHER KOGYO KABUSHIKI KAISHA |
11165924 | Image processing apparatus having functions including scan function, control method thereof, and storage medium storing program for executing control method | CANON KABUSHIKI KAISHA |
11165925 | API for printing apparatus functions | RICOH COMPANY, LTD. |
11165928 | Printing device, control method of a printing device, and storage medium having a wireless communicator that is paired with an external device | SEIKO EPSON CORPORATION |
11165933 | Production slate device | -- |
11165949 | User interface for capturing photos with different camera magnifications | -- |
11165963 | Device, method, and graphical user interface for accessing an application in a locked device | APPLE INC. |
11165989 | Gesture and prominence in video conferencing | APPLE INC. |
11166001 | Method and apparatus for secure transfer and playback of multimedia content | TIVO SOLUTIONS INC. |
11166002 | Method and device for live broadcasting virtual avatar | BEIJING DAJIA INTERNET INFORMATION TECHNOLOGY CO., LTD. |
11166063 | Enhanced set-top box control | ROVI GUIDES, INC. |
11166066 | Display apparatus, content recognizing method thereof, and non-transitory computer readable recording medium | SAMSUNG ELECTRONICS CO., LTD. |
11166069 | Video content conversion | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11166073 | Dynamically adjusting video merchandising to reflect user preferences | NETFLIX, INC. |
11166080 | Systems and methods for presenting content | FACEBOOK, INC. |
11166081 | Content rights management for mobile devices | T-MOBILE USA, INC. |
11166087 | Cross-device handoffs | GOOGLE LLC |
11166101 | Audio stick for controlling wireless speakers | DOLBY LABORATORIES LICENSING CORPORATION |
11166102 | Digital multi-zone audio system | JUKE AUDIO INC. |
11166108 | Display apparatus | LG DISPLAY CO., LTD. |
11166121 | Prioritization of messages within a message collection | SNAP INC. |
11166139 | Dual signal wireless audio system and application | -- |
11166157 | Distributed management of secure Wi-Fi network | NOMADIX, INC. |
11166346 | Induction device and temperature adjustment method | SAMSUNG ELECTRONICS CO., LTD. |
11166388 | Display apparatus for vehicle | LG ELECTRONICS INC. |
11166503 | Interactive skin for wearable | INTERACTIVE SKIN, INC. |
11166667 | System for identifying information represented by biological signals | MELTIN MMI CO., LTD. |
11166765 | Feedback for surgical robotic system with virtual reality | VERB SURGICAL INC. |
11167180 | Smart ball, locator system and method therefor | JETSON I.P. PTY LTD |
11167208 | Information processing system, storage medium storing information processing program, information processing apparatus, and information processing method | NINTENDO CO., LTD. |
11167213 | Electronic controller with hand retainer and finger motion sensing | VALVE CORPORATION |
11167417 | Robot control device and robot system | SEIKO EPSON CORPORATION |
11167422 | User-assisted robotic control systems | SOFT ROBOTICS, INC. |
11167566 | Device and method of apparatus handling description by the device | SEIKO EPSON CORPORATION |
11167641 | System and method for reconfiguring operator controls | DEERE & COMPANY |
11167693 | Vehicle attention system and method | HONDA MOTOR CO., LTD. |
11167771 | Vehicular gesture monitoring system | MAGNA MIRRORS OF AMERICA, INC. |
11167776 | Seat haptic system and method of equalizing haptic output | HONDA MOTOR CO., LTD. |
11168768 | Collaborative shear display | FACEBOOK TECHNOLOGIES, LLC |
11168873 | Illumination system controller for aiming light fixtures in sanitary environments | THE KIRLIN COMPANY |
11168882 | Behavior inducement system, behavior inducement method and recording medium | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11168973 | Flexible three-dimensional sensing input device | CIRQUE CORPORATION |
11168997 | Reverse natural guidance | HERE GLOBAL B.V. |
11169257 | Security inspection system and method | NUCTECH COMPANY LIMITED |
11169302 | Methods and computing systems for geosciences and petro-technical collaboration | SCHLUMBERGER TECHNOLOGY CORPORATION |
11169374 | Eyewear eye-tracking using optical waveguide | SNAP INC. |
11169383 | Steerable positioning element | AVEGANT CORP. |
11169384 | Positioning, stabilising, and interfacing structures and system incorporating same | RESMED PTY LTD |
11169406 | Touch and display driver integrated assembly including protective layers, method of manufacturing the same, and electronic apparatus | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11169423 | Display panel and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11169438 | Mask, exposure method and touch display panel | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11169496 | Methods and systems for the industrial internet of things | STRONG FORCE IOT PORTFOLIO 2016, LLC |
11169497 | Methods and systems for the industrial internet of things | STRONG FORCE IOT PORTFOLIO 2016, LLC |
11169555 | Apparatus, method and article for redistributing power storage devices, such as batteries, between collection, charging and distribution machines | GOGORO INC. |
11169566 | Electronic device including foldable display and method for operating the electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11169568 | Display device | SAMSUNG DISPLAY CO., LTD. |
11169570 | Flexible display panel and display apparatus including the flexible display panel | SAMSUNG DISPLAY CO., LTD. |
11169571 | Laptop with multi-display functionality having pin and ball mating member | -- |
11169577 | Sensing relative orientation of computing device portions | MICROSOFT TECHNOLOGY LICENSING, LLC |
11169594 | Card and host apparatus | KIOXIA CORPORATION |
11169595 | Game with hand motion control | CFPH, LLC |
11169596 | Positioning system | SAN ANTONIO DE BENAGEBER |
11169597 | Method of generating a virtual overlay for a packaging machine | TETRA LAVAL HOLDINGS & FINANCE S.A. |
11169598 | Apparatus and associated methods for presentation of a virtual reality space | NOKIA TECHNOLOGIES OY |
11169599 | Information processing apparatus, information processing method, and program | SONY CORPORATION |
11169600 | Virtual object display interface between a wearable device and a mobile device | SNAP INC. |
11169601 | Methods and systems for determining teleoperating user intent via eye tracking | TOYOTA RESEARCH INSTITUTE, INC. |
11169602 | Apparatus, associated method and associated computer readable medium | NOKIA TECHNOLOGIES OY |
11169603 | Electronic apparatus and method for recognizing view angle of displayed screen thereof | -- |
11169604 | Determination of gaze calibration parameters | TOBII AB |
11169605 | Operating method for wearable device interacting with operated device in virtual reality and operating device thereof | -- |
11169606 | System and methods for recreational sport heads-up display control | DISH NETWORK L.L.C. |
11169607 | Haptic-feedback apparatuses that utilize linear motion for creating haptic cues | FACEBOOK TECHNOLOGIES, LLC |
11169608 | Display device | SAMSUNG DISPLAY CO., LTD. |
11169609 | Information processing apparatus, information processing method, and program | SONY CORPORATION |
11169610 | Tracking techniques in haptic systems | ULTRALEAP LIMITED |
11169611 | Enhanced virtual touchpad | APPLE INC. |
11169612 | Wearable device control | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11169613 | Augmented reality task flow optimization systems | ATHEER, INC. |
11169614 | Gesture detection method, gesture processing device, and computer readable storage medium | BOE TECHNOLOGY GROUP CO., LTD. |
11169615 | Notification of availability of radar-based input for electronic devices | GOOGLE LLC |
11169616 | Raise to speak | APPLE INC. |
11169617 | Eyeglasses-type wearable device and method using the same | KABUSHIKI KAISHA TOSHIBA |
11169618 | Systems and methods for distinguishing gestures | HONDA MOTOR CO., LTD. |
11169619 | Electronic device comprising flexible display, and key button arrangement structure applied to same | SAMSUNG ELECTRONICS CO., LTD. |
11169620 | Keyboard based graphical user interface navigation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11169621 | Assessing postural sway in virtual or augmented reality | XR HEALTH IL LTD |
11169622 | Mouse device with zero gapped switching for resistance | CORSAIR MEMORY, INC. |
11169623 | External user interface for head worn computing | MENTOR ACQUISITION ONE, LLC |
11169624 | Pressure sensitive stylus | MICROSOFT TECHNOLOGY LICENSING, LLC |
11169625 | Electronic pen | WACOM CO., LTD. |
11169626 | Transmitter, touch sensitive processing apparatus and processing method thereof and electronic system | -- |
11169627 | Apparatus and method for providing memo function | SAMSUNG ELECTRONICS CO., LTD. |
11169628 | Stylus for electronic devices | APPLE INC. |
11169629 | Touch screen and display device having the same | SAMSUNG DISPLAY CO., LTD. |
11169630 | Touch panel with nanowires | TPK ADVANCED SOLUTIONS INC. |
11169631 | Organic light emitting diode touch display panel and method for driving time division multiplexing | -- |
11169632 | Electronic device | SAMSUNG DISPLAY CO., LTD. |
11169633 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11169634 | Display device including a touch member | SAMSUNG DISPLAY CO., LTD. |
11169635 | Input device, electronic device and control method | LENOVO (BEIJING) CO., LTD. |
11169636 | Detection apparatus | JAPAN DISPLAY INC. |
11169637 | Detection device | JAPAN DISPLAY INC. |
11169638 | Method and apparatus for scanning touch screen, and medium | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11169639 | Display device | JAPAN DISPLAY INC. |
11169640 | Electronic device and operating method therefor | COREDAR CO., LTD. |
11169641 | Compliant stylus interaction with touch sensitive surface | BEECHROCK LIMITED |
11169642 | Flexible display device and method for driving the same | SAMSUNG DISPLAY CO., LTD. |
11169643 | Touch panel and touch panel device | XIAMEN TIANMA MICRO ELECTRONICS CO., LTD. |
11169644 | Electronic device | SAMSUNG DISPLAY CO., LTD. |
11169645 | Method for forming auxiliary conductive unit on transparent electrode of touch sensor and product thereof | -- |
11169646 | Touch panel and display device | -- |
11169647 | Input sensing circuit including a pressure sensing part and a display including the same | SAMSUNG DISPLAY CO., LTD. |
11169648 | Touch electrode, touch display panel, and touch display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11169649 | Touch panel, electronic device including same, and method for manufacturing touch panel | SAMSUNG DISPLAY CO., LTD. |
11169650 | Modifying an array of cells in a cell matrix for a step-sequencer | APPLE INC. |
11169651 | Method and apparatus for controlling a process plant with location aware mobile devices | FISHER-ROSEMOUNT SYSTEMS, INC. |
11169652 | GUI configuration | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11169653 | Asymmetric information handling system user interface management | DELL PRODUCTS L.P. |
11169654 | Task completion across devices using a shared work space | MICROSOFT TECHNOLOGY LICENSING, LLC |
11169655 | Image distribution method, image distribution server device and chat system | GREE, INC. |
11169656 | User interface method, information processing system, and user interface program medium | FUJITSU LIMITED |
11169657 | Systems and methods for resource consumption analytics | APANA INC. |
11169658 | Combined map icon with action indicator | SNAP INC. |
11169659 | Method and device for folder management by controlling arrangements of icons | HUAWEI TECHNOLOGIES CO., LTD. |
11169660 | Personalized adaptive task framework for user life events | MICROSOFT TECHNOLOGY LICENSING, LLC |
11169661 | Thumbnail generation for digital images | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11169662 | Display apparatus and display method | SAMSUNG ELECTRONICS CO., LTD. |
11169663 | Random access to properties for lists in user interfaces | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11169664 | Interactive mapping for passengers in commercial passenger vehicle | PANASONIC AVIONICS CORPORATION |
11169665 | Game console user interface with application previews | SONY INTERACTIVE ENTERTAINMENT INC. |
11169666 | Distributed content browsing system using transferred hardware-independent graphics commands | AMAZON TECHNOLOGIES, INC. |
11169667 | Profile picture management tool on social media platform | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11169668 | Selecting an input mode for a virtual assistant | GOOGLE LLC |
11169669 | Onboarding via image procurement process | SQUARE, INC. |
11169670 | Remote medical evaluation heuristic | -- |
11169671 | Alteration of a source data visualization based on user input | LUCID SOFTWARE, INC. |
11169672 | Styling system | HOME BOX OFFICE, INC. |
11169673 | Systems and methods for intelligent layered interactive derived programmatic elements for fixed content | -- |
11169674 | Electronic apparatus, method of controlling the same and recording medium thereof | SAMSUNG ELECTRONICS CO., LTD. |
11169675 | Creator profile user interface | SNAP INC. |
11169676 | Method of providing document editing service based on block editor, and server and computer program for executing the same | MOCHA & JS CO., LTD. |
11169677 | Future state graphical visualization generator | WELLS FARGO BANK, N.A. |
11169678 | Fire management tool with versatile user interface | ONE CONERN, INC. |
11169679 | Display apparatus to control connection, a display method and a non-transitory computer readable medium | SONY CORPORATION |
11169680 | Electronic device displaying interface for editing video data and method for controlling same | SAMSUNG ELECTRONICS CO., LTD. |
11169681 | Remote management of on-site smart window activities and scheduler of smart window events | KINESTRAL TECHNOLOGIES, INC. |
11169682 | Systems and methods for assisting with color selection | BEHR PROCESS CORPORATION |
11169683 | System and method for efficient scrolling | QUALCOMM INCORPORATED |
11169684 | Display control apparatuses, control methods therefor, and computer readable storage medium | CANON KABUSHIKI KAISHA |
11169685 | Methods and apparatuses to control application programs | APPLE INC. |
11169686 | Electronic device having flexible display and operating method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11169687 | Methods, systems and computer program products for mapping inputs to a software application | BLUESTACK SYSTEMS, INC. |
11169688 | Message processing method, message viewing method, and terminal | HUAWEI TECHNOLOGIES CO., LTD. |
11169689 | Autonomous agricultural system user interface interlock | CNH INDUSTRIAL AMERICA LLC |
11169690 | Portable electronic device for instant messaging | APPLE INC. |
11169691 | Portable multifunction device, method, and graphical user interface supporting user navigations of graphical objects on a touch screen display | APPLE INC. |
11169692 | Electrical device with touch screen and user interface for adjusting screen brightness | -- |
11169693 | Image navigation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11169694 | Interactive layer for editing a rendering displayed via a user interface | SQUARE, INC. |
11169695 | Method for processing dynamic image and electronic device thereof | SAMSUNG ELECTRONICS CO., LTD. |
11169696 | Method and system for ink data generation, ink data rendering, ink data manipulation and ink data communication | WACOM CO., LTD. |
11169697 | Electronic device and method for displaying contextual information of application | SAMSUNG ELECTRONICS CO., LTD. |
11169698 | Information processing device, operation input method and operation input program | SONY GROUP CORPORATION |
11169699 | Application control using a gesture based trigger | SNAP INC. |
11169700 | Electronic device and control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11169701 | Display of a virtual keyboard on a supplemental physical display plane surrounding a primary physical display plane on a wearable mobile device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11169702 | Method and device for displaying information and storage medium | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11169703 | Method for displaying graphical user interface and mobile terminal | HUAWEI TECHNOLOGIES CO., LTD. |
11169704 | Mobile terminal for displaying content on flexible display and control method thereof | LG ELECTRONICS INC. |
11169705 | Configuration of application execution spaces and sub-spaces for sharing data on a mobile touch screen device | SAMSUNG ELECTRONICS CO., LTD. |
11169706 | Rebalancing storage I/O workloads by storage controller selection and redirection | NUTANIX, INC. |
11169707 | Garbage collection pacing in a storage system | NETAPP, INC. |
11169708 | Zero memory buffer copying in a reliable distributed computing system | SCALE COMPUTING |
11169709 | System and method for adaptive command fetch aggregation | WESTERN DIGITAL TECHNOLOGIES, INC. |
11169710 | Method and apparatus for SSD storage access | FUTUREWEI TECHNOLOGIES, INC. |
11169711 | Memory module, memory device, and processing device having a processor mode, and memory system | SAMSUNG ELECTRONICS CO., LTD. |
11169712 | Memory system with latency distribution optimization and an operating method thereof | SK HYNIX INC. |
11169713 | Restricting write cycles to extend the lifetime of nonvolatile memory | LANDIS+GYR INNOVATIONS, INC. |
11169714 | Efficient file replication | EFOLDER, INC. |
11169716 | Prediction of maintenance window of a storage system | EMC IP HOLDING COMPANY LLC |
11169717 | Unauthorized access command logging using a key for a protected region of memory | MICRON TECHNOLOGY, INC. |
11169718 | Data access method and apparatus | BEIJING BAIDU NETCOM SCIENCE AND TECHNOLOGY CO., LTD. |
11169719 | System and method for deploying multi-node virtual storage appliances | EMC IP HOLDING COMPANY LLC |
11169720 | System and method for creating on-demand virtual filesystem having virtual burst buffers created on the fly | SAUDI ARABIAN OIL COMPANY |
11169721 | Memory system for flexible map block management and operating method thereof | SK HYNIX INC. |
11169722 | Memory system and SoC including linear address remapping logic | SAMSUNG ELECTRONICS CO., LTD. |
11169723 | Data storage system with metadata check-pointing | AMAZON TECHNOLOGIES, INC. |
11169724 | Method, electronic device, and program product for scheduling requests for reclaiming storage space | EMC IP HOLDING COMPANY LLC |
11169725 | System for processing of log data in memory | AMAZON TECHNOLOGIES, INC. |
11169726 | Pool-level storage management | TOSHIBA MEMORY CORPORATION |
11169727 | Synchronous replication between storage systems with virtualized storage | PURE STORAGE, INC. |
11169728 | Replication configuration for multiple heterogeneous data stores | WESTERN DIGITAL TECHNOLOGIES, INC. |
11169729 | Using an enhanced data agent to restore backed up data across autonomous storage management systems | COMMVAULT SYSTEMS, INC. |
11169730 | Scrub rate control for a memory device | MICRON TECHNOLOGY, INC. |
11169731 | Managing storage resources in a dispersed storage network | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11169732 | Computing device | KABUSHIKI KAISHA TOSHIBA |
11169733 | Asset processing from persistent memory | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11169736 | Data storage device equipped to reduce page faults in host device | WESTERN DIGITAL TECHNOLOGIES, INC. |
11169737 | Speculation in memory | MICRON TECHNOLOGY, INC. |
11169738 | Erasure code data protection across multiple NVMe over fabrics storage devices | SAMSUNG ELECTRONICS CO., LTD. |
11169740 | Simultaneous initiation of multiple commands for configuring multi-mode DIMMS using a BMC | AMERICAN MEGATRENDS INTERNATIONAL, LLC |
11169741 | Storage device and method of operating the same | SK HYNIX INC. |
11169742 | Memory system, memory controller, and semiconductor memory device | KIOXIA CORPORATION |
11169743 | Energy management method and apparatus for processing a request at a solid state drive cluster | HUAWEI TECHNOLOGIES CO., LTD. |
11169744 | Boosting reads of chunks of data | WESTERN DIGITAL TECHNOLOGIES, INC. |
11169745 | Exporting an address space in a thin-provisioned storage device | PURE STORAGE, INC. |
11169746 | Expanding a distributed storage system | WEKA.IO LTD |
11169747 | Relocating data to low latency memory | MICRON TECHNOLOGY, INC. |
11169748 | System and method | CANON KABUSHIKI KAISHA |
11169749 | Dynamic printer setting based on document analysis | LENOVO (SINGAPORE) PTE. LTD. |
11169750 | Printing apparatus, printing control method, and storage medium | CANON KABUSHIKI KAISHA |
11169751 | Printing apparatus and printing system | CANON KABUSHIKI KAISHA |
11169752 | Image forming system, image forming apparatus, and image forming method allowing serverless pull printing | KYOCERA DOCUMENT SOLUTIONS INC. |
11169753 | Private server implementation of policy for carryover and borrowing usage | KYOCERA DOCUMENT SOLUTIONS INC. |
11169754 | Information processing method, information processing apparatus, and non-transitory computer-readable storage medium storing program | SEIKO EPSON CORPORATION |
11169755 | Information processing system, image forming apparatus, terminal, information processing method, and storage medium | CANON KABUSHIKI KAISHA |
11169756 | Method for capturing, storing, accessing, and outputting digital content | FLEXIJET TECHNOLOGIES, INC. |
11169757 | Print server system providing functions related to printing | CANON KABUSHIKI KAISHA |
11169758 | Printing apparatus and storage medium | CANON KABUSHIKI KAISHA |
11169759 | Method, apparatus, and recording medium for controlling digital signage | LG ELECTRONICS INC. |
11169760 | Multi-screen display control device | -- |
11169761 | Display control device and display control method | FANUC CORPORATION |
11169762 | Electronic device including sub display and method of operating same | SAMSUNG ELECTRONICS CO., LTD. |
11169763 | Mobile terminal and electronic device comprising the same | LG ELECTRONICS INC. |
11169764 | Remote work-support system | HITACHI, LTD. |
11169765 | Audio content production, audio sequencing, and audio blending system and method | SUPER HI FI, LLC |
11169766 | Pressure compensation in display sound device | QUALCOMM INCORPORATED |
11169767 | Automatically generated media preview | SPOTIFY AB |
11169768 | Providing media for playback | SONOS, INC. |
11169769 | Electronic device and volume adjustment method of electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11169770 | Music-based social networking multi-media application and related methods | LOOK SHARP LABS, INC. |
11169771 | Transmitting messages to a display device based on detected audio output | ROKU, INC. |
11169772 | Image capture device control using mobile platform voice recognition | GOPRO, INC. |
11169773 | Systems, methods, and apparatuses for agricultural data collection, analysis, and management via a mobile device | TEKWEAR, LLC |
11169774 | Electronic apparatus and control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11169790 | Software management device, software management system, and non-transitory computer readable medium storing program | FUJIFILM BUSINESS INNOVATION CORP. |
11169824 | Virtual reality replay shadow clients systems and methods | VREAL INC |
11169828 | Electronic control unit and method for verifying control program | HITACHI ASTEMO, LTD. |
11169831 | System and method for providing a customized graphical user interface based on user inputs | PARALLELS INTERNATIONAL GMBH |
11169832 | Apparatus and method for augmented reality user manual | HONG KONG APPLIED SCIENCE AND TECHNOLOGY RESEARCH INSTITUTE COMPANY LIMITED |
11169856 | Container management | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11169859 | System and method for transforming a digital calendar into a strategic tool | INDIGGO LLC |
11169860 | Electronic device and method for providing notification information thereby | SAMSUNG ELECTRONICS CO., LTD. |
11169863 | Dynamic management method for menu, server and system | ZTE CORPORATION |
11169867 | System and method for identifying operational disruptions in mobile computing devices via a monitoring application that repetitively records multiple separate consecutive files listing launched or installed applications | FUTURE DIAL, INC. |
11169871 | Data storage device and operating method thereof | SK HYNIX INC. |
11169875 | Nonvolatile semiconductor memory device | TOSHIBA MEMORY CORPORATION |
11169877 | Non-volatile memory data and address encoding for safety coverage | ALLEGRO MICROSYSTEMS, LLC |
11169878 | Non-volatile memory accessing method using data protection with aid of look-ahead processing, and associated apparatus | -- |
11169880 | Storage system configured to guarantee sufficient capacity for a distributed raid rebuild process | EMC IP HOLDING COMPANY LLC |
11169884 | Recovery support techniques for storage virtualization environments | NETAPP INC. |
11169908 | Framework for UI automation based on graph recognition technology and related methods | CITRIX SYSTEMS, INC. |
11169918 | Data access in data storage device including storage class memory | WESTERN DIGITAL TECHNOLOGIES, INC. |
11169929 | Pause communication from I/O devices supporting page faults | INTEL CORPORATION |
11169931 | Distributed shared memory paging | EMC IP HOLDING COMPANY LLC |
11169937 | Memory control device | NEC CORPORATION |
11169939 | Command packets for the direct control of non-volatile memory channels within a solid state drive | MICRON TECHNOLOGY, INC. |
11169940 | Trace length on printed circuit board (PCB) based on input/output (I/O) operating speed | QUALCOMM INCORPORATED |
11169955 | Remote device configurations | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11169960 | Data transfer appliance method and system | -- |
11169966 | Information processing apparatus and non-transitory computer readable medium storing information processing program for hidden file tracing | FUJIFILM BUSINESS INNOVATION CORP. |
11169967 | Selective deduplication | NETAPP INC. |
11169972 | Handling data extent size asymmetry during logical replication in a storage system | NETAPP INC. |
11169988 | Radar recognition-aided search | GOOGLE LLC |
11169992 | Cognitive program suite for a cognitive device and a mobile device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11170019 | Data field transaction repair interface | WELLS FARGO BANK, N.A. |
11170035 | Context based media curation | SNAP INC. |
11170039 | Search system, search criteria setting device, control method for search criteria setting device, program, and information storage medium | RAKUTEN GROUP, INC. |
11170042 | Method and apparatus for managing digital files | MEMORYWEB, LLC |
11170043 | Method for providing visualization of progress during media search | DELUXE ONE LLC |
11170046 | Network node consolidation | AMERICAN EXPRESS TRAVEL RELATED SERVICES COMPANY, INC. |
11170060 | Mobile terminal and control method thereof | LG ELECTRONICS INC. |
11170087 | Virtual reality scene-based business verification method and device | ADVANCED NEW TECHNOLOGIES CO., LTD. |
11170090 | Display device including fingerprint sensor and fingerprint authentication method thereof | SAMSUNG DISPLAY CO., LTD. |
11170129 | Anonymizing events from machine data | SPLUNK INC. |
11170155 | Document processing apparatus and non-transitory computer readable medium | FUJIFILM BUSINESS INNOVATION CORP. |
11170156 | Drop word tool for digital typesetting | ADOBE INC. |
11170178 | Determining the user's current preferred manner in communicating with user | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11170180 | Control device and control method | SONY CORPORATION |
11170193 | Object identifying method and related circuits | -- |
11170194 | Control circuit and related method for controlling display panel | -- |
11170212 | Sensor fusion eye tracking | APPLE INC. |
11170213 | Ocular image capturing device | OPTOS PLC |
11170222 | XR device and method for controlling the same | LG ELECTRONICS INC. |
11170255 | Training a machine learning model with synthetic images | KLA-TENCOR CORP. |
11170259 | Machine learning device, data processing system, printing system, machine learning method, and data processing method | OKI ELECTRIC INDUSTRY CO., LTD. |
11170273 | Image forming apparatus and method of controlling the same, and storage medium | CANON KABUSHIKI KAISHA |
11170286 | Holographic virtual assistant | ACCENTURE GLOBAL SOLUTIONS LIMITED |
11170343 | Generation and data management of a medical study using instruments in an integrated media and medical system | UNIVERSAL RESEARCH SOLUTIONS, LLC |
11170349 | Systems and methods for dynamically providing behavioral insights and meeting guidance | -- |
11170364 | Connected payment card systems and methods | WELLS FARGO BANK, N.A. |
11170390 | Integrated weather graphical user interface | INTERCONTINENTAL EXCHANGE HOLDINGS, INC. |
11170411 | Advanced bidding for optimization of online advertising | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11170422 | Vendor website GUI for marketing greeting cards and envelopes | MINTED LLC |
11170430 | System, method, apparatus, and computer program product for persona based gift searches for all occasions | -- |
11170438 | Intelligent item financing | SQUARE, INC. |
11170447 | Media content based on playback zone awareness | SONOS, INC. |
11170453 | Satellite-based agricultural modeling | INDIGO AG, INC. |
11170516 | Method and device for measuring features on or near an object | BAKER HUGHES, A GE COMPANY, LLC |
11170521 | Position estimation based on eye gaze | APPLE INC. |
11170527 | Electronic device, control method, and recording medium | KYOCERA CORPORATION |
11170539 | Information processing device and information processing method | SONY CORPORATION |
11170540 | Directional based commands | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11170548 | Displaying items of interest in an augmented reality environment | UNITED PARCEL SERVICE OF AMERICA, INC. |
11170552 | Remote visualization of three-dimensional (3D) animation with synchronized voice in real-time | VANGOGH IMAGING, INC. |
11170560 | Wearable assembly of smart headset display and smart garment | -- |
11170565 | Spatially-resolved dynamic dimming for augmented reality device | MAGIC LEAP, INC. |
11170573 | Adaptive polyhedra mesh refinement and coarsening | ANSYS, INC. |
11170576 | Progressive display of virtual objects | FACEBOOK TECHNOLOGIES, LLC |
11170577 | Generating and modifying representations of objects in an augmented-reality or virtual-reality scene | FACEBOOK TECHNOLOGIES, LLC |
11170580 | Information processing device, information processing method, and recording medium | SONY CORPORATION |
11170582 | System and method for creating, managing, and displaying limited edition, serialized 3D digital collectibles with visual indicators of rarity classifications | DAPPER LABS INC. |
11170620 | Wireless dual-mode identification tag | NEXITE LTD. |
11170666 | Dental treatment training apparatus and dental treatment training system | J.MORITA CORPORATION |
11170670 | Live configurable device and package labeling | COVIDIEN LP |
11170676 | Electro-optical device and electronic apparatus | SEIKO EPSON CORPORATION |
11170678 | Display apparatus and method incorporating gaze-based modulation of pixel values | VARJO TECHNOLOGIES OY |
11170681 | Gate driving circuit, driving method thereof, gate driver, display panel and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11170710 | Display module with high resolution grayscale, display device, and driving method thereof | -- |
11170726 | Method for driving liquid crystal display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11170727 | Display device including a common voltage compensation circuit, and method for driving the same | LG DISPLAY CO., LTD. |
11170734 | Electronic device and color profile adjustment method | -- |
11170736 | Preserving desktop state across login sessions | VMWARE, INC. |
11170741 | Method and apparatus for rendering items in a user interface | NOKIA TECHNOLOGIES OY |
11170753 | Information processing method, information processing device, and computer-readable recording medium recording information processing program | PANASONIC INTELLECTUAL PROPERTY CORPORATION OF AMERICA |
11170757 | Systems and methods for improved call handling | T-MOBILE USA, INC. |
11170763 | Voice interaction system, its processing method, and program therefor | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11170768 | Device for performing task corresponding to user utterance | SAMSUNG ELECTRONICS CO., LTD. |
11170771 | Reference audio extraction device for use with network microphones with acoustic echo cancellation and beamforming | CRESTRON ELECTRONICS, INC. |
11170773 | Systems, methods, and apparatuses for managing incomplete automated assistant actions | GOOGLE LLC |
11170774 | Virtual assistant device | QUALCOMM INCORPORATED |
11170775 | Display apparatus and method for operating display apparatus | LG ELECTRONICS INC. |
11170777 | Proactive caching of assistant action content at a client device to enable on-device resolution of spoken or typed utterances | GOOGLE LLC |
11170795 | Concept for combined dynamic range compression and guided clipping prevention for audio devices | FRAUNHOFER-GESELLSCHAFT ZUR FOERDERUNG DER ANGEWANDTEN FORSCHUNG E.V. |
11170820 | Method and apparatus for recording audio information | LOGITECH EUROPE S.A. |
11170847 | Determining soft data for fractional digit memory cells | MICRON TECHNOLOGY, INC. |
11170881 | Devices and method for a healthcare collaboration space | GENERAL ELECTRIC COMPANY |
11170887 | Body weight management and activity tracking system | ACCESS BUSINESS GROUP INTERNATIONAL LLC |
11170889 | Smooth image scrolling | FUJIFILM MEDICAL SYSTEMS U.S.A., INC. |
11170950 | Keyboard backlight module with light-guide pattern, reflector pattern, and applications thereof | LITE-ON ELECTRONICS (GUANGZHOU) LIMITED |
11170959 | Electromagnetic relay | -- |
11171184 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11171185 | Sensor unit, display device including the same, and method for measuring moisture using the same | SAMSUNG DISPLAY CO., LTD. |
11171190 | Semiconductor device, input/output device, and electronic appliance | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11171311 | Display device | LG DISPLAY CO., LTD. |
11171410 | Wireless audio system and method for wirelessly communicating audio information using the same | BESTECHNIC (SHANGHAI) CO., LTD. |
11171621 | Personalized equalization of audio output based on ambient noise detection | FACEBOOK TECHNOLOGIES, LLC |
11171699 | Wireless lighting control system with universal driver control interface | ABL IP HOLDING LLC |
11171772 | Multi-key encryption and decryption for side channel attack prevention | FACEBOOK TECHNOLOGIES, LLC |
11171857 | Identifying an electronic device connected to a communication network that has XCP enabled | ROBERT BOSCH GMBH |
11171898 | Extensible framework for reporting automated workflow analytics | AMAZON TECHNOLOGIES, INC. |
11171904 | Message authentication using generative adversarial networks | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11171905 | Request and delivery of additional data | OPEN INVENTION NETWORK LLC |
11171906 | Application dependent messaging | OPEN INVENTION NETWORK LLC |
11171907 | Method and system for organizing and interacting with messages on devices | DEBORAH A. LAMBERT AS TRUSTEE OF THE DEBORAH A LAMBERT IRREVOCABLE TRUST FOR MARK LAMBERT |
11171954 | Server and non-transitory computer-readable recording medium storing computer-readable instructions for server | BROTHER KOGYO KABUSHIKI KAISHA |
11172004 | Real time collaboration and document editing by multiple participants in a content management system | DROPBOX, INC. |
11172072 | Recording apparatus and recording method | CANON KABUSHIKI KAISHA |
11172077 | System and method for ordering and facilitating payment of a print product including a digital image | FUJIFILM NORTH AMERICA CORPORATION |
11172079 | Maintenance management apparatus, maintenance management system, method of managing maintenance, and recording medium | RICOH COMPANY, LTD. |
11172082 | Information processing apparatus, information processing system, and information processing method | RICOH COMPANY, LTD. |
11172084 | Preview image display apparatus and storage medium | KYOCERA DOCUMENT SOLUTIONS INC. |
11172100 | Image processing apparatus and non-transitory computer readable medium storing program that perform collection of information items based on acquired collection information for each of one or more information items | FUJIFILM BUSINESS INNOVATION CORP. |
11172120 | Image monitoring system and image monitoring program | SONY GROUP CORPORATION |
11172175 | Method for controlling display device, and display device | SEIKO EPSON CORPORATION |
11172189 | User detection for projection-based augmented reality system | FACEBOOK, INC. |
11172190 | Stereo weaving for head-tracked autostereoscopic displays | GOOGLE LLC |
11172256 | Automatic rating system using background audio cues | AT&T INTELLECTUAL PROPERTY I, L.P. |
11172260 | Speech interface | PROMPTU SYSTEMS CORPORATION |
11172271 | Automated generation of banner images | GRACENOTE, INC. |
11172282 | Earphone and earphone wearing detection method | -- |
11172291 | Millimeter wave sensor used to optimize performance of a beamforming microphone array | CRESTRON ELECTRONICS, INC. |
11172293 | Power efficient context-based audio processing | AMBIQ MICRO, INC. |
11172296 | Volume management in a media playback system | SONOS, INC. |
11172297 | Operating method of smart audio system | -- |
11172298 | Systems, methods, and user interfaces for headphone fit adjustment and audio output control | APPLE INC. |
11172299 | Content rules engines for audio playback devices | SONOS, INC. |
11172316 | Wearable electronic device displays a 3D zone from where binaural sound emanates | -- |
11172323 | Location-based social media for events | SEALS GRESHAM GROUP, LLC |
11172328 | Systems and methods for device localization | SONOS, INC. |
11172329 | Systems and methods for target device prediction | SONOS, INC. |
11172338 | Techniques for enhancing group communication on a mobile device | FACEBOOK, INC. |
11172433 | Network search display method for terminal device, apparatus, and terminal device | HUAWEI TECHNOLOGIES CO., LTD. |
11172524 | Wireless local area communication method and terminal supporting the same | SAMSUNG ELECTRONICS CO., LTD. |
11172527 | Routing of communications to a device | AMAZON TECHNOLOGIES, INC. |
11172575 | Human-machine interface assemblies | WHIRLPOOL CORPORATION |
11172577 | Display device having an optical sensor | SAMSUNG DISPLAY CO., LTD. |
11172818 | Streaming analytics of human body movement data | AMAZON TECHNOLOGIES, INC. |
11172850 | System and method to monitor, guide, and evaluate breathing, utilizing posture and diaphragm sensor signals | PRANA TECH LLC |
11173010 | Laser control GUI system and method | BIOLASE, INC. |
11173391 | Systems and methods for computer assisted operation | -- |
11173392 | Spatially-correlated human-machine interface | NINTENDO CO., LTD. |
11173393 | Operation device and control apparatus therefor | SONY INTERACTIVE ENTERTAINMENT INC. |
11173394 | Information processing apparatus and normal line information acquisition method | SONY INTERACTIVE ENTERTAINMENT INC. |
11173615 | User-assisted robotic control systems | SOFT ROBOTICS, INC. |
11173787 | Virtual human-machine interface system and corresponding virtual human-machine interface method for a vehicle | MARELLI AUTOMOTIVE LIGHTING ITALY S.P.A. |
11173895 | Parking support apparatus | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11173947 | Driving control device, vehicle and driving control method | BOE TECHNOLOGY GROUP CO., LTD. |
11174022 | Smart device for personalized temperature control | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11174340 | Window film composition, flexible window film formed therefrom and flexible display device containing same | SOLIP TECH CO., LTD. |
11175147 | Encouraging and implementing user assistance to simultaneous localization and mapping | DIGITAL DREAM LABS, LLC |
11175157 | Dynamic scaling of geospatial data on maps | PALANTIR TECHNOLOGIES INC. |
11175504 | Mixed reality head worn display | ROCKWELL COLLINS, INC. |
11175516 | Object recognition for improving interfaces on an eyewear device and other wearable and mobile devices | SNAP INC. |
11175529 | Bridged micro louvers for active privacy screen | INTEL CORPORATION |
11175635 | Wearable electronic device | -- |
11175642 | Methods and systems for the industrial internet of things | STRONG FORCE IOT PORTFOLIO 2016, LLC |
11175680 | Remote control of fluid-handling devices | SITEPRO, INC. |
11175697 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11175698 | Methods and systems for processing touch inputs based on touch type and touch intensity | QEEXO, CO. |
11175702 | Scroll mouse | -- |
11175717 | Method for reducing current consumption, and electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11175718 | Mobile device-based radar system for applying different power modes to a multi-mode interface | GOOGLE LLC |
11175726 | Gesture actions for interface elements | AMAZON TECHNOLOGIES, INC. |
11175727 | Viewing a three-dimensional information space through a display screen | SONY INTERACTIVE ENTERTAINMENT INC. |
11175728 | Enabling negative reputation submissions in manners that reduce chances of retaliation | HIGH FIDELITY, INC. |
11175729 | Orientation determination based on both images and inertial measurement units | FINCH TECHNOLOGIES LTD. |
11175730 | Posture-based virtual space configurations | FACEBOOK TECHNOLOGIES, LLC |
11175731 | Apparatus, system, and method for directional acoustic sensing via wearables donned by users of artificial reality systems | FACEBOOK TECHNOLOGIES, LLC |
11175732 | Virtual reality apparatus | ROTO VR LIMITED |
11175733 | Method of view frustum detection and a corresponding system and product | OSRAM GMBH |
11175734 | Wrist tracking devices | APPLE INC. |
11175735 | Choice-based analytics that combine gaze and selection data | ADOBE INC. |
11175736 | Apparatus, systems and methods for using pupillometry parameters for assisted communication | SOUTH DAKOTA BOARD OF REGENTS |
11175737 | Electronic device for receiving line of sight input, method of controlling electronic device, and non-transitory computer readable medium | CANON KABUSHIKI KAISHA |
11175738 | Systems and methods for proximity-based haptic feedback | IMMERSION CORPORATION |
11175739 | Method and device for performing actuator control based on an actuator model | IMMERSION CORPORATION |
11175740 | Haptic feedback device and method for providing haptic sensation based on video | -- |
11175741 | Frameworks, devices and methods configured for enabling gesture-based interaction between a touch/gesture controlled display and other networked devices | HONEYWELL INTERNATIONAL INC. |
11175742 | Media sharing with visualized positioning layout in real time | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11175743 | Gesture recognition using multiple antenna | GOOGLE LLC |
11175744 | Holographic projection system | STARPORT INC. |
11175745 | System and method for application of piezo electric haptic keyboard personal typing profile | DELL PRODUCTS L.P. |
11175746 | Animation-based auto-complete suggestion | LENOVO (SINGAPORE) PTE. LTD. |
11175747 | Optical processing apparatus and operating method thereof | -- |
11175748 | Learning support system | WACOM CO., LTD. |
11175749 | Three-dimensional man/machine interface | QUICKSTEP TECHNOLOGIES LLC |
11175750 | Selecting virtual objects in a three-dimensional space | MAGIC LEAP, INC. |
11175751 | Multi-peripheral position-based synchronous lighting | LOGITECH EUROPE S.A. |
11175752 | Roller mouse operable in two clicking modes | -- |
11175753 | Mouse | -- |
11175754 | Electronic device and information processing method | KANAGAWA |
11175755 | Input system and input method | WACOM CO., LTD. |
11175756 | Detecting a brush object with a touch sensing apparatus | FLATFROG LABORATORIES AB |
11175757 | Light emitting touchpad device | -- |
11175758 | Wearable control device, virtual/augmented reality system and control method | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11175759 | Display apparatus with integrated antenna | LG DISPLAY CO., LTD. |
11175760 | Display device and method of manufacturing display device | JAPAN DISPLAY INC. |
11175761 | Touch sensor and display device including touch sensor | SAMSUNG DISPLAY CO., LTD. |
11175762 | Touch screen liquid crystal display | APPLE INC. |
11175763 | Information processing apparatus, method for controlling the same, and storage medium | CANON KABUSHIKI KAISHA |
11175764 | Touch display device | BOE TECHNOLOGY GROUP CO., LTD. |
11175765 | Touchpad module and computing device including the same | -- |
11175766 | Display apparatus | LG DISPLAY CO., LTD. |
11175767 | Unwanted touch management in touch-sensitive devices | BEECHROCK LIMITED |
11175768 | Method for selecting touch panel pen writing member, touch panel system, touch panel pen writing member, touch panel, and display device | DAI NIPPON PRINTING CO., LTD. |
11175769 | Electronic device with glass enclosure | APPLE INC. |
11175770 | Touch device with charging function and charging control method thereof | -- |
11175771 | Handwritten data capture method and handwritten data capture device | WACOM CO., LTD. |
11175772 | Touch array substrate, touch display, and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11175773 | Redundant projected capacitive touch panel | MERCURY MISSION SYSTEMS, LLC |
11175774 | Method for determining a time of contact on a capacitive sensor element | KOSTAL AUTOMOBIL ELEKTRIK GMBH & CO. KG |
11175775 | Input device, touch panel control device, and touch panel control method | MITSUBISHI ELECTRIC CORPORATION |
11175776 | Electronic device including an optical sensor mounted on back surface of a display | SAMSUNG ELECTRONICS CO., LTD. |
11175777 | Detection device and display device | JAPAN DISPLAY INC. |
11175778 | Touch display device and display panel | LG DISPLAY CO., LTD. |
11175779 | Position refinement in a touch indicating array | NXP USA, INC. |
11175780 | Display device, display method, and display system | SEIKO EPSON CORPORATION |
11175781 | Operation control of wireless sensors | KONINKLIJKE PHILIPS N.V. |
11175782 | Input control device and input control method | MITSUBISHI ELECTRIC CORPORATION |
11175783 | Touch panel, display device, and electronic apparatus | JAPAN DISPLAY INC. |
11175784 | Touch panel driving circuit | JAPAN DISPLAY INC. |
11175785 | Display device | JAPAN DISPLAY INC. |
11175786 | Touch sensor and image display device including the same | DONGWOOD FINE-CHEM CO., LTD |
11175787 | Capacitance sensing and inductance sensing in different modes | CYPRESS SEMICONDUCTOR CORPORATION |
11175788 | Safely capturing subsequent keystroke inputs intended for a first window when a second window changes system focus from the first window to the second window | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11175789 | Electronic apparatus and method for controlling the electronic apparatus thereof | SAMSUNG ELECTRONICS CO., LTD. |
11175790 | System and method for providing real-time product interaction assistance | MIDEA GROUP CO., LTD. |
11175791 | Augmented reality system for control boundary modification | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11175792 | Systems and methods for interactive conversational graphical user interfaces | TRUVERSE, INC. |
11175793 | User interface in a premises network | ICONTROL NETWORKS, INC. |
11175794 | Handheld electronic device and associated method for indicating delivery status of a message | BLACKBERRY LIMITED |
11175795 | Method for dynamically displaying digital content, graphical user interface and system thereof | FRAMY INC. |
11175796 | Menu management method, server, and system | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
11175797 | Menu screen display method and menu screen display device | SONY INTERACTIVE ENTERTAINMENT INC. |
11175798 | Moving method of floating toolbar in touch display apparatus and touch display apparatus | SHENZHEN HITEVISION TECHNOLOGY CO., LTD. |
11175799 | Inspirational quote generation, categorization, and presentation system | SOUL SPEAK LLC |
11175800 | Element mapping and rule building systems and methods for contextual site visitor engagement | MOXIE SOFTWARE, INC. |
11175801 | Interactor for a graphical object | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11175802 | Configuration object deletion manager | SAP SE |
11175803 | Remote guidance for object observation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11175804 | Deploying user interface elements on a screen | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11175805 | Controlling and displaying zones in a multi-zone system | SONOS, INC. |
11175806 | Utilizing message metadata for improving user interface presentation | SLACK TECHNOLOGIES, LLC |
11175807 | Intelligent contextual video thumbnail display | ADOBE INC. |
11175808 | Apparatus, method and article to facilitate matching of clients in a networked environment | PLENTYOFFISH MEDIA ULC |
11175809 | Detecting accessibility patterns to modify the user interface of an application | CAPITAL ONE SERVICES, LLC |
11175810 | Logical zooming of a directed graph | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11175811 | Method, apparatus, and terminal for processing notification information | HUAWEI TECHNOLOGIES CO., LTD. |
11175812 | Electronic device and control method therefor | SAMSUNG ELECTRONICS CO., LTD. |
11175813 | Smart sound box screen control method, apparatus, device and computer readable storage medium | BAIDU ONLINE NETWORK TECHNOLOGY (BEIJING) CO., LTD. |
11175814 | System and method for building and managing user experience for computer software interfaces | GENPACT LUXEMBOURG S.à R.L. II |
11175815 | Playback modification based on proximity | SONOS, INC. |
11175816 | Digital processing systems and methods for automatic user time zone updates in collaborative work systems | MONDAY.COM |
11175817 | Device, method, and graphical user interface for displaying application status information | APPLE INC. |
11175818 | Method and apparatus for controlling display of video content | SEESPACE LTD. |
11175819 | Virtual functional modules for measuring devices and equipment components | WIKA ALEXANDER WIEGAND SE & CO. KG |
11175820 | Method, device, and terminal device for extracting data | ADVANCED NEW TECHNOLOGIES CO., LTD. |
11175821 | Pressure touch method and terminal | HUAWEI TECHNOLOGIES CO., LTD. |
11175822 | Touchscreen with group filtering | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
11175823 | Method and apparatus for controlling terminal device using gesture control function, and non-transitory computer-readable storage medium | BAIDU ONLINE NETWORK TECHNOLOGY (BEIJING) CO., LTD. |
11175824 | Method for detecting ambient light intensity, storage medium and electronic device | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
11175825 | Configuration-based alert correlation in storage networks | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11175826 | Diagonal node data block matrix for adding hash-linked records and deleting arbitrary records while preserving hash-based integrity assurance | GOVERNMENT OF THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF COMMERCE |
11175827 | Determining tracks to release in a target volume mirrored from a source volume | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11175828 | Mitigating IO processing performance impacts in automated seamless migration | EMC IP HOLDING COMPANY LLC |
11175829 | Automatic identification of workloads contributing to behavioral changes in storage systems using machine learning techniques | EMC IP HOLDING COMPANY LLC |
11175830 | Storage system and data restoration method | HITACHI, LTD. |
11175831 | Read and write load sharing in a storage array via partitioned ownership of data blocks | NETAPP, INC. |
11175832 | Thread groups for pluggable database connection consolidation in NUMA environment | ORACLE INTERNATIONAL CORPORATION |
11175833 | Method for controlling a data storage device based on a user profile, and associated data storage device | ORANGE |
11175834 | Data storage device having self-destruction function | -- |
11175835 | Storage device initiating maintenance operation actively without instruction of host and electronic system including the same | SAMSUNG ELECTRONICS CO., LTD. |
11175836 | Enhanced data clock operations in memory | QUALCOMM INCORPORATED |
11175837 | Quantization of peak power for allocation to memory dice | MICRON TECHNOLOGY, INC. |
11175838 | Automatic identification of resources in contention in storage systems using machine learning techniques | EMC IP HOLDING COMPANY LLC |
11175839 | Independently configurable remapping for interconnect access requests | AMAZON TECHNOLOGIES, INC. |
11175840 | Host-based transfer of input-output operations from kernel space block device to user space block device | EMC IP HOLDING COMPANY LLC |
11175841 | Write management of flash memory | -- |
11175842 | Method and system for performing data deduplication in a data pipeline | DELL PRODUCTS L.P. |
11175843 | Data storage system with generation rollback control | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11175844 | Optimal placement of data structures in a hybrid memory based inference computing platform | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11175845 | Adding a migration file group to a hierarchical storage management (HSM) system for data co-location | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11175846 | Data co-location in a hierarchical storage management (HSM) system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11175847 | Data merging method, control circuit unit and storage device for flash memory | HEFEI CORE STORAGE ELECTRONIC LIMITED |
11175848 | Self-determination for in-progress volume draining | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11175849 | Systems and methods for defining replication of data | BANK OF AMERICA CORPORATION |
11175850 | Selective erasure of data in a SSD | TOSHIBA MEMORY CORPORATION |
11175851 | Method and system for fast, secure, and complete certification of memory status | CIGNET TECHNOLOGY, INC. |
11175852 | Storage device and operation method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11175853 | Systems and methods for write and flush support in hybrid memory | SAMSUNG ELECTRONICS CO., LTD. |
11175854 | Data processing systems | ARM LIMITED |
11175855 | Electronic device for communicating with host and operating method of the electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11175856 | Background operation selection based on host idle time | MICRON TECHNOLOGY, INC. |
11175857 | Storage device and storage management method | HITACHI, LTD. |
11175858 | Memory system control method receiving optimized buffer flush/fill (OBFF) messages over a PCIE bus | KIOXIA CORPORATION |
11175859 | Managing memory commands in a memory subsystem by adjusting a maximum number of low priority commands in a DRAM controller | MICRON TECHNOLOGY, INC. |
11175860 | Virtual memories to load operating systems | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11175862 | Computer-readable medium having program for portable terminal and information processing apparatus configured to group devices and perform setting thereof, and portable terminal and information processing apparatus for same | BROTHER KOGYO KABUSHIKI KAISHA |
11175863 | Image processing apparatus, learning apparatus, image processing method, learning method, and storage medium for estimating printing result | CANON KABUSHIKI KAISHA |
11175864 | Image forming apparatus and method for displaying image | RICOH COMPANY, LTD. |
11175865 | Information processing apparatus and non-transitory computer readable medium storing program | FUJIFILM BUSINESS INNOVATION CORP. |
11175866 | Information processing system and non-transitory computer readable medium for dynamically estimating print time for print jobs on a roll of paper | FUJIFILM BUSINESS INNOVATION CORP. |
11175867 | Information processing apparatus and computer readable medium storing information processing program | FUJIFILM BUSINESS INNOVATION CORP. |
11175868 | Electronic device, program, and control method of electronic device | SEIKO EPSON CORPORATION |
11175869 | Policy-based printing system and methods using a mobile device | KYOCERA DOCUMENT SOLUTIONS INC. |
11175870 | Private server implementation of policy for printing system having an overage status | KYOCERA DOCUMENT SOLUTIONS INC. |
11175871 | Image forming apparatus, non-transitory computer readable medium, and image forming system for receipt of print data including print settings | FUJIFILM BUSINESS INNOVATION CORP. |
11175873 | Display apparatus and controlling method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11175874 | Image display method | SK TELECOM CO., LTD. |
11175875 | Eyeglass-mounted image display device and image system | HOGY MEDICAL CO., LTD. |
11175876 | System for in-vehicle-infotainment based on dual asynchronous displays | FORD GLOBAL TECHNOLOGIES, LLC |
11175877 | Method and device for screen projection, terminal and storage medium | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11175878 | Connecting to remote access session based on proximity of mobile device | PARALLELS INTERNATIONAL GMBH |
11175879 | Information processing method, information processing device, and audio interface | YAMAHA CORPORATION |
11175880 | Systems and methods for voice-assisted media content selection | SONOS, INC. |
11175882 | Portable system for processing audio signals from multiple sources | TYXIT SA |
11175883 | Playback session transitions across different platforms | SONOS, INC. |
11175884 | Pre-caching of media | SONOS, INC. |
11175885 | Display apparatus, audio apparatus and method for controlling thereof | SAMSUNG ELECTRONICS CO., LTD. |
11175886 | Music discovery dial | SONOS, INC. |
11175887 | Methods, systems, and media for rewinding media content based on detected audio events | GOOGLE LLC |
11175888 | Media playback system with concurrent voice assistance | SONOS, INC. |
11175889 | Memory retention system | HUMAN AI LABS, INC. |
11175929 | User interfaces for enabling an activity | APPLE INC. |
11175930 | Deducing a requirement to present optional data entry fields based on examining past user input records | INTUIT, INC. |
11175931 | Automated graphical user interface configuration | AVEVA SOFTWARE, LLC |
11175933 | Application documentation effectiveness monitoring and feedback | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11175934 | Method of defining and performing dynamic user-computer interaction, computer guided navigation, and application integration for any procedure, instructions, instructional manual, or fillable form | NEXTAXIOM TECHNOLOGY, INC. |
11175936 | Dynamic I/O virtualization system having guest memory management for mapping virtual addresses in a hybrid address space | DYNAVISOR, INC. |
11175965 | Systems and methods for dynamically evaluating container compliance with a set of rules | VERIZON PATENT AND LICENSING INC. |
11175967 | Intelligent contextual grouping of notifications in an activity feed | CITRIX SYSTEMS, INC. |
11175968 | Embedding an interface of one application into an interface of another application | BANMA ZHIXING NETWORK (HONGKONG) CO., LIMITED |
11175971 | Method for serving cloud of quantum computing and computing device for executing the method | SAMSUNG SDS CO., LTD. |
11175990 | Data management platform | RUBRIK, INC. |
11175996 | Efficient deduplication database validation | COMMVAULT SYSTEMS, INC. |
11175998 | Information processing apparatus | NEC CORPORATION |
11175999 | Management of backup volume extents via a tiered storage mechanism | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11176005 | Dynamically adjusting the number of replicas of a file according to the probability that the file will be accessed within a distributed file system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11176017 | Measurement of simulated mirroring in a data storage system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11176037 | Wear leveling in a memory system | RAMBUS, INC. |
11176043 | Distributed memory-augmented neural network architecture | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11176047 | Data storage system with physical storage and cache memory | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11176048 | Data storage device, operation method thereof, and controller therefor | SK HYNIX INC. |
11176049 | Flash memory controller mechanism capable of generating host-based cache information or flash-memory-based cache information to build and optimize binary tree with fewer nodes when cache stores data from host | -- |
11176050 | Information processing device and computer-readable recording medium having stored therein cache control program | FUJITSU LIMITED |
11176059 | System, apparatus and method for page granular,software controlled multiple key memory encryption | INTEL CORPORATION |
11176065 | Extended memory interface | MICRON TECHNOLOGY, INC. |
11176089 | Systems and methods for implementing dynamic file systems | APPLE INC. |
11176094 | Dynamic file name generation for a plurality of devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11176100 | Systems and methods for fail-safe operations of storage devices | TUXERA, INC. |
11176122 | Systems, methods and architecture for updating parameters in mainframe relational databases | BANK OF AMERICA CORPORATION |
11176152 | Job matching method and system | TORRE LABS, INC. |
11176164 | Transition to an organization directory | DROPBOX, INC. |
11176191 | Search result image selection techniques | AMAZON TECHNOLOGIES, INC. |
11176193 | Search input generation for image search | ADOBE INC. |
11176215 | Navigational notifications based on filtered interests | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11176228 | Application interface display method, apparatus, and terminal, and storage medium | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
11176234 | Method for transitioning a device controller of an electronic device | FINGERPRINT CARDS AB |
11176243 | Processor extensions to protect stacks during ring transitions | INTEL CORPORATION |
11176260 | Print job initiation based upon direction of user movement | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11176261 | Policy-based printing system and methods using a code with a mobile device | KYOCERA DOCUMENT SOLUTIONS INC. |
11176315 | Comprehensive in-situ structured document annotations with simultaneous reinforcement and disambiguation | ELSEVIER INC. |
11176316 | Focused screen data field presentation for entry of data associated with an electronic form into a quick entry system | -- |
11176331 | Contextual communication and service interface | SERVICENOW, INC. |
11176346 | Enhanced under-display fingerprint sensing using angle-focused narrow field-of-view filters | APPLE INC. |
11176358 | Methods and apparatus for sharing of music or other information | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11176360 | Work skill supporting device and work skill supporting system | HITACHI, LTD. |
11176365 | Document data capture | UNITED SERVICES AUTOMOBILE ASSOCIATION |
11176376 | Augmented reality therapeutic movement display and gesture analyzer | ZIMMER US, INC. |
11176377 | Method for performing and visualizing analytics operations over data using augmented reality | OHIO STATE INNOVATION FOUNDATION |
11176415 | Assisted image annotation | FIGURE EIGHT TECHNOLOGIES, INC. |
11176448 | Enhancing processing performance of a DNN module by bandwidth control of fabric interface | MICROSOFT TECHNOLOGY LICENSING, LLC |
11176484 | Artificial intelligence system for modeling emotions elicited by videos | AMAZON TECHNOLOGIES, INC. |
11176495 | Machine learning model ensemble for computing likelihood of an entity failing to meet a target parameter | LIQUIDITY CAPITAL M. C. LTD. |
11176500 | Interactive real time system and real time method of use thereof in conveyance industry segments | TELEPORT MOBILITY, INC. |
11176507 | Reconfigurable predictive and simulative digital platform for multi-stage processing facilities | ACCENTURE GLOBAL SOLUTIONS LIMITED |
11176509 | Flexible product delivery model | DELL PRODUCTS L.P. |
11176541 | Smart card using natural language processing for originating contactless data transfer | BANK OF AMERICA CORPORATION |
11176553 | Method and system providing peer effort-based validation | INTENSITY ANALYTICS CORPORATION |
11176591 | Systems and methods for remote operation of robot vehicles | NURO, INC. |
11176600 | Method and system for building a product finder | CNET MEDIA, INC. |
11176602 | Interactive transaction system, method, and device for physical merchant stores | SHOPPER SCIENTIST LLC |
11176611 | Dynamic information configuration and display | TRADING TECHNOLOGIES INTERNATIONAL, INC. |
11176633 | Image processing apparatus, method for controlling the same, and non-transitory computer-readable storage medium | CANON KABUSHIKI KAISHA |
11176637 | Foveated rendering using eye motion | FACEBOOK TECHNOLOGIES, LLC |
11176681 | Image processing apparatus, control method for image processing apparatus, and computer program | SEIKO EPSON CORPORATION |
11176717 | Guiding protocol development for magnetic resonance thermometry | SIEMENS HEALTHCARE GMBH |
11176722 | Composing an animation scene in a computer-generated animation | DREAMWORKS ANIMATION L.L.C. |
11176726 | Techniques for displaying an animated calling card | EBAY INC. |
11176727 | Method and apparatus for acquiring a spatial map of auditory perception of a subject | INSTITUT NATIONAL DE LA SANTE ET DE LA RECHERCHE MEDICALE (INSERM) |
11176731 | Field of view (FOV) throttling of virtual reality (VR) content in a head mounted display | SONY INTERACTIVE ENTERTAINMENT INC. |
11176745 | Projection casting in virtual environments | FACEBOOK TECHNOLOGIES, LLC |
11176749 | In-vehicle display device three-dimensional image generation | DENSO CORPORATION |
11176750 | Surgeon head-mounted display apparatuses | GLOBUS MEDICAL, INC. |
11176751 | Geospatial image surfacing and selection | SNAP INC. |
11176753 | Augmented reality field of view based on sensed user data | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11176755 | Artificial reality augments and surfaces | -- |
11176756 | Augmented reality collaboration system | META VIEW, INC. |
11176757 | Mission driven virtual character for user interaction | MAGIC LEAP, INC. |
11176759 | Computer-implemented method of displaying a subset of a digitally modeled assembly of objects | DASSAULT SYSTEMES |
11176809 | Mobile computing device for use in controlling wirelessly controlled vehicles | -- |
11176817 | Guiding signs system and information processing method thereof | BEIJING |
11176842 | Information processing apparatus, method and non-transitory computer-readable storage medium | FUJITSU LIMITED |
11176855 | Floating control device, operation method of floating control device and interactive display system | -- |
11176865 | Electronic device, display apparatus, and control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11176896 | Multi-layer display device and method for driving the same | LG DISPLAY CO., LTD. |
11176910 | Smartphone providing radar-based proxemic context | GOOGLE LLC |
11176923 | System and method for noise cancellation | RINGCENTRAL, INC. |
11176930 | Storing audio commands for time-delayed execution | AMAZON TECHNOLOGIES, INC. |
11176934 | Language switching on a speech interface device | AMAZON TECHNOLOGIES, INC. |
11176935 | System and method for controlling devices through voice interaction | WIPRO LIMITED |
11176941 | System and method for interpreting data transfer from a voice recognition front end | CONNECTED LIVING TECHNOLOGY, LLC |
11176945 | Healthcare systems and methods using voice inputs | CES ADVANCEMENTS, LLC |
11176948 | Agent device, agent presentation method, and storage medium | HONDA MOTOR CO., LTD. |
11176966 | Systems and methods for generating and presenting virtual experiences | DISH TECHNOLOGIES L.L.C. |
11176990 | System, apparatus and method for segmenting a memory array | INTEL CORPORATION |
11177006 | Memory system with dynamic calibration using a trim management mechanism | MICRON TECHNOLOGY, INC. |
11177008 | Semiconductor storage device and memory system in which control circuit of the semiconductor storage device executes calibration operation according to timing at which data is read from another semiconductor storage device | KIOXIA CORPORATION |
11177034 | Medical scan natural language analysis system | ENLITIC, INC. |
11177035 | Systems and methods for matching, naming, and displaying medical images | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177093 | Touch-sensitive mechanical keyboard with sensing circuits for touch events and key depression events | APPLE INC. |
11177269 | Memory arrays and methods used in forming a memory array | MICRON TECHNOLOGY, INC. |
11177323 | Display device and manufacturing method thereof | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11177328 | Touch panel | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11177329 | Viewing angle color shift control | APPLE INC. |
11177340 | Display device and method for manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11177557 | Electronic device comprising communication device including sensing electrode | SAMSUNG ELECTRONICS CO., LTD. |
11177804 | Wearable touch sensitive garment | SANKO TEKSTIL ISLETMELERI SAN. VE TIC. A.S. |
11177835 | Data storage device | SK HYNIX INC. |
11177851 | Audio synchronization of a dumb speaker and a smart speaker using a spread code | ROKU, INC. |
11177942 | Security through data scattering | PALO ALTO |
11178075 | Relay device | DENSO CORPORATION |
11178082 | Smart communications assistant with audio interface | MICROSOFT TECHNOLOGY LICENSING, LLC |
11178090 | Thread visualization tool for electronic communication documents | RELATIVITY ODA LLC |
11178135 | Partial pattern recognition in a stream of symbols | MICROSOFT TECHNOLOGY LICENSING, LLC |
11178180 | Risk analysis and access activity categorization across multiple data structures for use in network security mechanisms | EMC IP HOLDING COMPANY LLC |
11178182 | Automated access control management for computing systems | SAILPOINT TECHNOLOGIES, INC. |
11178196 | Collaboratively controlling display of information across multiple displays | POLYCOM, INC. |
11178214 | Device and method for transmitting electronic key thereof | SAMSUNG ELECTRONICS CO., LTD. |
11178248 | Intent-based calendar updating via digital personal assistant | MICROSOFT TECHNOLOGY LICENSING, LLC |
11178259 | Methods and apparatus for regulating networking traffic in bursty system conditions | APPLE INC. |
11178260 | Methods and apparatus for dynamic packet pool configuration in networking stack infrastructures | APPLE INC. |
11178265 | Cover member and information device | NIPPON ELECTRIC GLASS CO., LTD. |
11178267 | Managing accessibility features for mobile device | MICRON TECHNOLOGY, INC. |
11178271 | Quiet hours for notifications | APPLE INC. |
11178280 | Input during conversational session | LENOVO (SINGAPORE) PTE. LTD. |
11178291 | Electronic album apparatus, and operation method and operation program for the same | FUJIFILM CORPORATION |
11178293 | Mobile terminal, storage medium and control method to remotely operate an information processing apparatus | SHARP KABUSHIKI KAISHA |
11178298 | Information processing apparatus and non-transitory computer readable medium | FUJIFILM BUSINESS INNOVATION CORP. |
11178303 | Display control apparatus, display control method, and system | CANON KABUSHIKI KAISHA |
11178306 | Printing apparatus, system, control method of printing apparatus, and storage medium | CANON KABUSHIKI KAISHA |
11178320 | Electronic device including electronic component disposed through display | SAMSUNG ELECTRONICS CO., LTD. |
11178325 | Image capturing control apparatus that issues a notification when focus detecting region is outside non-blur region, control method, and storage medium | CANON KABUSHIKI KAISHA |
11178327 | Electronic apparatus, control method for electronic apparatus, and non-transitory computer readable medium | CANON KABUSHIKI KAISHA |
11178334 | Electronic device to control screen property based on distance between pen input device and electronic device and method of controlling same | SAMSUNG ELECTRONICS CO., LTD. |
11178335 | Creative camera | APPLE INC. |
11178344 | Head-mounted display apparatus, display system, and method of controlling head-mounted display apparatus | SEIKO EPSON CORPORATION |
11178361 | Virtual window for teleconferencing | FORD GLOBAL TECHNOLOGIES, LLC |
11178362 | Monitoring device, monitoring method and storage medium | PANASONIC I-PRO SENSING SOLUTIONS CO., LTD. |
11178373 | Adaptive resolution of point cloud and viewpoint prediction for video streaming in computing environments | INTEL CORPORATION |
11178389 | Self-calibrating display device | MICROSOFT TECHNOLOGY LICENSING, LLC |
11178432 | Multi-use fog device | INTEL CORPORATION |
11178441 | Configuration of playback device audio settings | SONOS, INC. |
11178457 | Interactive music creation and playback method and system | BERGEN |
11178458 | Enabling programming of recordings | VERIZON PATENT AND LICENSING INC. |
11178477 | Acoustic output apparatus with a plurality of acoustic drivers and methods thereof | SHENZHEN VOXTECH CO., LTD. |
11178478 | Determining a temperature value by analyzing audio | MOBILE PHYSICS LTD. |
11178499 | Systems and methods for remote administration of hearing tests | ALPACA GROUP HOLDINGS, LLC |
11178504 | Wireless multi-channel headphone systems and methods | SONOS, INC. |
11178528 | Media content control of source devices on sink devices | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11178607 | Method of deleting SSID of access point stored in information processing apparatus | CANON KABUSHIKI KAISHA |
11178967 | Method and apparatus for articulated storage | -- |
11179053 | Graphical user interfaces (GUI), methods and apparatus for data presentation | DILON MEDICAL TECHNOLOGIES LTD. |
11179090 | Control method and device based on brain signal, and human-computer interaction device | BOE TECHNOLOGY GROUP CO., LTD. |
11179104 | Method of manufacturing mouth guard having internal components for sensing impact forces | FORCE IMPACT TECHNOLOGIES, INC. |
11179138 | Tablet ultrasound system | TERATECH CORPORATION |
11179151 | Surgical instrument comprising a display | CILAG GMBH INTERNATIONAL |
11179152 | Surgical instrument comprising a tissue grasping system | CILAG GMBH INTERNATIONAL |
11179619 | Assistant coach system | -- |
11179640 | Systems and methods for fractional ownership of user-generated content within an online gaming platform | MYTHICAL, INC. |
11179856 | User-assisted robotic control systems | SOFT ROBOTICS, INC. |
11179915 | Touch panel electrode comprising two or more first electrode patterns, and two or more second electrode patterns, touch panel, and display device | FUJIFILM CORPORATION |
11179958 | Printed matter, printed-matter management device, information output system, and page identification method for printed matter | TOPPAN PRINTING, CO., LTD. |
11180585 | Film touch sensor and structure including the same | DONGWOO FINE-CHEM CO., LTD. |
11181317 | Touch sensing apparatus for metal panel including display window with through-holes and touch part, home appliance having metal panel and touch sensing apparatus, and method for controlling the same | LG ELECTRONICS INC. |
11181376 | Information processing device and information processing method | SONY CORPORATION |
11181388 | Hierarchy of tools for navigation | APPLE INC. |
11181389 | Apparatus and system for guiding driving with linguistic description of destination | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
11181739 | Method for operating a mobile virtual reality system in a motor vehicle, and mobile virtual reality system | AUDI AG |
11181740 | Digital eyewear procedures related to dry eyes | PERCEPT TECHNOLOGIES INC |
11181744 | Display control apparatus, display control method, and display control program | SONY INTERACTIVE ENTERTAINMENT INC. |
11181746 | Compact eye-tracked head-mounted display | ARIZONA BOARD OF REGENTS ON BEHALF OF THE UNIVERSITY OF ARIZONA |
11181747 | Wearable pupil-forming display apparatus | RAYTRX, LLC |
11181763 | Display device | SAMSUNG DISPLAY CO., LTD. |
11181764 | Display panel | -- |
11181787 | Touch apparatus | -- |
11181936 | Systems and methods for providing augmented reality-like interface for the management and maintenance of building systems | CROWDCOMFORT, INC. |
11181944 | Input device and information processing apparatus | LENOVO (SINGAPORE) PTE. LTD. |
11181945 | Display panel and display device | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD |
11181949 | Retractable keyboards | APPLE INC. |
11181951 | Information device system and input device | LENOVO (SINGAPORE) PTE. LTD. |
11181965 | Image forming apparatus, method for controlling image forming apparatus, and storage medium | CANON KABUSHIKI KAISHA |
11181968 | Method and apparatus for running application program | HUAWEI TECHNOLOGIES CO., LTD. |
11181972 | Image processing apparatus, image processing method, and program | SONY INTERACTIVE ENTERTAINMENT INC. |
11181973 | Techniques related to configuring a display device | APPLE INC. |
11181974 | Visual tracking of peripheral devices | MAGIC LEAP, INC. |
11181975 | Field of view movement variation-based security profile assignment to physical assets at a location | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11181976 | Perception based predictive tracking for head mounted displays | FACEBOOK TECHNOLOGIES, LLC |
11181977 | Slippage compensation in eye tracking | DOLBY LABORATORIES LICENSING CORPORATION |
11181978 | System and method for gaze estimation | HEMY8 SA |
11181979 | Sensor-based eye-tracking using a holographic optical element | AVEGANT CORP. |
11181980 | Natural human-computer interaction for virtual personal assistant systems | INTEL CORPORATION |
11181981 | Method and apparatus for entraining signals | INTERCHANGE LABORATORIES, INC. |
11181982 | Repetitive stress and compulsive anxiety prevention system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11181983 | Touch-screen control device with haptic feedback | VESTEL ELEKTRONIK SANAYI VE TICARET A.S. |
11181984 | Virtual reality input and haptic feedback system | -- |
11181985 | Dynamic user interactions for display control | ULTRAHAPTICS IP TWO LIMITED |
11181986 | Context-sensitive hand interaction | GOOGLE LLC |
11181987 | Vision sensor system | MOTHERSON INNOVATIONS COMPANY LIMITED |
11181988 | Incorporating user feedback into text prediction models via joint reward planning | APPLE INC. |
11181989 | Method performed by system including touch IC and external processor | WACOM CO., LTD. |
11181990 | Spectator view tracking of virtual reality (VR) user in VR environments | SONY INTERACTIVE ENTERTAINMENT INC. |
11181991 | System and method for measuring position and orientation of a rigid body | HILTI AKTIENGESELLSCHAFT |
11181992 | Input processing for computing devices with on-screen and off-screen inputs | INTEL CORPORATION |
11181993 | Operation knob device | JAPAN DISPLAY LTD. |
11181994 | Transmitter and controlling method thereof | -- |
11181995 | Traceable optical device | -- |
11181996 | Pen | WACOM CO., LTD. |
11181998 | Transparent conductive film | TOYOBO CO., LTD. |
11181999 | Touch panel, touch module and method for detecting crack in touch panel | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11182000 | Smartphone | HIDEEP INC. |
11182001 | Touch display driving integrated circuit, operation method of the same, and touch display device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11182002 | Infrared touch display device and method of fabricating same | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11182003 | Touch sensor with high sensitivity and display device having the same | SAMSUNG DISPLAY CO., LTD. |
11182004 | Changing sensing areas based on start positions of touch gestures | SEIKO EPSON CORPORATION |
11182005 | Touch sensor and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11182006 | Illuminated touch panel and backlight assembly thereof | -- |
11182007 | Input sensing unit and display apparatus including the same | SAMSUNG DISPLAY CO., LTD. |
11182008 | Liquid crystal display panel, manufacturing method thereof and display device | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
11182009 | Touch sensor assembly and method of manufacturing same | LG ELECTRONICS INC. |
11182011 | Touch surface with hybrid touch detection | THALES |
11182012 | Display device and portable device having the same | SAMSUNG DISPLAY CO., LTD. |
11182013 | Display device with touch panel, and operation determination method thereof | MITSUBISHI ELECTRIC CORPORATION |
11182014 | Touch control auxiliary apparatus, protective casing, touch screen and mobile terminal | BOE TECHNOLOGY GROUP CO., LTD. |
11182015 | Organic light emitting display with touch sensor | LG DISPLAY CO., LTD. |
11182016 | Sensor panel for detecting stylus signal sent from stylus | WACOM CO., LTD. |
11182017 | Devices and methods for processing touch inputs based on their intensities | APPLE INC. |
11182018 | Touch display driving device and driving method in the same | -- |
11182019 | Touch scanning method | -- |
11182020 | Position detection device, electronic device equipped with same, and position detection method | SHARP KABUSHIKI KAISHA |
11182021 | Electronic apparatus having a sensing unit to input a user command and a method thereof | -- |
11182022 | Coordinate detection method, coordinate detection program, and coordinate detection system | SHARP NEC DISPLAY SOLUTIONS, LTD. |
11182023 | Dynamic touch quarantine frames | FLATFROG LABORATORIES AB |
11182024 | Touch substrate, driving method, manufacturing method, touch assembly and touch display device | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
11182026 | Temperature and ultrasonic sensor fusion for biometric sensing | QUALCOMM INCORPORATED |
11182027 | Convertible fabric covering device | -- |
11182028 | Sensing coil component and switching operation sensing device including the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11182029 | Smart interactive tablet and driving method thereof | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
11182030 | Toys with capacitive touch features | KIDS II HAPE JOINT VENTURE LIMITED |
11182031 | Display device | LG DISPLAY CO., LTD. |
11182032 | Touch sensor module, window stack structure including the same and image display device including the same | DONGWOO FINE-CHEM CO., LTD. |
11182033 | Display device | SAMSUNG DISPLAY CO., LTD. |
11182034 | Input device, display device, and electronic device | JAPAN DISPLAY INC. |
11182035 | Capacitive hover touch sensor, device, and electronic equipment | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
11182036 | Position, tilt, and twist detection for stylus | MICROSOFT TECHNOLOGY LICENSING, LLC |
11182037 | Display device | SAMSUNG DISPLAY CO., LTD. |
11182038 | Encoded data pattern touchscreen sensing system | SIGMASENSE, LLC. |
11182039 | Touch panel | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11182040 | Information processing device, information processing method, and program for controlling behavior of an application based on association information | SONY CORPORATION |
11182041 | Meta-configuration of profiles | ASPIRATION INNOVATION, INC. |
11182042 | Application input and feedback system | SAP SE |
11182043 | Interactive virtual interface | ANDURIL INDUSTRIES INC. |
11182044 | Device, method, and graphical user interface for manipulating 3D objects on a 2D screen | APPLE INC. |
11182045 | Modifying application icons based on usage data of the applications | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11182046 | Drag move gesture in user interface | Z124 |
11182047 | Building management system with fault detection and diagnostics visualization | JOHNSON CONTROLS TECHNOLOGY COMPANY |
11182048 | Scoped view of file tree | MICROSOFT TECHNOLOGY LICENSING, LLC |
11182049 | Guided drilldown framework for computer-implemented task definition | SAP SE |
11182050 | Information processing apparatus, image forming apparatus, and non-transitory computer readable medium | FUJIFILM BUSINESS INNOVATION CORP. |
11182051 | Instant communication message prompting method and instant communication client | ALIBABA GROUP HOLDING LIMITED |
11182052 | Mobile terminal performing method of registering and searching recipe of beer brewed by beer maker and recording medium recording program performing the method | LG ELECTRONICS INC. |
11182053 | Active path menu navigation system | -- |
11182054 | Web-browser plugin for consolidating requested disaggregated information | COUPANG CORP. |
11182055 | Interactive messaging stickers | SNAP INC. |
11182056 | Application navigation | SALESFORCE.COM, INC. |
11182057 | User simulation for model initialization | APPLE INC. |
11182058 | Knowledge management systems and methods | ATLASSIAN PTY LTD. |
11182059 | User interface system for vehicle and method therefor | HYUNDAI MOTOR COMPANY |
11182060 | Networked touchscreen with integrated interfaces | ICONTROL NETWORKS, INC. |
11182061 | Display control method, recording medium storing program, terminal | LINE CORPORATION |
11182062 | Touch panel device | MITSUBISHI ELECTRIC CORPORATION |
11182064 | Information processing apparatus performing control on drag operation | FUJIFILM BUSINESS INNOVATION CORP. |
11182065 | Method and apparatus for pushing information | ADVANCED NEW TECHNOLOGIES CO., LTD. |
11182066 | Electronic device using auxiliary input device and operating method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11182067 | Interactive display overlay systems and related methods | PROMETHEAN LIMITED |
11182068 | Method and system for interacting with a touch screen | VERIZON PATENT AND LICENSING INC. |
11182069 | Managing real-time handwriting recognition | APPLE INC. |
11182070 | Method for displaying graphical user interface based on gesture and electronic device | HUAWEI TECHNOLOGIES CO., LTD. |
11182071 | Apparatus and method for providing function associated with keyboard layout | SAMSUNG ELECTRONICS CO., LTD. |
11182072 | Touch screen, a vehicle having the same, and a method of controlling the vehicle | HYUNDAI MOTOR COMPANY |
11182073 | Selection on user interface based on cursor gestures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11182074 | Apparatuses and methods for concurrently accessing multiple memory planes of a memory during a memory access operation | MICRON TECHNOLOGY, INC. |
11182075 | Storage system having cross node data redundancy and method and computer readable medium for same | OPEN INVENTION NETWORK LLC |
11182076 | Managing unequal network shared disks (NSD) in a computer network | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11182077 | Systems, devices and methods using a solid state device as a caching medium with an SSD filtering or SSD pre-fetch algorithm | AMZETTA TECHNOLOGIES, LLC |
11182078 | Method of accessing a data storage device using a multi-access command | SAMSUNG ELECTRONICS CO., LTD. |
11182079 | Extended utilization area for a memory device | MEMORY TECHNOLOGIES LLC |
11182080 | Adaptive file storage method and apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
11182081 | Performing a recovery copy command to restore a safeguarded copy backup to a production volume | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11182082 | Monitoring and sharing registry states | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11182083 | Bloom filters in a flash memory | EMC IP HOLDING COMPANY LLC |
11182084 | Restorable memory allocator | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11182085 | Memory array accessibility | MICRON TECHNOLOGY, INC. |
11182086 | Method and system for application-based management of user data storage rights | CIGNET TECHNOLOGY, INC. |
11182087 | Modifying write performance to prolong life of a physical memory device | MICRON TECHNOLOGY, INC. |
11182088 | Operation method of a controller | SK HYNIX INC. |
11182089 | Adapting memory block pool sizes using hybrid controllers | INTERNATIONAL BUSINESS MACHINES.CORPORATION |
11182090 | Systems, devices, and methods for data migration | MICRON TECHNOLOGY, INC. |
11182091 | Multi-stream journaled replay | WESTERN DIGITAL TECHNOLOGIES, INC. |
11182092 | PRI overhead reduction for virtual machine migration | RED HAT, INC. |
11182093 | Index lifecycle management | ELASTICSEARCH B.V. |
11182094 | Performing a recovery copy command using a recovery copy data structure for a backup volume lookup | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11182095 | Rapid volume backup generation from distributed replica | AMAZON TECHNOLOGIES, INC. |
11182096 | Data storage system with configurable durability | AMAZON TECHNOLOGIES, INC. |
11182097 | Logical deletions in append only storage devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11182098 | Optimization for real-time, parallel execution of models for extracting high-value information from data streams | TARGET BRANDS, INC. |
11182099 | Memory system and operating method thereof | SK HYNIX INC. |
11182100 | SSD temperature control technique | INTEL CORPORATION |
11182101 | Storage system and method for stream management in a multi-host virtualized storage system | WESTERN DIGITAL TECHNOLOGIES, INC. |
11182102 | Host inquiry response generation in a memory device | MICRON TECHNOLOGY, INC. |
11182103 | Dedicated communications cache | AMAZON TECHNOLOGIES, INC. |
11182104 | Method of operating storage device with a plurality of streams using a data classifier, storage device performing the same and storage system including the same | SAMSUNG ELECTRONICS CO., LTD. |
11182105 | Storage devices, storage systems including storage devices, and methods of accessing storage devices | SAMSUNG ELECTRONICS CO., LTD. |
11182106 | Refresh circuit for use with integrated circuits | ARM LIMITED |
11182107 | Selective allocation of redundant data blocks to background operations | WESTERN DIGITAL TECHNOLOGIES, INC. |
11182108 | Memory system, memory controller, and operation method | SK HYNIX INC. |
11182109 | Data storage device operating based on virtual address, operating method thereof, and controller therefor | SK HYNIX INC. |
11182110 | On-chip memory block circuit | XILINX, INC. |
11182111 | Job processing apparatus that displays job history screen, control method for job processing apparatus, and non-transitory computer-readable storage medium | CANON KABUSHIKI KAISHA |
11182112 | Methods and systems for creating ICC printer profiles with unorthodox ink limits | KYOCERA DOCUMENT SOLUTIONS INC. |
11182113 | Ink deposition monitoring mechanism | RICOH COMPANY, LTD. |
11182114 | System and method for automatic on-boarding of printers in a printer management system | PALO ALTO RESEARCH CENTER INCORPORATED |
11182115 | Client terminal, information processing system, and group change method | RICOH COMPANY, LTD. |
11182116 | Information processing apparatus and non-transitory computer readable medium | FUJIFILM BUSINESS INNOVATION CORP. |
11182117 | Information processing apparatus, method, and non-transitory computer readable medium storing information processing program | FUJIFILM BUSINESS INNOVATION CORP. |
11182118 | Image forming apparatus, control method for the same, image forming system and storage medium | CANON KABUSHIKI KAISHA |
11182119 | Cadence-based selection, playback, and transition between song versions | SPOTIFY AB |
11182121 | Navigating an information hierarchy using a mobile communication device | GULA CONSULTING LIMITED LIABILITY COMPANY |
11182122 | Voice control of computing devices | AMAZON TECHNOLOGIES, INC. |
11182123 | User-interface system for a laundry appliance | WHIRLPOOL CORPORATION |
11182124 | Execution of voice commands by selected devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11182135 | User interface design update automation | SALESFORCE.COM, INC. |
11182136 | Control bar for element activation | EBAY INC. |
11182178 | Detection of user interface controls via invariance guided sub-control learning | AUTOMATION ANYWHERE, INC. |
11182199 | Signal processor and signal processing method | YAMAHA CORPORATION |
11182212 | Systems, methods, and interfaces for vector input/output operations | SANDISK TECHNOLOGIES LLC |
11182228 | System and method for remote procedure call for key-value target over non-volatile memory express over fabrics | SAMSUNG ELECTRONICS CO., LTD. |
11182247 | Encoding and storage node repairing method for minimum storage regenerating codes for distributed storage systems | CLOUD STORAGE, INC. |
11182248 | Methods and apparatus to assign indices and relocate object fragments in distributed storage systems | INTEL CORPORATION |
11182284 | Memory module having volatile and non-volatile memory subsystems and method of operation | NETLIST, INC. |
11182285 | Memory system which stores a plurality of write data grouped into a transaction | SK HYNIX INC. |
11182287 | Memory system and garbage collection control method | KIOXIA CORPORATION |
11182291 | Using multi-tiered cache to satisfy input/output requests | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11182301 | Storage devices including a plurality of planes and methods of operating the storage devices | SAMSUNG ELECTRONICS CO., LTD. |
11182308 | Semiconductor device with secure access key and associated methods and systems | MICRON TECHNOLOGY, INC. |
11182323 | Auto-switching communication interface | CYPRESS SEMICONDUCTOR CORPORATION |
11182382 | Integrated object environment system and method | AMERICAN INTERNATIONAL GROUP, INC. |
11182385 | Sorting data for storage in a computing entity | OCIENT INC. |
11182394 | Performing database file management using statistics maintenance and column similarity | BANK OF AMERICA CORPORATION |
11182420 | Media playback queuing for playback management | APPLE INC. |
11182425 | Audio processing method, server, user equipment, and system | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
11182439 | Automatic data capture of desired data fields and generation of metadata based on captured data fields | RICOH COMPANY, LTD. |
11182446 | Methods and systems for personalized, zero-input suggestions based on semi-supervised activity clusters | MICROSOFT TECHNOLOGY LICENSING, LLC |
11182450 | Digital multimedia pinpoint bookmark device, method, and system | ENEMY TREE LLC |
11182452 | Web acceleration via learning | HUGHES NETWORK SYSTEMS, LLC |
11182461 | Apparatus, systems, and method for determining authentication | LENOVO (SINGAPORE) PTE. LTD. |
11182465 | Augmented reality authentication methods and systems | -- |
11182467 | Non fungible token display system and method | -- |
11182490 | Obfuscation of user content in user data files | MICROSOFT TECHNOLOGY LICENSING, LLC |
11182492 | Secure portable data apparatus | -- |
11182506 | Intelligent platform | DEVICEBOOK INC. |
11182535 | Configuring a page for drag and drop arrangement of content artifacts in a page development tool | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11182541 | Collaborative document creation by a plurality of distinct teams | NEXWRITER LIMITED |
11182547 | Automated form generation system | ADOBE INC. |
11182553 | Method, program, and information processing apparatus for presenting correction candidates in voice input system | FUJITSU LIMITED |
11182565 | Method to learn personalized intents | SAMSUNG ELECTRONICS CO., LTD. |
11182580 | Fingertip identification for gesture control | UMA JIN LIMITED |
11182581 | Touch panel, manufacturing method thereof and display device | KUNSHAN GO-VISIONOX OPTO-ELECTRONICS CO., LTD. |
11182582 | Touch panel having sensing electrodes connected to auxiliary wiring and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11182583 | Display panels and display devices | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
11182587 | Ultrasonic fingerprint sensor with low-frequency vibration source | QUALCOMM INCORPORATED |
11182597 | Systems and methods for evaluating individual, group, and crowd emotion engagement and attention | BOARD OF REGENTS, THE UNIVERSITY OF TEXAS SYSTEMS |
11182641 | Automated pixel shifting within a video file | -- |
11182667 | Minimizing memory reads and increasing performance by leveraging aligned blob data in a processing unit of a neural network environment | MICROSOFT TECHNOLOGY LICENSING, LLC |
11182670 | Thin-film large-area classifier | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11182685 | Interactions with virtual objects for machine control | ULTRAHAPTICS IP TWO LIMITED |
11182697 | GUI for interacting with analytics provided by machine-learning services | STATE FARM MUTUAL AUTOMOBILE INSURANCE COMPANY |
11182698 | Training behavior of an agent | MICROSOFT TECHNOLOGY LICENSING, LLC |
11182709 | Interactive real time system and real time method of use thereof in conveyance industry segments | TELEPORT MOBILITY, INC. |
11182710 | Predictive data objects | PALANTIR TECHNOLOGIES INC. |
11182720 | Relationships among technology assets and services and the entities responsible for them | BITSIGHT TECHNOLOGIES, INC. |
11182762 | Synchronizing open ticket functionality with kitchen display systems | SQUARE, INC. |
11182799 | Network usage detection and presentation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11182818 | Computer system for identifying aberrant activity on a reward card platform | TANGO CARD, INC. |
11182836 | Gift card ordering system and method | E2INTERACTIVE, INC. |
11182850 | User interface for interfacing with human users | WELLS FARGO BANK, N.A. |
11182936 | Drawing content processing method and device for terminal apparatus, and terminal apparatus | SAMSUNG ELECTRONICS CO., LTD. |
11182937 | Cohesive manipulation of bezier handles | ADOBE INC. |
11182940 | Information processing device, information processing method, and program | SONY CORPORATION |
11182941 | Aligning objects with text | ADOBE INC. |
11182945 | Automatically generating an animatable object from various types of user input | DIDIMO, INC. |
11182946 | Motion management via conductive threads embedded in clothing material | TURINGSENSE INC. |
11182960 | System for mixing or compositing in real-time, computer generated 3D objects and a video feed from a film camera | NCAM TECHNOLOGIES LIMITED |
11182962 | Method and system for object segmentation in a mixed reality environment | LOGITECH EUROPE S.A. |
11182965 | Extended reality markers for enhancing social engagement | AT&T INTELLECTUAL PROPERTY I, L.P. |
11182966 | System for collaboration of augmented reality events | AT&T INTELLECTUAL PROPERTY I, L.P. |
11182967 | Devices, computer-readable media, and systems for augmented reality of available resources | MASTERCARD INTERNATIONAL INCORPORATED |
11182968 | Electronic device and control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11182969 | Spatial localization using augmented reality | EMBRAER S.A. |
11182970 | Augmented reality aircraft window and method | ROCKWELL COLLINS, INC. |
11182971 | Augmented reality system and methods for indicating movement or status of a number of vehicles within an environment | THE BOEING COMPANY |
11182975 | Method for providing scale to align 3D objects in 2D environment | ATHEER, INC. |
11182976 | Device for influencing virtual objects of augmented reality | DEVAR ENTERTAINMENT LIMITED |
11182996 | Belt type barricade and automatic control system capable of improving operation efficiency of belt type barricade | LG ELECTRONICS INC. |
11182998 | Virtual queue system and method | UNIVERSAL CITY STUDIOS LLC |
11183083 | Cryptographic device and memory based PUF | INTRINSIC ID B.V. |
11183092 | Capacitance-type touch panel device and operation method for same | INDUSTRY-UNIVERSITY COOPERATION FOUNDATION HANYANG UNIVERSITY |
11183096 | Driving system and method of touch display panel | -- |
11183104 | Method and apparatus for colour imaging | DISPLAYLINK (UK) LIMITED |
11183105 | Display panel and device, image processing method and device, and virtual reality system | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11183106 | Display device | SAMSUNG DISPLAY CO., LTD. |
11183140 | Human relationship-aware augmented display | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11183141 | Display device and method for controlling same | WACOM CO., LTD. |
11183144 | Method for controlling display device, and display device | SEIKO EPSON CORPORATION |
11183149 | Region-by-region illumination control at display device based on per-region motion estimation | ATI TECHNOLOGIES ULC |
11183150 | Foveated illumination control at display device | ATI TECHNOLOGIES ULC |
11183151 | Display apparatus and controlling method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11183181 | Systems and methods of multiple voice services | SONOS, INC. |
11183183 | Systems and methods of operating media playback systems having multiple voice assistant services | SONOS, INC. |
11183184 | Method of configuring laundry course based on speech recognition using artificial intelligence and device of implementing thereof | LG ELECTRONICS INC. |
11183185 | Time-based visual targeting for voice commands | MICROSOFT TECHNOLOGY LICENSING, LLC |
11183188 | Voice assistant-enabled web application or web page | MICROSOFT TECHNOLOGY LICENSING, LLC |
11183189 | Information processing apparatus and information processing method for controlling display of a user interface to indicate a state of recognition | SONY CORPORATION |
11183191 | Information processing apparatus and non-transitory computer readable medium | FUJIFILM BUSINESS INNOVATION CORP. |
11183192 | Systems, methods, and computer-readable storage device for generating notes for a meeting based on participant actions and machine learning | MICROSOFT TECHNOLOGY LICENSING, LLC |
11183238 | Suppressing outlier drift coefficients while programming phase change memory synapses | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11183250 | Memory controller, memory device and memory system having improved threshold voltage distribution characteristics and related operating methods | SAMSUNG ELECTRONICS CO., LTD. |
11183264 | Program and operating methods of nonvolatile memory device | SAMSUNG ELECTRONICS CO., LTD. |
11183266 | Apparatuses and methods for repairing defective memory cells based on a specified error rate for certain memory cells | MICRON TECHNOLOGY, INC. |
11183268 | Genomic network service user interface | HELIX OPCO, LLC |
11183279 | Method and apparatus for a treatment timeline user interface | TOPCON HEALTHCARE SOLUTIONS, INC. |
11183289 | Fitness activity related messaging | FITBIT INC. |
11183307 | Crowd-sourced text annotation system for use by information extraction applications | KONINKLIJKE PHILIPS N.V. |
11183543 | Display device | SAMSUNG DISPLAY CO., LTD. |
11183553 | Organic light-emitting display device with sensing lines partially overlapping a common electrode | SAMSUNG DISPLAY CO., LTD. |
11183555 | Display device | SAMSUNG DISPLAY CO., LTD. |
11183667 | Display device | SAMSUNG DISPLAY CO., LTD. |
11183865 | Wireless charging mousepad structure and processes | -- |
11184021 | Using predicates in conditional transcoder for column store | SAMSUNG ELECTRONICS CO., LTD. |
11184033 | Data storage device | SK HYNIX INC. |
11184168 | Method for storing data on a storage entity | NEC CORPORATION |
11184197 | Burst-tolerant decision feedback equalization | RAMBUS INC. |
11184246 | Device differentiation for electronic workspaces | AMAZON TECHNOLOGIES, INC. |
11184249 | Declarative and reactive data layer for component-based user interfaces | SALESFORCE.COM, INC. |
11184261 | Techniques to configure physical compute resources for workloads via circuit switching | INTEL CORPORATION |
11184294 | Methods and systems for managing multiple content delivery networks | CAPITAL ONE SERVICES, LLC |
11184309 | Methods, systems, and media for presenting a reminder to view content | GOOGLE LLC |
11184314 | Method and apparatus for prompting message reading state, and electronic device | TIANJIN BYTEDANCE TECHNOLOGY CO., LTD. |
11184322 | Communication protocols in integrated systems | ICONTROL NETWORKS, INC. |
11184345 | Workflow service back end integration | VMWARE, INC. |
11184346 | Secure asymmetric key application data sharing | VMWARE, INC. |
11184400 | Authorizations associated with externally shared communication resources | SLACK TECHNOLOGIES, INC. |
11184422 | Industrial controller system and interactive graphical user interfaces related thereto | SAMSARA INC. |
11184435 | Message transmission method and apparatus in cluster file system | HUAWEI TECHNOLOGIES CO., LTD. |
11184472 | Electronic device case | -- |
11184482 | Inferring relationships between call information and CRM data objects | TALKDESK, INC. |
11184487 | Image forming apparatus | CANON KABUSHIKI KAISHA |
11184493 | Information processing system, information processing method, and apparatus for extending a workflow | RICOH COMPANY, LTD. |
11184494 | Information processing apparatus, method, and recording medium | RICOH COMPANY, LTD. |
11184502 | Communication system, reception terminal, communication method, and recording medium | OLYMPUS CORPORATION |
11184503 | Communication apparatus and printer for performing wireless communication of image data representing image of print target | BROTHER KOGYO KABUSHIKI KAISHA |
11184506 | Image processing system, control method, and storage medium | CANON KABUSHIKI KAISHA |
11184526 | Electronic apparatus and control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11184532 | Panorama centering user interface for camera | QUALCOMM INCORPORATED |
11184544 | Display control apparatus, imaging system, control method, and recording medium for displaying an image and an indicator in a screen including a first region and a second region | CANON KABUSHIKI KAISHA |
11184574 | Representing real-world objects with a virtual reality environment | FACEBOOK, INC. |
11184597 | Information processing device, image generation method, and head-mounted display | SONY INTERACTIVE ENTERTAINMENT INC. |
11184644 | Weighted runlength encoding | MODDABLE, INC. |
11184645 | Temporary configuration of a media playback system within a place of accommodation | SONOS, INC. |
11184660 | Voice-based state switching and LED selection for remote control devices | AMAZON TECHNOLOGIES, INC. |
11184663 | Platform for publishing graphics to air | TURNER BROADCASTING SYSTEM, INC. |
11184664 | Interactive video distribution system and video player utilizing a client server architecture | SCORPCAST, LLC |
11184666 | Access control techniques for media playback systems | SONOS, INC. |
11184704 | Music service selection | SONOS, INC. |
11184708 | Systems, methods, and user interfaces for headphone fit adjustment and audio output control | APPLE INC. |
11184711 | Privacy device for mobile devices | -- |
11184721 | Playback device control | SONOS, INC. |
11184722 | Controlling multi-site media playback systems | SONOS, INC. |
11184754 | Data sharing method and terminal | HUAWEI TECHNOLOGIES CO., LTD. |
11184969 | Contextualization of voice inputs | SONOS, INC. |
11184970 | Multiple location load control system | LUTRON TECHNOLOGY COMPANY LLC |
11184980 | Foldable electronic device including integrated ground structure | SAMSUNG ELECTRONICS CO., LTD. |
11184985 | Method of manufacturing touch structure and touch structure | BOE TECHNOLOGY GROUP CO., LTD. |
11184989 | Cover capable of storing pen and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11185228 | Control method of information terminal and computer-readable recording medium | PANASONIC CORPORATION |
11185257 | Hearing assistance device with brain computer interface | OTICON A/S |
11185454 | User interface for hospital bed | HILL-ROM SERVICES, INC. |
11185763 | Holding and releasing virtual objects | VALVE CORPORATION |
11185768 | Accessory for presenting information associated with an application | STEELSERIES APS |
11185772 | Display device and method of changing settings of display device | SAMSUNG ELECTRONICS CO., LTD. |
11185775 | Systems and methods for rendering a virtual content object in an augmented reality environment | -- |
11186100 | Image processing apparatus and non-transitory computer readable medium | FUJIFILM BUSINESS INNOVATION CORP. |
11186107 | Method of printing on media of a given media type | CANON PRODUCTION PRINTING HOLDING B.V. |
11186176 | Multifunctional switch device | MAKERSAN MAKINA OTOMOTIV SANAYI TICARET ANONIM SIRKETI |
11186241 | Automated emotion detection and environmental response | COBALT INDUSTRIES INC. |
11186289 | Concealment system for improved safe driving | -- |
11186290 | Emotion inference device and emotion inference system | HONDA MOTOR CO., LTD. |
11186366 | Method and device for controlling flight, control terminal, flight system and processor | SZ DJI TECHNOLOGY CO., LTD. |
11186476 | Systems and methods for controlling dual modulation displays | DOLBY LABORATORIES LICENSING CORPORATION |
11187550 | Distributed data processing systems for processing remotely captured sensor data | ALLSTATE INSURANCE COMPANY |
11187900 | Methods, devices, and systems for illuminating spatial light modulators | MAGIC LEAP, INC. |
11187945 | Touch screen for privacy display | REALD SPARK, LLC |
11188033 | Wearable device comprising microphone for obtaining sound by using plurality of openings | SAMSUNG ELECTRONICS CO., LTD. |
11188060 | Lifecycle data files for industrial automation project optimization | ROCKWELL AUTOMATION TECHNOLOGIES, INC. |
11188066 | Service menu presentation system, operation pattern display system, service menu presentation method, and program | MITSUBISHI POWER, LTD. |
11188088 | Human interacting automatic guided vehicle | LINGDONG TECHNOLOGY (BEIJING) CO. LTD |
11188114 | Digital circuit to detect presence and quality of an external timing device | VIAVI SOLUTIONS INC. |
11188119 | Portable computer peripheral holder | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11188122 | Low-profile wearable scanning device | DATALOGIC USA INC. |
11188124 | Wearable computing device | PROXY, INC. |
11188125 | Information processing apparatus, information processing meihod and program | SONY CORPORATION |
11188126 | Mobile device display and input expansion apparatus | BT IDEA LABS, LLC |
11188127 | Bendable and foldable display screen to provide continuous display | INTEL CORPORATION |
11188139 | Storage system, method of controlling same, information processing apparatus, and storage medium | CANON KABUSHIKI KAISHA |
11188143 | Three-dimensional object tracking to augment display area | MICROSOFT TECHNOLOGY LICENSING, LLC |
11188144 | Method and apparatus to navigate a virtual content displayed by a virtual reality (VR) device | SAMSUNG ELECTRONICS CO., LTD. |
11188145 | Gesture control systems | DTEN, INC. |
11188146 | Direct volume rendering in virtual and/or augmented reality | ARIVIS AG |
11188147 | Display control method for highlighting display element focused by user | PANASONIC INTELLECTUAL PROPERTY CORPORATION OF AMERICA |
11188148 | User interaction in head-mounted display with eye tracking | FACEBOOK TECHNOLOGIES, LLC |
11188149 | Image display device using retinal scanning display unit and method thereof | UNIVERSITY OF FUKUI |
11188150 | Haptic tool system | KOREA UNIVERSITY OF TECHNOLOGY AND EDUCATION INDUSTRY-UNIVERSITY COOPERATION FOUNDATION |
11188151 | Vibration driven housing component for audio reproduction, haptic feedback, and force sensing | APPLE INC. |
11188152 | Operation unit control device and operation unit control method | MITSUBISHI ELECTRIC CORPORATION |
11188153 | Electronic apparatus, control method therefore, and storage medium | CANON KABUSHIKI KAISHA |
11188154 | Context dependent projection of holographic objects | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11188155 | Method and apparatus for inputting character based on motion recognition of body | -- |
11188156 | Artificial reality notification triggers | FACEBOOK TECHNOLOGIES, LLC |
11188157 | Touchless input device with sensor for measuring linear distance | -- |
11188158 | System and method of determining input characters based on swipe input | SAMSUNG ELECTRONICS CO., LTD. |
11188159 | System, device and method for external movement sensor communication | BO & BO LTD |
11188160 | Wireless controlling system implemented with smart ring and wireless controlling method thereof | -- |
11188161 | Automative knob sensing device | SYNAPTICS INCORPORATED |
11188162 | Display apparatus having a touch electrode on an encapsulating element | LG DISPLAY CO., LTD. |
11188163 | Touch display device and fabrication method thereof | LG DISPLAY CO., LTD. |
11188164 | Display device | SAMSUNG DISPLAY CO., LTD. |
11188165 | Touch screen panel having mesh pattern electrodes with improved performance and display device including the same | LG DISPLAY CO., LTD. |
11188166 | Input device | ALPS ALPINE CO., LTD. |
11188167 | Force sensor and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11188168 | Device, method, and graphical user interface for navigating through a user interface using a dynamic object selection indicator | APPLE INC. |
11188169 | Touch display panel and touch display device with switchable viewing angles | INFOVISION OPTOELECTRONICS (KUNSHAN) CO., LTD. |
11188170 | Image display apparatus and method for controlling the same | SEIKO EPSON CORPORATION |
11188171 | Driving system, sensing method and driving circuit for touch display device | -- |
11188172 | Detection apparatus | JAPAN DISPLAY INC. |
11188173 | System and method of tool identification for an interactive input system | SMART TECHNOLOGIES ULC |
11188174 | Control apparatus | DENSO TEN LIMITED |
11188175 | Display system with integrated depth detection | FACEBOOK TECHNOLOGIES, LLC |
11188176 | Touch panel and manufacture thereof, touch device | BOE TECHNOLOGY GROUP CO., LTD. |
11188178 | Touch display panel, manufacturing method thereof, and touch display device | WUHAN CHINA STAR OPTOFI FCTRONICS SEMICONDUCTOR DISPLAY TECHNOLOGY CO., LTD. |
11188179 | Touch panel and manufacturing method thereof, and touch display device | BOE TECHNOLOGY GROUP CO., LTD. |
11188180 | Touch panel and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11188181 | Capacitive sensor filtering apparatus, method, and system | TOUCHSENSOR TECHNOLOGIES, LLC |
11188182 | Input device | ALPS ALPINE CO., LTD. |
11188183 | Combined inductive sensing and capacitive sensing | CYPRESS SEMICONDUCTOR CORPORATION |
11188184 | Electromagnetic touch structure, direct-backlight display module and display | GUANGZHOU SHIYUAN ELECTRONICS CO., LTD. |
11188185 | Integrate metal mesh touch sensor and cover lens | FUTURETECH CAPITAL, INC. |
11188186 | Part display apparatus, electronic music apparatus, and part display method | YAMAHA CORPORATION |
11188187 | Information processing apparatus, information processing method, and recording medium | SONY CORPORATION |
11188188 | System and method of providing automated customer service with augmented reality and social media integration | AT&T INTELLECTUAL PROPERTY I, L.P. |
11188189 | System and method for data visualization and modification in an immersive three dimensional (3-D) environment | UNITED SERVICES AUTOMOBILE ASSOCIATION (USAA) |
11188190 | Generating animation overlays in a communication session | SNAP INC. |
11188191 | Vehicle diagnostic systems and methods | ALLDATA LLC |
11188192 | Information processing device, information processing method, and computer program for side menus | SONY CORPORATION |
11188193 | Method and system for generating a prioritized list | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11188194 | Personalization and synonym hierarchy for smart replies | MICROSOFT TECHNOLOGY LICENSING, LLC |
11188195 | Method for playing music in vehicle audio system and vehicle audio system to which the method is applied | HYUNDAI MOTOR COMPANY |
11188196 | Handheld devices as visual indicators | APPLE INC. |
11188197 | Side menu displaying method and apparatus and terminal | HUAWEI TECHNOLOGIES CO., LTD. |
11188198 | Settings information display system, method, and program for displaying settings information regarding remote resources | MARUBENI IT SOLUTIONS INC. |
11188199 | System enabling audio-based navigation and presentation of a website | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11188200 | Display terminal, method of controlling display of information, and storage medium | RICOH COMPANY, LTD. |
11188201 | System and method for customizing information for display to multiple users via multiple displays | COMMERCIAL STREAMING SOLUTIONS INC. |
11188202 | Devices, methods, and graphical user interfaces for interacting with user interface objects corresponding to applications | APPLE INC. |
11188203 | Method for generating multimedia material, apparatus, and computer storage medium | BEIJING DAJIA INTERNET INFORMATION TECHNOLOGY CO., LTD. |
11188204 | Systems and methods for determining a position for placing of a joint prosthesis | BLUE BELT TECHNOLOGIES, INC. |
11188205 | Configurable patent strength calculator | INNOGRAPHY, INC. |
11188206 | Information processing apparatus and information processing method | SONY CORPORATION |
11188207 | Portable terminal device and display control method | PANASONIC INTELLECTUAL PROPERTY CORPORATION OF AMERICA |
11188208 | Display apparatus for classifying and searching content, and method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11188209 | Progressive functionality access for content insertion and modification | MICROSOFT TECHNOLOGY LICENSING, LLC |
11188210 | Unified real time rule analytics using common programming model on both edge and cloud | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11188211 | Transportation vehicle with an image capturing unit and an operating system for operating devices of the transportation vehicle and method for operating the operating system | VOLKSWAGEN AKTIENGESELLSCHAFT |
11188212 | Methods and systems for monitoring objects for image-inspection | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11188213 | Edit experience for transformation of digital content | ADOBE INC. |
11188214 | Systems and methods for determining liquid cooled architectures in an IT room | SCHNEIDER ELECTRIC IT CORPORATION |
11188215 | Systems and methods for prioritizing digital user content within a graphical user interface | FACEBOOK, INC. |
11188216 | Selectively emphasizing digital content | DROPBOX, INC. |
11188218 | System and method for generating a position based user interface | WELLS FARGO BANK, N.A. |
11188220 | Devices, methods, and graphical user interfaces for navigating between user interfaces and displaying a dock | APPLE INC. |
11188221 | Mobile device merchant availability interface | GROUPON, INC. |
11188222 | Multi-arrayed display user interface panel | CABIN MANAGEMENT SOLUTIONS, LLC |
11188223 | Method and system for ink data generation, ink data rendering, ink data manipulation and ink data communication | WACOM CO., LTD. |
11188224 | Control method of user interface and electronic device | -- |
11188225 | Touch control method and apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
11188226 | Display device, display controlling method, and computer program | SONY CORPORATION |
11188227 | Electronic device and key input method therefor | SAMSUNG ELECTRONICS CO., LTD. |
11188228 | Graphing transaction operations for transaction compliance analysis | AMAZON TECHNOLOGIES, INC. |
11188229 | Adaptive storage reclamation | HITACHI VANTARA LLC |
11188231 | Data placement on storage devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11188232 | Enhanced storage compression based on activity level | EMC IP HOLDING COMPANY LLC |
11188233 | Precisely tracking memory usage in multi-process computing environment | PIVOTAL SOFTWARE, INC. |
11188234 | Cache line data | MICRON TECHNOLOGY, INC. |
11188235 | Reducing data replications among storage locations | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11188236 | Automatically organizing storage system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11188237 | Anti-hacking mechanisms for flash memory device | SILICON STORAGE TECHNOLOGY, INC. |
11188238 | Information processing apparatus, memory control method, and computer program product | KABUSHIKI KAISHA TOSHIBA |
11188239 | Host-trusted module in data storage device | WESTERN DIGITAL TECHNOLOGIES, INC. |
11188240 | Information processing system and non-transitory computer readable medium for access permission control | FUJIFILM BUSINESS INNOVATION CORP. |
11188241 | Hybrid key-value store | SAP SE |
11188242 | Information processing apparatus and non-transitory computer readable medium storing program | FUJIFILM BUSINESS INNOVATION CORP. |
11188243 | Storage management apparatus, information system, and storage management method | HITACHI, LTD. |
11188244 | Adjusting trim settings to improve memory performance or reliability | MICRON TECHNOLOGY, INC. |
11188245 | Data storage device, system, and data writing method | INNOGRIT TECHNOLOGIES CO., LTD. |
11188246 | Composite aggregate architecture | NETAPP INC. |
11188247 | Method, device, and computer program product for managing storage system | EMC IP HOLDING COMPANY LLC |
11188248 | System and method to achieve an uninterrupted file level backup using a pass-through snapshot engine | EMC IP HOLDING COMPANY LLC |
11188249 | Storage alteration monitoring | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11188250 | Two-stage hybrid memory buffer for multiple streams | MICRON TECHNOLOGY, INC. |
11188251 | Partitioned non-volatile memory express protocol for controller memory buffer | WESTERN DIGITAL TECHNOLOGIES, INC. |
11188252 | Data storage system with adaptive cache management | SEAGATE TECHNOLOGY LLC |
11188253 | Using a data mover and a zero blocklist primitive to zero files on a virtual file system | VMWARE, INC. |
11188254 | Using a data mover and a clone blocklist primitive to clone files on a virtual file system | VMWARE, INC. |
11188255 | Dynamic major mode for efficient memory traffic control | INTEL CORPORATION |
11188256 | Enhanced read-ahead capability for storage devices | WESTERN DIGITAL TECHNOLOGIES, INC. |
11188258 | Distributed storage system | HITACHI, LTD. |
11188259 | Storage device and method of operating the storage device | SAMSUNG ELECTRONICS CO., LTD. |
11188260 | Memory module and memory system including the same | SK HYNIX INC. |
11188261 | Memory controllers for solid-state storage devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11188262 | Memory system including a nonvolatile memory and a volatile memory, and processing method using the memory system | SK HYNIX INC. |
11188263 | Method of writing data to a storage device using aggregated queues | INNOGRIT TECHNOLOGIES CO., LTD. |
11188264 | Configurable write command delay in nonvolatile memory | INTEL CORPORATION |
11188265 | Method for performing storage space management, associated data storage device, and controller thereof | -- |
11188266 | Write ordering for persistent memory | NETAPP INC. |
11188267 | Method and apparatus and computer program product for handling sudden power off recovery | -- |
11188268 | Programmable processor in an integrated memory assembly | WESTERN DIGITAL TECHNOLOGIES, INC. |
11188269 | Configuration for multiple logical storage arrays | PURE STORAGE, INC. |
11188270 | Targeted secure data overwrite | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11188271 | Using storage managers in data storage management systems for license distribution, compliance, and updates | COMMVAULT SYSTEMS, INC. |
11188272 | Device management system and method for transmission of service information excluding restricted information | CANON KABUSHIKI KAISHA |
11188273 | Image forming apparatus using calendar information and method of using image forming apparatus | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11188274 | Job processing apparatus that displays job history screen, control method for job processing apparatus, and non-transitory computer-readable storage medium | CANON KABUSHIKI KAISHA |
11188275 | Anticipating maintenance in a printing device | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11188276 | Printing device recovery | KYOCERA DOCUMENT SOLUTIONS INC. |
11188277 | Printing apparatus that supports a tenant of cloud computing | CANON KABUSHIKI KAISHA |
11188278 | Computer-readable medium, mobile terminal, and method for informing user of updatability of program | BROTHER KOGYO KABUSHIKI KAISHA |
11188279 | Information processing apparatus, control method for controlling the information processing apparatus in a maintenance mode, and storage medium | CANON KABUSHIKI KAISHA |
11188280 | Method of providing screen for manipulating execution of application of image forming apparatus and image forming apparatus using the method | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11188281 | Computer-readable storage medium to store instructions for controlling user interfaces in an information processing apparatus having multiple processing channels | BROTHER KOGYO KABUSHIKI KAISHA |
11188282 | Printing apparatus and method | SEIKO EPSON CORPORATION |
11188283 | Information processing apparatus and non-transitory computer readable medium storing program | FUJIFILM BUSINESS INNOVATION CORP. |
11188284 | Information processing apparatus, information processing method, and program to execute and store first and second information on a basis of history information of a device | CANON KABUSHIKI KAISHA |
11188285 | Intelligent display | COVIDIEN LP |
11188286 | Accessing functions of external devices using reality interfaces | APPLE INC. |
11188287 | Display control apparatus, display control method, and computer program | SONY CORPORATION |
11188288 | Control device and control method to issue notification on occurrence of processing overflow | SONY CORPORATION |
11188289 | Identification of preferred communication devices according to a preference rule dependent on a trigger phrase spoken within a selected time from other command data | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11188290 | Electronic device, control method thereof, and sound output control system of the electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11188291 | Audio signal processing apparatus, method for processing audio signal, and storage medium storing program | YAMAHA CORPORATION |
11188292 | System and method for customized heterodyning of collected sounds from electromechanical equipment | DISCOVERY SOUND TECHNOLOGY, LLC |
11188293 | Playback sound provision device | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11188294 | Detecting the nearest playback device | SONOS, INC. |
11188296 | Human-vehicle interaction | HONDA MOTOR CO., LTD. |
11188297 | Automatic spoken dialogue script discovery | MICROSOFT TECHNOLOGY LICENSING, LLC |
11188298 | Electronic device and method for generating short cut of quick command | SAMSUNG ELECTRONICS CO., LTD. |
11188309 | User interface engine for miniapp development | ADP, LLC |
11188357 | System and method for interactive experience | SONY CORPORATION |
11188359 | Electronic device and screen capturing method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11188360 | Information processing apparatus and hint provision method | NTT DOCOMO, INC. |
11188365 | Memory overcommit by speculative fault | RED HAT, INC. |
11188397 | Mobile application for an information technology (IT) and security operations application | SPLUNK INC. |
11188416 | Enhanced block management for a memory sub-system | MICRON TECHNOLOGY, INC. |
11188419 | Namespace indices in dispersed storage networks | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11188422 | Techniques for preserving clone relationships between files | APPLE INC. |
11188425 | Snapshot metadata deduplication | EMC IP HOLDING COMPANY LLC |
11188435 | Health monitoring for cloud computing platforms | PIVOTAL SOFTWARE, INC. |
11188445 | Generating a temporal topology graph of a computing environment based on captured component relationship data | VMWARE, INC. |
11188448 | Real-time defect analysis device | BANK OF AMERICA CORPORATION |
11188455 | Media import and export in storage management systems | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11188456 | Storage system and method for predictive block allocation for efficient garbage collection | WESTERN DIGITAL TECHNOLOGIES INC. |
11188457 | Nonvolatile memory geometry export by memory controller with variable host configuration of addressable memory space | RADIAN MEMORY SYSTEMS, INC. |
11188458 | Memory controller and method of operating the same | SK HYNIX INC. |
11188474 | Balanced caching between a cache and a non-volatile memory based on rates corresponding to the cache and the non-volatile memory | WESTERN DIGITAL TECHNOLOGIES, INC. |
11188476 | Virtual addressing in a storage system | PURE STORAGE, INC. |
11188479 | Determining space to release in a target volume to which tracks from a source volume are mirrored | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11188496 | System and method for storing data using ethernet drives and ethernet open-channel drives | TOSHIBA MEMORY CORPORATION |
11188504 | Managing deletions from a deduplication database | COMMVAULT SYSTEMS, INC. |
11188509 | System and method for generating a visual data structure associated with business information based on a hierarchy of components | WIX.COM LTD. |
11188550 | Metrics store system | SPLUNK INC. |
11188558 | Parenting computed fields with data objects | TABLEAU SOFTWARE, LLC |
11188559 | Directory snapshots with searchable file paths | DROPBOX, INC. |
11188590 | Playlist update corresponding to playback queue modification | SONOS, INC. |
11188606 | Interactive displays based on user interest | EBAY INC. |
11188607 | Destination information associated with a hyperlink | LENOVO (SINGAPORE) PTE. LTD. |
11188611 | Contextual navigation interface with stickiness capabilities | AMAZON TECHNOLOGIES, INC. |
11188629 | Personal voice assistant authentication | KONINKLIJKE PHILIPS N.V. |
11188633 | Password authentication device and electronic apparatus | KYOCERA DOCUMENT SOLUTIONS INC. |
11188641 | Using a characteristic of a process input/output (I/O) activity and data subject to the I/O activity to determine whether the process is a suspicious process | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11188662 | Encrypted data backup and restoration for image forming apparatuses using cloud | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11188665 | Using internal sensors to detect adverse interference and take defensive actions | PURE STORAGE, INC. |
11188666 | Playback device queue access levels | SONOS, INC. |
11188669 | Private server implementation of policy for printing system | KYOCERA DOCUMENT SOLUTIONS INC. |
11188707 | Systems and methods for creating enhanced documents for perfect automated parsing | BOLD LIMITED |
11188709 | Cascading menus for remote popping | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11188711 | Unknown word predictor and content-integrated translator | MICROSOFT TECHNOLOGY LICENSING, LLC |
11188729 | Display panel, fingerprint identification display module and fingerprint identification method | BOE TECHNOLOGY GROUP CO., LTD. |
11188730 | Apparatus and method for estimating bio-information | SAMSUNG ELECTRONICS CO., LTD. |
11188757 | Method and apparatus for applying video viewing behavior | NOKIA TECHNOLOGIES OY |
11188772 | Drive method for texture recognition device and texture recognition device | BOE TECHNOLOGY GROUP CO., LTD. |
11188808 | Indicating a responding virtual assistant from a plurality of virtual assistants | LENOVO (SINGAPORE) PTE. LTD. |
11188811 | Communication apparatus | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11188833 | Real-time predictive knowledge pattern machine | BIRDVIEW FILMS. LLC |
11188837 | Dynamic field entry permutation sequence guidance based on historical data analysis | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11188845 | System and method for data visualization using machine learning and automatic insight of segments associated with a set of data | ORACLE INTERNATIONAL CORPORATION |
11188857 | Automating functions in a computing environment | AMAZON TECHNOLOGIES, INC. |
11188858 | Computer system and method for coordinating process of creating and managing a punch item | PROCORE TECHNOLOGIES, INC. |
11188881 | Dynamic user interface | TIMETRADE SYSTEMS, INC. |
11188923 | Real-time knowledge-based widget prioritization and display | BANK OF AMERICA CORPORATION |
11188925 | Method and system for automated reconfiguration of user interface based on product lifecycle | HONDA MOTOR CO., LTD. |
11188947 | Analyzing movement of data collectors/gateways associated with retail displays | ABL IP HOLDING, LLC |
11188953 | Product suggestion system, product suggestion method, and program | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11188955 | Providing on-demand services through use of portable computing devices | UBER TECHNOLOGIES, INC. |
11188975 | Digital model optimization responsive to orientation sensor data | EBAY INC. |
11188976 | Systems and methods for dynamic site generation with evolving criteria and content adjacency | STYLITICS, INC. |
11188994 | Display control method, display control device, non-transitory recording medium storing display control program, and display control system for displaying forecasted demand for a vehicle dispatch | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11189036 | Optical sensor for odometry tracking to determine trajectory of a wheel | -- |
11189047 | Gaze based rendering for audience engagement | DISNEY ENTERPRISES, INC. |
11189055 | Information processing apparatus and method and program | SONY CORPORATION |
11189069 | Systems for partially undoing content editing operations | ADOBE INC. |
11189071 | Electronic device for providing avatar animation and method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11189072 | Communication system and method for providing a bionic virtual meeting room | APPLE INC. |
11189088 | Integrated solution for generating environmental emergency response, preparedness, and investigation | SAUDI ARABIAN OIL COMPANY |
11189099 | Global and local mode virtual object interactions | FACEBOOK TECHNOLOGIES, LLC |
11189100 | Systems and methods for optimizing extended reality experiences | VERIZON PATENT AND ACESSING INC. |
11189102 | Electronic device for displaying object for augmented reality and operation method therefor | SAMSUNG ELECTRONICS CO., LTD. |
11189118 | Smart thermostat hub | EDST, LLC |
11189139 | Sustainability resource management system | L'OREAL |
11189140 | Calibration and detection techniques in haptic systems | ULTRAHAPTICS IP LTD |
11189183 | Intelligent voice interaction method, device and computer readable storage medium | BAIDU ONLINE NETWORK TECHNOLOGY (BEIJING) CO., LTD. |
11189184 | Display apparatus and controlling method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11189192 | Digital apparatus and application for treating myopia | S-ALPHA THERAPEUTICS INC. |
11189195 | Hysteroscopy training and evaluation | AMERICAN ASSOCIATION OF GYNECOLOGICAL LAPAROSCOPISTS, INC. |
11189220 | Display device with distributed driver circuits switchable between serial and parallel communication modes | HUAYUAN SEMICONDUCTOR (SHENZHEN) LIMITED COMPANY |
11189251 | Electronic apparatus | LG ELECTRONICS INC. |
11189253 | Methods, systems, and devices to determine positioning of content on a cross reality headset display based on movement of the cross reality headset | AT&T INTELLECTUAL PROPERTY I, L.P. |
11189270 | Method of controlling dialogue system, dialogue system, and data storage medium | HITACHI, LTD. |
11189275 | Natural language processing while sound sensor is muted | POLYCOM, INC. |
11189286 | VAS toggle based on device orientation | SONOS, INC. |
11189288 | System and method for continuous multimodal speech and gesture interaction | NUANCE COMMUNICATIONS, INC. |
11189289 | Information processing device, information processing method, and information processing system | SONY CORPORATION |
11189292 | Determining a target device for voice command interaction | MICROSOFT TECHNOLOGY LICENSING, LLC |
11189300 | Methods, apparatus and systems for biometric processes | CIRRUS LOGIC, INC. |
11189308 | Magnetic tape reading apparatus capable of synthesizing a plurality of reproducing signal sequences | FUJIFILM CORPORATION |
11189321 | Retrospective capture trigger | DOS CENTAVOS, LLC |
11189330 | Open page biasing techniques | MICRON TECHNOLOGY, INC. |
11189369 | Characterizing states of subject | LIFETRACK MEDICAL SYSTEMS PRIVATE LTD. |
11189373 | Instrument and method for monitoring an analyte concentration | ROCHE DIABETES CARE, INC. |
11189375 | Methods and systems for a medical image annotation tool | GE PRECISION HEALTHCARE LLC |
11189379 | Methods and systems for using multiple data structures to process surgical data | DIGITAL SURGERY LIMITED |
11189380 | Outcome-driven trajectory tracking | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11189441 | Key switch device | OMRON CORPORATION |
11189660 | Non-volatile memory and method of fabricating the same | -- |
11189671 | Display device | SAMSUNG DISPLAY CO., LTD. |
11190155 | Learning auxiliary feature preferences and controlling the auxiliary devices based thereon | TOYOTA MOTOR NORTH AMERICA, INC. |
11190207 | Recognition of simultaneous key presses in keyboards | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11190218 | Code rate switching mechanism for data storage system | WESTERN DIGITAL TECHNOLOGIES, INC. |
11190388 | Music/video messaging | -- |
11190466 | Configuring a chatbot with remote language processing | MICROSOFT TECHNOLOGY LICENSING, LLC |
11190474 | Method and system for collecting and presenting historical communication data for a mobile device | VERIZON PATENT AND LICENSING INC. |
11190476 | Systems and methods for displaying labels in a clustering in-box environment | GOOGLE LLC |
11190477 | Displaying interactive notifications on touch sensitive devices | APPLE INC. |
11190478 | Enhanced user interfaces and associated processes in email communication | PLEASANTON |
11190556 | Systems and methods for data management | BOSE CORPORATION |
11190557 | Collaborative remote interactive platform | MONARCH VISUAL TECHNOLOGIES, INC. |
11190571 | Web page view customization | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11190624 | User interface for just-in-time image processing | ZEBRAFISH LABS, INC. |
11190629 | Sectioned wearable smartphone devices | -- |
11190650 | Management apparatus that estimates load of the management apparatus | BROTHER KOGYO KABUSHIKI KAISHA |
11190651 | Non-transitory computer-readable recording medium containing instructions causing device to download data from server | BROTHER KOGYO KABUSHIKI KAISHA |
11190652 | Electronic apparatus for providing user interface of image forming apparatus | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11190655 | Information transmission apparatus and non-transitory computer readable medium | FUJIFILM BUSINESS INNOVATION CORP. |
11190662 | Image processing apparatus for detecting a standing-up motion to change print mode and non-transitory computer readable medium | FUJIFILM BUSINESS INNOVATION CORP. |
11190663 | Image scanning apparatus having scanner and image processor, control method therefor, and storage medium storing program for executing control method | CANON KABUSHIKI KAISHA |
11190664 | Information processing apparatus, method of controlling the same, and storage medium for setting a naming rule for a file name | CANON KABUSHIKI KAISHA |
11190678 | Information processing apparatus, information processing method, and program | SONY CORPORATION |
11190708 | Information processing apparatus having capability of appropriately setting regions displayed within an image capturing region using different categories | CANON KABUSHIKI KAISHA |
11190714 | Electronic device, head-mounted display, gaze point detector, and pixel data readout method | SONY INTERACTIVE ENTERTAINMENT INC. |
11190725 | Continuous video capture glasses | HINDSIGHT TECHNOLOGIES, LLC |
11190731 | Content amplification system and method | STEELCASE INC. |
11190741 | Real-time mapping of projections onto moving 3D objects | MICROSOFT TECHNOLOGY LICENSING, LLC |
11190743 | Content presentation method, content presentation mode push method, and intelligent terminal | HUAWEI TECHNOLOGIES CO., LTD. |
11190754 | 3D display device having a processor for correcting pseudostereoscopic effect | 3D MEDIA LTD. |
11190755 | Asymmetric arrangement of left and right displays to improve image quality for a stereoscopic head-mounted display (HMD) | SONY INTERACTIVE ENTERTAINMENT INC. |
11190806 | Display apparatus and method of controlling thereof | SAMSUNG ELECTRONICS CO., LTD. |
11190831 | Image display device and method for controlling the same | LG ELECTRONICS INC. |
11190836 | Audio playing and transmitting methods and apparatuses | HISENSE VISUAL TECHNOLOGY CO., LTD. |
11190847 | Video textbook environment | MY JOVE CORPORATION |
11190851 | Systems and methods for providing media based on a detected language being spoken | SLING MEDIA PVT. LTD. |
11190865 | Display module and mobile terminal | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11190866 | Display device | SAMSUNG DISPLAY CO., LTD. |
11190874 | Information processing device and information processing method | SONY CORPORATION |
11190907 | System and method for facilitating access to access points in access control system | SENSORMATIC ELECTRONICS, LLC |
11190915 | Method and apparatus in which a plurality of electronic devices use service linked to one electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11190917 | Passenger seat voice communication system | SAFRAN SEATS USA LLC |
11191163 | Flexible printed circuit board and flexible display module and electronic device comprising the same | LG DISPLAY CO., LTD. |
11191225 | Power-driven working device | -- |
11191468 | Vehicular notification apparatus | DENSO CORPORATION |
11191491 | Feedback device and method for providing thermal feedback using the same | TEGWAY CO., LTD. |
11191596 | Foot controller | INTUITIVE SURGICAL OPERATIONS, INC. |
11191996 | Body joystick for interacting with virtual reality or mixed reality machines or software applications | BLUE GOJI LLC |
11192022 | Controlled dynamic multi-axis virtual reality system | POSITRON VOYAGER, INC. |
11192141 | Vibrating actuator | LOFELT GMBH |
11192246 | Two-axis rotatable mechanical eyeball | FACEBOOK TECHNOLOGIES, LLC |
11192262 | Controlling robot with head mounted controller | REDZONE ROBOTICS, INC. |
11192741 | Image forming apparatus, image forming system and control method therefor | CANON KABUSHIKI KAISHA |
11192749 | Operating panel for an elevator providing options of indicating additional floor information | INVENTIO AG |
11193580 | Control element for a vehicle | BAYERISCHE MOTOREN WERKE AKTIENGESELLSCHAFT |
11193597 | Fluidic devices, haptic systems including fluidic devices, and related methods | FACEBOOK TECHNOLOGIES, LLC |
11193784 | End of trip sequence | WAYMO LLC |
11193788 | Venues map application and system providing a venue directory | APPLE INC. |
11193956 | Sampling oscilloscope, trigger generation method, and sampling method | ANRITSU CORPORATION |
11194050 | Processing unit, software and method for controlling interactive components | ECOLE NATIONALE DE L'AVIATION CIVILE |
11194154 | Onboard display control apparatus | DENSO CORPORATION |
11194161 | Devices, systems and methods for predicting gaze-related parameters | PUPIL LABS GMBH |
11194162 | Wearable heads up displays | DIGILENS INC. |
11194166 | Augmented reality head-mounted display with a Fresnel combiner and pupil steering | FACEBOOK TECHNOLOGIES, LLC |
11194167 | Augmented reality head-mounted display with eye tracking for pupil steering | FACEBOOK TECHNOLOGIES, LLC |
11194191 | Foldable touch display device having different thickness at a folding region | -- |
11194197 | Display device | JAPAN DISPLAY INC. |
11194201 | Driving circuit and display device | LG DISPLAY CO., LTD. |
11194209 | Smart window system and control method therefor | SAMSUNG ELECTRONICS CO., LTD. |
11194294 | Scheduling device for customizable electronic notifications | APPLE INC. |
11194299 | Variable frictional feedback device for a digital crown of an electronic watch | APPLE INC. |
11194300 | Methods, systems and computer program products for monitoring field device states in a process control system | YOKOGAWA ELECTRIC CORPORATION |
11194323 | Systems and methods for target tracking | SZ DJI TECHNOLOGY CO., LTD. |
11194361 | Display apparatus | LG DISPLAY CO., LTD. |
11194364 | Information processing apparatus and mode selection method therefor | LENOVO (SINGAPORE) PTE. LTD. |
11194368 | Accelerometer-based biometric data | ADOBE INC. |
11194372 | Automatic vent for SSD cooling enhancement | INTEL CORPORATION |
11194375 | Mechanism of power delivery on an asymmetrical dual simplex link | INTEL CORPORATION |
11194378 | Information processing method and electronic device | LENOVO (BEIJING) CO., LTD. |
11194383 | Display device and method for controlling same | CASIO COMPUTER CO., LTD. |
11194385 | Method and system of obtaining and tracking human posture | CHENGDU SIWUGE TECHNOLOGY CO., LTD |
11194386 | Artificial reality wearable magnetic sensor system for body pose tracking | FACEBOOK TECHNOLOGIES, LLC |
11194387 | Cost per sense system and method | Z5X GLOBAL FZ-LLC |
11194388 | Visibility improvement method based on eye tracking, machine-readable storage medium and electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11194389 | Foveated rendering of graphics content using a rendering command and subsequently received eye position data | QUALCOMM INCORPORATED |
11194390 | Electronic device for playing content and computer-readable recording medium | SAMSUNG ELECTRONICS CO., LTD. |
11194391 | Visual artifact mitigation of dynamic foveated displays | APPLE INC. |
11194392 | Method of calibrating eye-tracking application and related optical system | -- |
11194393 | Finger beam for generating haptic feedback | APPLE INC. |
11194394 | Multipurpose computer mouse | MAGNIMA LLC |
11194395 | Display device and method of driving the same | SAMSUNG DISPLAY CO., LTD. |
11194396 | Electronic devices with fabric actuators | APPLE INC. |
11194397 | Apparatus, system, and method for actuator-based touch simulation | FACEBOOK TECHNOLOGIES, LLC |
11194398 | Technologies for adaptive rendering using 3D sensors | INTEL CORPORATION |
11194399 | Systems and methods for implementing hailing request | -- |
11194400 | Gesture display method and apparatus for virtual reality scene | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
11194401 | Gesture control of internet of things devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11194402 | Floating image display, interactive method and system for the same | -- |
11194403 | Reference position setting method and virtual image display device | MURAKAMI CORPORATION |
11194404 | Cursor mode switching | ULTRAHAPTICS IP TWO LIMITED |
11194405 | Method for controlling information display apparatus, and information display apparatus | PANASONIC INTELLECTUAL PROPERTY CORPORATION OF AMERICA |
11194406 | Non-contact input device | FUNAI ELECTRIC CO., LTD. |
11194407 | Controller with situational awareness display | FLUIDITY TECHNOLOGIES INC. |
11194408 | User input passive device and interactive display device touchscreen system | SIGMASENSE, LLC. |
11194409 | Display apparatus for transmitting data through electronic pen and control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11194410 | Pointer position detection method and sensor controller | WACOM CO., LTD. |
11194411 | Use of sensors in electronic pens to execution functions | LENOVO (SINGAPORE) PTE. LTD. |
11194412 | Operation detection device | KABUSHIKI KAISHA TOKAI RIKA DENKI SEISAKUSHO |
11194413 | Display device for vehicle | SAMSUNG DISPLAY CO., LTD. |
11194414 | Touch display device | LG DISPLAY CO., LTD. |
11194415 | Method and apparatus for indirect force aware touch control with variable impedance touch sensor arrays | SENSEL, INC. |
11194416 | Information processing device | SONY CORPORATION |
11194417 | NFC-enabled apparatus and method of operation thereof | AQTECH, INC. |
11194418 | Touch display screen and mobile terminal | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11194419 | Touch sensor display device and interface method thereof | LG DISPLAY CO., LTD. |
11194420 | Device and method for proximity sensing for display panel having a variable display frame rate | SYNAPTICS INCORPORATED |
11194421 | Detection device performing touch detection and fingerprint detection | JAPAN DISPLAY INC. |
11194422 | Method and apparatus for preventing misoperation on edge of touch screen | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
11194423 | Multi-touch auto scanning | APPLE INC. |
11194424 | Audible noise suppression in touch display panel | -- |
11194425 | Method for responding to touch operation, mobile terminal, and storage medium | SHENZHEN HEYTAP TECHNOLOGY CORP., LTD. |
11194426 | Polymer foam adhesive tape and pressure-sensitive type touch panel comprising the same | LG CHEM, LTD. |
11194427 | Display device having touch sensor and a shield line | LG DISPLAY CO., LTD. |
11194428 | Touch screen, pressure-sensitive touch method, and display apparatus | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
11194429 | Information display terminal | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11194430 | Touch display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11194431 | Display device and method for driving the same | XIAMEN TIANMA MICRO-ELECTRONICS CO., LTD. |
11194432 | Display device | SAMSUNG DISPLAY CO., LTD. |
11194433 | Touch sensor | DONGWOO FINE-CHEM CO., LTD. |
11194434 | Input device having transparent electrodes containing nanowires and display apparatus with input device | ALPS ALPINE CO., LTD. |
11194435 | Detection substrate and display device | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
11194436 | Touch display device with lines connecting touch electrodes next to a hole in the active area | LG DISPLAY CO., LTD. |
11194437 | Information processing device and information processing method | SONY CORPORATION |
11194438 | Capture indicator for a virtual world | MICROSOFT TECHNOLOGY LICENSING, LLC |
11194439 | Methods, apparatus, systems, computer programs for enabling mediated reality | NOKIA TECHNOLOGIES OY |
11194441 | Process map navigation tool | SAP SE |
11194442 | Devices, methods, and graphical user interfaces for supporting reading at work | -- |
11194443 | Beverage dispensing device with graphical representation of customized beverage selection | PEPSICO, INC. |
11194444 | System and method for indicating data transfer method selection | THE TORONTO-DOMINION BANK |
11194445 | Method for providing XR content and XR device | LG ELECTRONICS INC. |
11194446 | Communications grouped as conversations | MICROSOFT TECHNOLOGY LICENSING, LLC |
11194447 | Apparatuses, computer program products, and methods for group-based communication channel organization | SLACK TECHNOLOGIES, LLC |
11194448 | Apparatus for vision and language-assisted smartphone task automation and method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11194450 | Definition of a graphical user interface dashboard created with manually input code and user selections | SALESFORCE.COM, INC. |
11194451 | Systems and methods for content sharing through external systems | OPEN TEXT CORPORATION |
11194452 | Methods, devices, and systems for providing interfaces and audio/video data for a virtual event | GATHER WHOLESALE, INC. |
11194453 | Content extraction system | EBAY INC. |
11194454 | Presenting content items and performing actions with respect to content items | GOOGLE LLC |
11194455 | User interfaces for health applications | APPLE INC. |
11194456 | Webpage testing tool | ALLSTATE INSURANCE COMPANY |
11194457 | Knowledge engine auto-generation of guided flow experience | INTUIT INC. |
11194458 | Generating and modifying content using data structures | THE ROCKET SCIENCE GROUP LLC |
11194459 | Interacting with nonconforming applications in a windowing environment | MICROSOFT TECHNOLOGY LICENSING, LLC |
11194460 | Systems and methods for commissioning a security system | HONEYWELL INTERNATIONAL INC. |
11194461 | Smooth image scrolling with dynamic scroll extension | -- |
11194462 | Exclusion of selected data from access by collaborators | AVAYA INC. |
11194463 | Methods, systems, and media for presenting offset content | GOOGLE LLC |
11194464 | Display control using objects | AMAZON TECHNOLOGIES, INC. |
11194465 | Robot eye lamp control method and apparatus and terminal device using the same | UBTECH ROBOTICS CORP. |
11194466 | Procedure for entering commands for an electronic setup | PATTY'S GMBH |
11194467 | Keyboard management user interfaces | APPLE INC. |
11194468 | Systems and methods for non-contacting interaction with user terminals | -- |
11194469 | Image processing apparatus, method for controlling the same, and storage medium | CANON KABUSHIKI KAISHA |
11194470 | Systems and methods for implementing a dynamic and contextual on screen keyboard | DELL PRODUCTS L.P. |
11194471 | Apparatus and method for display control based on touch interface | HONDA MOTOR CO., LTD. |
11194472 | Techniques to update a trim parameter in nonvolatile memory | MICRON TECHNOLOGY, INC. |
11194473 | Programming frequently read data to low latency portions of a solid-state storage array | PURE STORAGE, INC. |
11194474 | Link-list shortening logic | CHRISTOPHER P. MAIORANA, PC |
11194475 | Coordinated updating and searching a content-addressable memory including for packet processing operations | CISCO TECHNOLOGY, INC. |
11194476 | Determining an optimal maintenance time for a data storage system utilizing historical data | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11194477 | Determination of a match between data values stored by three or more arrays | MICRON TECHNOLOGY, INC. |
11194478 | System and method for shared memory ownership using context | FUTUREWEI TECHNOLOGIES, INC. |
11194479 | Memory system and operating method thereof | SK HYNIX INC. |
11194480 | Systems and methods for packing data in a scalable memory system protocol | MICRON TECHNOLOGY, INC. |
11194481 | Information processing apparatus and method for controlling information processing apparatus | CANON KABUSHIKI KAISHA |
11194482 | Storage system and method for segregating outliers in a virtualization system | WESTERN DIGITAL TECHNOLOGIES, INC. |
11194483 | Enriching a storage provider with container orchestrator metadata in a virtualized computing system | VMWARE, INC. |
11194484 | Enablement of licensed features at a logical volume level of granularity | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11194485 | Realm execution context masking and saving | ARM LIMITED |
11194486 | Storage data sanitization | AMAZON TECHNOLOGIES, INC. |
11194487 | Method, electronic device and computer program product of allocating storage disks | EMC IP HOLDING COMPANY LLC |
11194488 | Memory system executing calibration on channels | KIOXIA CORPORATION |
11194489 | Zone-based device with control level selected by the host | WESTERN DIGITAL TECHNOLOGIES, INC. |
11194490 | Data formatter for convolution | XILINX, INC. |
11194491 | Semiconductor device and a method for controlling accessing data | RENESAS ELECTRONICS CORPORATION |
11194492 | Machine learning-based data object storage | COMMVAULT SYSTEMS, INC. |
11194493 | Data storage system capable of using high speed channel to access data, and using low speed channel to manage data exchanging, copying, and moving | -- |
11194494 | Storage devices hiding parity swapping behavior | WESTERN DIGITAL TECHNOLOGIES, INC. |
11194495 | Best-effort deduplication of data while the data resides in a front-end log along an I/O path that leads to back end storage | EMC IP HOLDING COMPANY LLC |
11194496 | Data deduplication using KVSSD | SAMSUNG ELECTRONICS CO., LTD. |
11194497 | Variable length deduplication of stored data | BOTTOMLINE TECHNOLOGIES, INC. |
11194498 | Inline compression with small-write compression avoidance | EMC IP HOLDING COMPANY LLC |
11194499 | Caching meihod for hybrid cloud storage running dev/test on public cloud | HITACHI, LTD. |
11194500 | Resilient implementation of client file operations and replication | NETAPP, INC. |
11194501 | Standby copies withstand cascading fails | NETAPP, INC. |
11194502 | Electronic device, flash memory controller and method for performing garbage collection operation on flash memory module | -- |
11194503 | Storage device having a configurable command response trigger | SAMSUNG ELECTRONICS CO., LTD. |
11194504 | Information processing device and data management method of information processing device | HITACHI, LTD. |
11194505 | High bandwidth memory device and system device having the same | SAMSUNG ELECTRONICS CO., LTD. |
11194506 | Efficiency sets for determination of unique data | NETAPP, INC. |
11194507 | Controller and operation method thereof | SK HYNIX INC. |
11194508 | Remote input/output system | KABUSHIKI KAISHA TOSHIBA |
11194509 | High capacity, high performance memory system | RAMBUS INC. |
11194510 | Storage device and method of operating the same | SAMSUNG ELECTRONICS CO., LTD. |
11194511 | Arbitration techniques for managed memory | MICRON TECHNOLOGY, INC. |
11194512 | Data storage device which selectively performs a cache read or a normal read operation depending on work load and operating method thereof | SK HYNIX INC. |
11194513 | Storage device and operating method thereof | SK HYNIX INC. |
11194514 | Just in time data placement in NAND flash | KIOXIA CORPORATION |
11194515 | Memory system, method of operating memory, and non-transitory computer readable storage medium | -- |
11194516 | Media type selection | MICRON TECHNOLOGY, INC. |
11194517 | Method and apparatus for storage device latency/bandwidth self monitoring | SAMSUNG ELECTRONICS CO., LTD. |
11194518 | Pointer dereferencing within memory sub-system | MICRON TECHNOLOGY, INC. |
11194519 | Results processing circuits and methods associated with computational memory cells | GSI TECHNOLOGY, INC. |
11194520 | Memory system and operating method thereof | SK HYNIX INC. |
11194521 | Rate limit on the transitions of streams to open | WESTERN DIGITAL TECHNOLOGIES, INC. |
11194522 | Networked shuffle storage | INTEL CORPORATION |
11194523 | Temperature variation compensation | WESTERN DIGITAL TECHNOLOGIES, INC. |
11194524 | Apparatus and method for performing persistent write operations using a persistent write command | QUALCOMM INCORPORATED |
11194525 | Method of controlling information processing apparatus and storage medium storing program to set an IP address for a device suitable for network environment | SEIKO EPSON CORPORATION |
11194526 | Image forming apparatus, method for controlling the same, and program | CANON KABUSHIKI KAISHA |
11194527 | Methods and system for policy-based printing using a public print service | KYOCERA DOCUMENT SOLUTIONS INC. |
11194528 | Image forming apparatus, control method of image forming apparatus, and program | CANON KABUSHIKI KAISHA |
11194529 | Image forming apparatus, information processing apparatus, printing system, method of controlling the same, and storage medium for processing of print data | CANON KABUSHIKI KAISHA |
11194530 | Image forming apparatus that displays status of job instructed by user | KYOCERA DOCUMENT SOLUTIONS INC. |
11194531 | Self-organizing fault-tolerant distributed printing using blockchain | KYOCERA DOCUMENT SOLUTIONS INC. |
11194532 | Information processing apparatus having portrait image generation and method of controlling the same, printing apparatus | CANON KABUSHIKI KAISHA |
11194533 | Information processing system and non-transitory computer readable medium for managing time slots regarding printing to a roll of paper | FUJIFILM BUSINESS INNOVATION CORP. |
11194534 | Information processing apparatus, control method, and storage medium | CANON KABUSHIKI KAISHA |
11194535 | Information processing apparatus, information processing system, and non-transitory computer readable medium storing program | FUJIFILM BUSINESS INNOVATION CORP. |
11194536 | Image processing method and apparatus for displaying an image between two display screens | XI'AN ZHONGXING NEW SOFTWARE CO. LTD. |
11194537 | Display apparatus and its control method | CANON KABUSHIKI KAISHA |
11194538 | Image management system, image management method, and program | RICOH COMPANY, LTD. |
11194539 | Conference system, tool, and peripheral devices | GUANGZHOU SHIYUAN ELECTRONICS CO., LTD. |
11194540 | Controlled-environment facility digital bulletin board | SECURUS TECHNOLOGIES, LLC |
11194541 | Systems and methods of distributing audio to one or more playback devices | SONOS, INC. |
11194542 | Wireless coordination of audio sources | B&W GROUP LTD. |
11194543 | Virtual and real object recording in mixed reality device | MAGIC LEAP, INC. |
11194544 | Adjusting speaker volume based on a future noise event | LENOVO (SINGAPORE) PTE. LTD. |
11194545 | Electronic device for performing operation according to user input after partial landing | SAMSUNG ELECTRONICS CO., LTD. |
11194546 | Multi-user TV user interface | APPLE INC. |
11194547 | Text input device and method therefor | SAMSUNG ELECTRONICS CO., LTD. |
11194569 | Method, electronic device and medium for upgrading a hyper-converged infrastructure node | EMC IP HOLDING COMPANY LLC |
11194587 | Memory system, data processing system and operating method thereof | SK HYNIX INC. |
11194597 | Method and apparatus for user interface modification | VERSATA FZ-LLC |
11194598 | Information display method, terminal and storage medium | SHENZHEN HEYTAP TECHNOLOGY CORP., LTD. |
11194599 | Handwritten message input for electronic devices | APPLE INC. |
11194623 | Resource scheduling method and related apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
11194626 | Dynamic resource allocation based on data transferring to a tiered storage | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11194634 | Apparatus and method for providing workload distribution of threads among multiple compute units | ADVANCED MICRO DEVICES, INC. |
11194646 | Regression-based calibration and scanning of data units | MICRON TECHNOLOGY, INC. |
11194654 | Method and apparatus for a volume management system in a non-volatile memory device | MICRON TECHNOLOGY, INC. |
11194655 | Storage controller and storage device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11194656 | Memory system | KIOXIA CORPORATION |
11194660 | Listing and protocol for namespace index | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11194661 | Memory system for accessing data in stripe form and operating method thereof | SK HYNIX INC. |
11194664 | Storage system configured to guarantee sufficient capacity for a distributed raid rebuild process | EMC IP HOLDING COMPANY LLC |
11194672 | Storage network with connection security and methods for use therewith | PURE STORAGE, INC. |
11194679 | Method and apparatus for redundancy in active-active cluster system | HUAWEI TECHNOLOGIES CO., LTD. |
11194708 | Data relocation in memory having two portions of data | MICRON TECHNOLOGY, INC. |
11194710 | Garbage collection—automatic data placement | SAMSUNG ELECTRONICS CO., LTD. |
11194723 | Data processing device, storage device, and prefetch method | HITACHI, LTD. |
11194738 | Implementing management commands utilizing an in-band interface | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11194742 | Detecting potentially overlapping input/output queues | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11194745 | Replication enhancement method for write-intensive IoT applications | EMC IP HOLDING COMPANY LLC |
11194746 | Exchanging drive information | SEAGATE TECHNOLOGY LLC |
11194748 | Communication apparatus that switches a driver for an interface based on a frequency band used by a wireless communication unit, control method thereof, and non-transitory computer-readable storage medium | CANON KABUSHIKI KAISHA |
11194749 | Cross-threaded memory system | RAMBUS INC. |
11194753 | Platform interface layer and protocol for accelerators | INTEL CORPORATION |
11194775 | Efficient database search and reporting, such as for enterprise customers having large and/or numerous files | COMMVAULT SYSTEMS, INC. |
11194789 | Content agnostic memory pageable storage model | SAP SE |
11194795 | Automated maintenance of external tables in database systems | SNOWFLAKE INC. |
11194821 | Enforcing diversity in ranked relevance results returned from a universal relevance service framework | GROUPON, INC. |
11194828 | Method and system for implementing a log parser in a log analytics system | ORACLE INTERNATIONAL CORPORATION |
11194834 | Systems and methods for enabling situational awareness for events via data visualization | NORTHWESTERN UNIVERSITY |
11194835 | Communication system and method for providing data visualizations | TE CONNECTIVITY SERVICES GMBH |
11194858 | Audio bible player with a numerical indexing system | FREMONT |
11194881 | Electronic device and method for displaying web content in augmented reality mode | SAMSUNG ELECTRONICS CO., LTD. |
11194887 | Data processing device and method, and digital signal processing device | HEFEI UNIVERSITY OF TECHNOLOGY |
11194891 | Printer and non-transitory computer-readable recording medium storing computer-readable instructions for printer | BROTHER KOGYO KABUSHIKI KAISHA |
11194894 | Electronic device and control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11194897 | System and methods for generating and authenticating dynamic usernames replication | MASTERCARD INTERNATIONAL INCORPORATED |
11194903 | Cross-machine detection techniques | CROWD STRIKE, INC. |
11194940 | Optimization under disallowed combinations | SAS INSTITUTE INC. |
11194953 | Graphical user interface systems for generating hierarchical data extraction training dataset | INDICO |
11194957 | Computer implemented methods and apparatus for representing a portion of a user interface as a network address | SALESFORCE.COM, INC. |
11194959 | Smart assistance in unused space in tables | SAP SE |
11194960 | Smart content template recommendation system and method | BENQ INTELLIGENT TECHNOLOGY (SHANGHAI) CO., LTD |
11194998 | Multi-user intelligent assistance | MICROSOFT TECHNOLOGY LICENSING, LLC |
11195000 | Swipe gesture detection systems and methods | FLIR BELGIUM BVBA |
11195015 | IoT-based farming and plant growth ecosystem | -- |
11195020 | Systems and methods for maintaining virtual spaces | FACEBOOK TECHNOLOGIES, LLC |
11195024 | Context-aware action recognition by dual attention networks | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11195026 | Object detection and display apparatus, moveable body, and object detection and display method | KYOCERA CORPORATION |
11195069 | Information processing apparatus configured to extract object from image data and generate printing data representing image including object, method of controlling the same, and non-transitory computer-readable recording medium therefor | BROTHER KOGYO KABUSHIKI KAISHA |
11195070 | Information processing apparatus and method for generating print data based on a 2-in-1 print instruction | CANON KABUSHIKI KAISHA |
11195126 | Efficiency enhancements in task management applications | MICROSOFT TECHNOLOGY LICENSING, LLC |
11195192 | Location alert system using vehicle identifiers and transaction parameters | AUTOALERT, LLC |
11195206 | Methods and systems for providing contextual information | FACEBOOK, INC. |
11195211 | Systems, methods and computer program products for generating script elements and call to action components therefor | SPOTIFY AB |
11195214 | Augmented reality value advisor | WELLS FARGO BANK, N.A. |
11195256 | Electronic apparatus for determining zenith or nadir of VR image, control method of electronic apparatus and non-transitory computer readable medium | CANON KABUSHIKI KAISHA |
11195307 | Image processing apparatus, image processing method, and program | SONY CORPORATION |
11195311 | Monitoring system analytics | ALARM.COM INCORPORATED |
11195315 | Language element vision augmentation methods and devices | ESIGHT CORP. |
11195316 | System, method and apparatus for detecting facial expression in a virtual reality system | MINDMAZE HOLDING SA |
11195320 | Feed-forward collision avoidance for artificial reality environments | FACEBOOK TECHNOLOGIES, LLC |
11195325 | Method and apparatus for freeform cutting of digital three dimensional structures | 3D4MEDICAL LIMITED |
11195332 | Information interaction method based on virtual space scene, computer equipment and computer-readable storage medium | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
11195334 | Providing interactive virtual elements within a mixed reality scene | IGT |
11195335 | Application control program, application control method, and application control system | GREE, INC. |
11195336 | Framework for augmented reality applications | VULCAN INC. |
11195338 | Surface aware lens | SNAP INC. |
11195339 | Augmented reality system | SPIN MASTER LTD. |
11195340 | Systems and methods for rendering immersive environments | ARIS MD, INC. |
11195342 | Customized augmented reality item filtering system | WALMART APOLLO, LLC |
11195354 | Gesture access control system including a mobile device disposed in a containment carried by a user | CARRIER CORPORATION |
11195404 | Interpreting reactions of other people for physically impaired during an emergency situation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11195425 | Systems and methods for delivering vehicle-specific educational content for a critical event | ALLSTATE INSURANCE COMPANY |
11195437 | Flexible display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11195439 | Head-up display apparatus | JVCKENWOOD CORPORATION |
11195441 | Display device | SAMSUNG DISPLAY CO., LTD. |
11195447 | Display device and driving method thereof | SAMSUNG DISPLAY CO., LTD. |
11195452 | Sub-frame synchronization of multiple displays with independent vertical refresh rates | CISCO TECHNOLOGY, INC. |
11195470 | Display device | -- |
11195475 | Image display device | SHARP KABUSHIKI KAISHA |
11195477 | Adjustment of pixel drive strength within an augmented reality scene | LENOVO (SINGAPORE) PTE. LTD. |
11195490 | Smart contact lens with adjustable light transmittance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11195493 | Compensation driving for long horizontal blank | SYNAPTICS INCORPORATED |
11195498 | Compensating for latency in a streaming virtual reality environment | CHARTER COMMUNICATIONS OPERATING, LLC |
11195500 | Guitar case | MOSER-LUCIDO-PRODUCTION, LLC |
11195516 | Expandable dialogue system | MICROSOFT TECHNOLOGY LICENSING, LLC |
11195517 | Information processing apparatus and information processing method | SONY CORPORATION |
11195518 | Hearing device user communicating with a wireless communication device | SONOVA AG |
11195525 | Operation terminal, voice inputting method, and computer-readable recording medium | PANASONIC INTELLECTUAL PROPERTY CORPORATION OF AMERICA |
11195527 | Apparatus and method for processing speech recognition | HYUNDAI MOTOR COMPANY |
11195530 | Voice analysis systems and methods for processing digital sound data over a communications network | STATE FARM MUTUAL AUTOMOBILE INSURANCE COMPANY |
11195531 | Accessory for a voice-controlled device | AMAZON TECHNOLOGIES, INC. |
11195533 | Systems and methods for incremental natural language understanding | DISNEY ENTERPRISES, INC. |
11195544 | Computerized tool for creating variable length presentations | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11195551 | Manipulation of video time remapping | GOPRO, INC. |
11195557 | Methods, systems, and computer program products for annotating video content with audio information | AT&T INTELLECTUAL PROPERTY I, L.P. |
11195583 | Storage device and access method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11195585 | Calculating shift amounts for read voltage correction | TOSHIBA MEMORY CORPORATION |
11195670 | Power contact fault clearing device | ARC SUPPRESSION TECHNOLOGIES |
11195765 | Semiconductor device including stressed source/drain, method of manufacturing the same and electronic device including the same | INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES |
11195883 | OLED display device comprising touch sensor, and method for manufacturing same | HUBEI |
11195888 | Display device | LG DISPLAY CO., LTD. |
11195988 | Electronic device and method for fabricating the same | SK HYNIX INC. |
11196565 | Cryptographic system memory management | INTEL CORPORATION |
11196679 | Methods and systems for resource optimization | ARISTA NETWORKS, INC. |
11196695 | Document manager integration | FISH & RICHARDSON P.C. |
11196777 | Video call mediating apparatus, method and computer readable recording medium thereof | HYPERCONNECT, INC. |
11196781 | Application execution method and apparatus | SAMSUNG ELECTRONICS CO., LTD. |
11196796 | Visual representation of a user interface | TRADING TECHNOLOGIES INTERNATIONAL, INC. |
11196805 | Method and system for permutation encoding of digital data | CODE SYSTEMS CORPORATION |
11196806 | Method and apparatus for replicating data between storage systems | HITACHI, LTD. |
11196816 | Social network pooled post capture | SNAP INC. |
11196824 | Method for controlling functions of electronic device and server employing the method | FU TAI HUA INDUSTRY (SHENZHEN) CO., LTD. |
11196836 | Persisting user configuration settings on write filter enabled devices | DELL PRODUCTS L.P. |
11196846 | Inline encryption of packet data in a wireless communication system | FACEBOOK TECHNOLOGIES, LLC |
11196863 | Method and system for virtual assistant conversations | VERINT AMERICAS INC. |
11196869 | Facilitation of two or more video conferences concurrently | LENOVO (SINGAPORE) PTE. LTD. |
11196872 | System for voice control of devices at hospitality establishment and method and control server thereof | BULLHEAD INNOVATIONS LTD. |
11196875 | Application apparatus, image processing apparatus, and non-transitory computer readable medium with plurality of recovery methods for applications switching to be in operation target state | FUJIFILM BUSINESS INNOVATION CORP. |
11196878 | Printing system and methods using a mobile user interface with a primary user interface at a printing device | KYOCERA DOCUMENT SOLUTIONS INC. |
11196880 | System, printing method, and information processing apparatus | SEIKO EPSON CORPORATION |
11196881 | Image forming apparatus employs a line-of-sight detection technique to execute user operations in plural modes | CANON KABUSHIKI KAISHA |
11196883 | Printer with cover to protect connection of external cables | SEIKO EPSON CORPORATION |
11196886 | Image processing device, method for determining sheet orientation, and sheet | TOSHIBA TEC KABUSHIKI KAISHA |
11196888 | Printing apparatus for displaying predetermined display screen for requesting printing to the printing apparatus and control method thereof, and non-transitory computer-readable medium | CANON KABUSHIKI KAISHA |
11196890 | Image reading apparatus | CANON KABUSHIKI KAISHA |
11196896 | Image forming apparatus and image forming method | CANON KABUSHIKI KAISHA |
11196907 | Automatic greetings by outdoor IP security cameras | KUNA SYSTEMS CORPORATION |
11196915 | Image monitoring system and image monitoring program | SONY GROUP CORPORATION |
11196917 | Electronic system with eye protection | -- |
11196930 | Display device content selection through viewer identification and affinity prediction | -- |
11196941 | Fixture for aligning tiled displays | CHRISTIE DIGITAL SYSTEMS USA, INC. |
11196944 | System for infinite windows with optical disparity and depth resolution | AT&T INTELLECTUAL PROPERTY I, L.P. |
11196945 | Electronic device including camera module and method for controlling electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11196957 | Display system, display method, and display apparatus | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11196963 | Programmable video composition layout | AMAZON TECHNOLOGIES, INC. |
11196974 | Display control apparatus and display control method | CANON KABUSHIKI KAISHA |
11197038 | Systems and methods for synchronizing surface data management operations for virtual reality | VERIZON PATENT AND LICENSING INC. |
11197055 | Supplemental services interface | COMCAST CABLE COMMUNICATIONS, LLC |
11197057 | Storage management of data streamed from a video source device | AVIGILON CORPORATION |
11197064 | Display device, display control method, and program | SATURN LICENSING LLC |
11197066 | Navigation for 360-degree video streaming | AT&T INTELLECTUAL PROPERTY I, L.P. |
11197069 | Display method for live broadcast screen of live broadcast room, storage device and computer device | GUANGZHOU HUYA INFORMATION TECHNOLOGY CO., LTD. |
11197078 | Portable radio with button over speaker | MOTOROLA SOLUTIONS, INC. |
11197096 | Systems and methods for associating playback devices with voice assistant services | SONOS, INC. |
11197112 | Validation of audio calibration using multi-dimensional motion check | SONOS, INC. |
11197115 | Systems and methods for authenticating and calibrating passive speakers with a graphical user interface | SONOS, INC. |
11197117 | Media playback based on sensor data | SONOS, INC. |
11197537 | Systems, methods, and devices for providing guidance and feedback based on location and performance | KONINKLIJKE PHILIPS N.V. |
11197626 | Indicator and analytics for sensor insertion in a continuous analyte monitoring system and related methods | DEXCOM, INC. |
11197657 | Methods and systems for identifying ultrasound images | GENERAL ELECTRIC COMPANY |
11197730 | Manipulator system | KAWASAKI JUKOGYO KABUSHIKI KAISHA |
11197731 | Auxiliary image display and manipulation on a computer display in a medical robotic system | INTUITIVE SURGICAL OPERATIONS, INC. |
11197736 | Medical control system and method that uses packetized data to convey medical video information | SONY CORPORATION |
11197769 | Systems and methods for approximating musculoskeletal dynamics | WEST VIRGINIA UNIVERSITY |
11197773 | Intraoral device control system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11198058 | Storage medium storing game program, information processing apparatus, information processing system, and game processing method | NINTENDO CO., LTD. |
11198059 | Vibration control apparatus, vibration control method, and program | SONY INTERACTIVE ENTERTAINMENT INC. |
11198060 | Control apparatus and control program | SONY INTERACTIVE ENTERTAINMENT INC. |
11198064 | Systems and methods for rendering a virtual content object in an augmented reality environment | -- |
11198125 | Microfluidic valves, systems, and related methods | FACEBOOK TECHNOLOGIES, LLC |
11198154 | Method and apparatus for providing vibration in electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11198462 | Sensor structures on a steering wheel | -- |
11199409 | Method for processing measurements of at least one electronic sensor placed in a handheld device | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11199458 | Force sensing input device utilizing strain gauges | SYNAPTICS INCORPORATED |
11199561 | System and method for standardized evaluation of activity sequences | ROBERT BOSCH GMBH |
11199627 | Monitoring system | KONICA MINOLTA, INC. |
11199652 | Active privacy screen | SONY INTERACTIVE ENTERTAINMENT INC. |
11199705 | Image rendering responsive to user actions in head mounted display | SONY INTERACTIVE ENTERTAINMENT INC. |
11199709 | Electronic device, external electronic device and method for connecting electronic device and external electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11199711 | Enhanced reality systems | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11199714 | Experimental reality integrated system | -- |
11199715 | Systems, devices, and/or methods for providing images via a contact lens | E-VISION SMART OPTICS, INC. |
11199871 | Display device | SAMSUNG DISPLAY CO., LTD. |
11199892 | Hybrid computing module | -- |
11199893 | Method, device for processing data of bluetooth speaker, and bluetooth speaker | SHENZHEN GRANDSUN ELECTRONIC CO., LTD. |
11199897 | Customized Internet-of-Things data packaging and brokering | SAP PORTALS ISRAEL LTD. |
11199898 | Gaze based interface for augmented reality environment | SENTIAR, INC. |
11199899 | System and method for dynamic content delivery based on gaze analytics | SYNC-THINK, INC. |
11199900 | Modifying a computer-based interaction based on eye gaze | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11199901 | Augmenting the functionality of non-digital objects using a digital glove | MICROSOFT TECHNOLOGY LICENSING, LLC |
11199902 | Input device | SONY INTERACTIVE ENTERTAINMENT INC. |
11199903 | Systems and methods for providing haptic feedback when interacting with virtual objects | THE FLORIDA INTERNATIONAL UNIVERSITY BOARD OF TRUSTEES |
11199904 | Brain-computer interface platform and process for classification of covert speech | HOLLAND BLOORVIEW KIDS REHABILITATION HOSPITAL |
11199905 | Systems, methods, and articles for haptic-jamming | FACEBOOK TECHNOLOGIES, LLC |
11199906 | Global user input management | AMAZON TECHNOLOGIES, INC. |
11199907 | Method and a system for assisting in performing financial services | -- |
11199908 | Wrist-worn device-based inputs for an operating system | PISON TECHNOLOGY, INC. |
11199909 | Numerical value input apparatus, character input apparatus | KYOCERA DOCUMENT SOLUTIONS INC. |
11199910 | Optical navigation device, optical navigation method and image processing system | -- |
11199911 | Signature input device, settlement terminal, and signature input method | TOSHIBA TEC KABUSHIKI KAISHA |
11199912 | Multi-modal hand location and orientation for avatar movement | MAGIC LEAP, INC. |
11199913 | Finger computer display and controller device | -- |
11199914 | Camera and sensor controls for remotely operated vehicles and virtual environments | FLUIDITY TECHNOLOGIES INC. |
11199915 | Monitor with slidable touchpad | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11199916 | Operation support device | JAPAN DISPLAY INC. |
11199917 | Sensing screen, control circuit and control method thereof, and sensing screen apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
11199918 | Display apparatus with integrated touch screen | LG DISPLAY CO., LTD. |
11199919 | In-cell touch display device | HKC CORPORATION LIMITED |
11199920 | Display device and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11199921 | Touch display panel and detection method thereof, and touch display apparatus | CHONGQING BOE DISPLAY TECHNOLOGY CO., LTD. |
11199922 | Display device | SAMSUNG DISPLAY CO., LTD. |
11199923 | Touch display module and touch display screen | -- |
11199924 | Electronic device including decoration structure | SAMSUNG ELECTRONICS CO., LTD. |
11199925 | Touch display module and electronic device | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
11199926 | Electronic apparatus | LG DISPLAY CO., LTD. |
11199927 | Method and apparatus for determining mistaken approaching or touching event | -- |
11199928 | Method and apparatus for preventing false touch on edge, and storage medium | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11199929 | Antireflective treatment for textured enclosure components | APPLE INC. |
11199930 | Touch display device and method for manufacturing touch display device | LG DISPLAY CO., LTD. |
11199931 | Capacitive touch sensor | SANKO TEKSTIL ISLETMELERI SAN. VE TIC. A.S. |
11199932 | Touch detection circuit with detection of water | ROHM CO., LTD. |
11199933 | Common mode noise mitigation for integrated touch screens | APPLE INC. |
11199934 | Display device with position input function | SHARP KABUSHIKI KAISHA |
11199935 | Display device and method of fabricating the same | SAMSUNG DISPLAY CO., LTD. |
11199936 | Flexible touch sensing system and method with deformable material | PURDUE RESEARCH FOUNDATION |
11199937 | Touch sensor having improved sensing sensitivity and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11199939 | Evaluation of interactions with a user interface | FULLSTORY, INC. |
11199940 | Three-dimensional operations based on planar projections in graphic user interfaces | COREL CORPORATION |
11199941 | Conversion and display of a user input | TUNG INC. |
11199942 | Method and system for sorting desktop objects | HUAWEI TECHNOLOGIES CO., LTD. |
11199943 | Processing system having a machine learning engine for providing a selectable item availability output | ALLSTATE INSURANCE COMPANY |
11199944 | System and method for navigation within widget-sized browser panels | SALESFORCE.COM, INC. |
11199945 | Method and electronic device for performing context-based actions | SAMSUNG ELECTRONICS CO., LTD. |
11199946 | Information processing apparatus, control method, and program | NEC CORPORATION |
11199947 | Group-based view in user interfaces | EMC IP HOLDING COMPANY LLC |
11199948 | Displaying a sequence and files associated with the sequence having a missing file | EMC IP HOLDING COMPANY LLC |
11199949 | Automation tool for generating web pages and links | CONSTELLATION AGENCY, LLC |
11199950 | Moving image reproduction apparatus, candidate jump destination display method, and computer program | KONICA MINOLTA, INC. |
11199951 | Database system dashboard snapshotting | SALESFORCE.COM, INC. |
11199952 | Adjusting user interface for touchscreen and mouse/keyboard environments | GOOGLE LLC |
11199953 | Processing a user input in relation to an image | SIEMENS HEALTHCARE GMBH |
11199954 | Customized interactive computing environment | MEDIA |
11199955 | Enhanced techniques for building user interfaces | PALANTIR TECHNOLOGIES INC. |
11199956 | Unified real time rule analytics using common programming model on both edge and cloud | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11199957 | Generating customized avatars based on location information | SNAP INC. |
11199958 | Narrative arc story engine | TORONTO |
11199959 | Controlling and monitoring a smoke control system | HONEYWELL INTERNATIONAL INC. |
11199960 | Interactive media content platform | SLCKET, INC. |
11199961 | Wireless device having a rear panel control to provide advanced touch screen control | TRACFONE WIRELESS, INC. |
11199962 | Freehand memo image authentication | FORT WORTH |
11199963 | Non-contact operation input device | FUNAI ELECTRIC CO., LTD. |
11199964 | Foldable electronic device and method for controlling screen by using gesture | SAMSUNG ELECTRONICS CO., LTD. |
11199965 | Virtual keyboard | VERIZON PATENT AND LICENSING INC. |
11199966 | Dynamic soft keyboard | MICROSOFT TECHNOLOGY LICENSING, LLC |
11199967 | Techniques for power management using loopback | MICRON TECHNOLOGY, INC. |
11199968 | Using recurring write quotas to optimize utilization of solid state storage in a hybrid storage array | EMC IP HOLDING COMPANY LLC |
11199969 | Storage system interface | NETAPP, INC. |
11199970 | Data storage device and method for rewriting parameters thereof | -- |
11199971 | Managing operational parameters for migrating data for resized volumes | AMAZON TECHNOLOGIES, INC. |
11199972 | Information processing system and volume allocation method | HITACHI, LTD. |
11199973 | Storage system, computer system, and control method for storage system | HITACHI, LTD. |
11199974 | Allocation of memory regions of a nonvolatile semiconductor memory for stream-based data writing | KIOXIA CORPORATION |
11199975 | Interface circuit for processing commands, memory device including the same, storage device, and method of operating the memory device | SAMSUNG ELECTRONICS CO., LTD. |
11199976 | Gateway for cloud-based secure storage | SECURITY FIRST CORP. |
11199977 | Methods of sketch-based memory management and memory devices utilizing the same | MICRON TECHNOLOGY, INC. |
11199978 | Data protection with multiple site replication | OVERLAND STORAGE, INC. |
11199979 | Enabling data integrity checking and faster application recovery in synchronous replicated datasets | NETAPP, INC. |
11199980 | Techniques to provide run-time protections using immutable regions of memory | INTEL CORPORATION |
11199981 | Method and system for binding storage device and host, and method and system for verifying storage device and host | SHENZHEN DAPU MICROELECTRONICS CO., LTD. |
11199982 | Data storage device and control method for non-volatile memory | -- |
11199983 | Apparatus for obsolete mapping counting in NAND-based storage devices | WESTERN DIGITAL TECHNOLOGIES, INC. |
11199984 | Data writing method and apparatus, and electronic device | KUNLUNXIN TECHNOLOGY (BEIJING) COMPANY LIMITED |
11199985 | Tracking storage capacity usage by snapshot lineages using metadata in a multi-level tree structure | EMC IP HOLDING COMPANY LLC |
11199986 | System and method for data migration across storage systems | EMC IP HOLDING COMPANY LLC |
11199987 | Vectored data store for seeding and building data stores in new regions | AMAZON TECHNOLOGIES, INC. |
11199988 | Data migration across tiers in a multi-tiered storage area network | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11199989 | Methods, apparatuses and computer program products for supporting and performing data replication of virtual machine | EMC IP HOLDING COMPANY LLC |
11199990 | Data reduction reporting in storage systems | EMC IP HOLDING COMPANY LLC |
11199991 | Method and apparatus for controlling different types of storage units | -- |
11199992 | Automatic host buffer pointer pattern detection | WESTERN DIGITAL TECHNOLOGIES, INC. |
11199993 | Control system, development assistance device, and development assistance program | OMRON CORPORATION |
11199994 | Decoupling data request rate from hardware medium for archival data storage devices | AMAZON TECHNOLOGIES, INC. |
11199995 | Time to live for load commands | MICRON TECHNOLOGY, INC. |
11199996 | Method of scheduling requests to banks in a flash controller | KIOXIA CORPORATION |
11199997 | Storage device operations using a die translation table | WESTERN DIGITAL TECHNOLOGIES, INC. |
11199998 | Non-volatile dual in-line memory module (NVDIMM) device assisted operations management | SAMSUNG ELECTRONICS CO., LTD. |
11199999 | Management of write operations in a non-volatile memory device using a variable pre-read voltage level | MICRON TECHNOLOGY, INC. |
11200000 | Memory controller and storage device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11200001 | Management of power during memory device reset and initialization | MICRON TECHNOLOGY, INC. |
11200002 | Nonvolatile memory device | SAMSUNG ELECTRONICS CO., LTD. |
11200003 | Bounded latency and command non service methods and apparatus | WESTERN DIGITAL TECHNOLOGIES, INC. |
11200004 | Compression of data for a file system | EMC IP HOLDING COMPANY LLC |
11200005 | Tiering adjustment upon unmapping memory in multi-tiered systems | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11200006 | Electronic memory data storage system having memory chips transportable to memory controller drives | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11200007 | Display method, display device, and printing device | SEIKO EPSON CORPORATION |
11200008 | Image forming apparatus, recording medium, and control method with problem solving guidance | SHARP KABUSHIKI KAISHA |
11200009 | Managing the configurations of printing devices | RICOH COMPANY, LTD. |
11200010 | System and method for increasing page yield of a replaceable toner cartridge | -- |
11200011 | Printer and server | BROTHER KOGYO KABUSHIKI KAISHA |
11200012 | Terminal device, access point, communication device, and computer programs therefor | BROTHER KOGYO KABUSHIKI KAISHA |
11200013 | Information processing device to display screen for correcting setting to troubleshoot and non-transitory computer readable recording medium | FUJIFILM BUSINESS INNOVATION CORP. |
11200014 | Display device and display system for increasing transparency of an object in first and second screens | KYOCERA DOCUMENT SOLUTIONS INC. |
11200015 | Computer-readable storage medium for information processing apparatus having selectable processing channels and a display capable of displaying applicable options for print settings | BROTHER KOGYO KABUSHIKI KAISHA |
11200016 | Print data control apparatus to instruct another printing apparatus at transmission destination to perform desired process, printing apparatus thereof, and printing system | FUJIFILM BUSINESS INNOVATION CORP. |
11200017 | Method for providing cloud print service and server | CANON KABUSHIKI KAISHA |
11200018 | Electronic device and method for sharing screen data | SAMSUNG ELECTRONICS CO., LTD. |
11200019 | Bypassing desktop composition | DISPLAYLINK (UK) LIMITED |
11200020 | Intelligent wearable device | JRD COMMUNICATION (SHENZHEN) LTD |
11200021 | Display apparatus and automotive apparatus | LG DISPLAY CO., LTD. |
11200022 | Method and apparatus of playing audio data | TENCENT MUSIC ENTERTAINMENT TECHNOLOGY [SHENZHEN] CO., LTD. |
11200023 | Override for OEM audio signal equalizer | AAMP OF FLORIDA, INC. |
11200024 | Playback device with device base | SONOS, INC. |
11200025 | Playback device | SONOS, INC. |
11200026 | Wireless earpiece with a passive virtual assistant | BRAGI GMBH |
11200027 | Virtual assistant configured to automatically customize groups of actions | GOOGLE LLC |
11200028 | Apparatus, systems and methods for presenting content reviews in a virtual world | DISH NETWORK L.L.C. |
11200044 | Providing access to a hybrid application offline | DROPBOX, INC. |
11200046 | Managing composable compute system infrastructure with support for decoupled firmware updates | LENOVO ENTERPRISE SOLUTIONS (SINGAPORE) PTE. LTD. |
11200071 | Cognitive scrollbar | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11200073 | Automatic anchor determination and target graphical element identification in user interface automation | UIPATH, INC. |
11200078 | Facilitating use of an unfamiliar command line tool via a familiar command line tool | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11200087 | Wearable device for executing plurality of applications and method of operating same | SAMSUNG ELECTRONICS CO., LTD. |
11200111 | Memory system and operating method thereof | SK HYNIX INC. |
11200113 | Auto-increment write count for nonvolatile memory | INTEL CORPORATION |
11200159 | System and method for facilitating efficient utilization of NAND flash memory | ALIBABA GROUP HOLDING LIMITED |
11200160 | Data processing method and apparatus, and flash device | HUAWEI TECHNOLOGIES CO., LTD. |
11200161 | Keeping zones open with intermediate padding | WESTERN DIGITAL TECHNOLOGIES, INC. |
11200165 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11200172 | Storage system and method of controlling storage system | HITACHI, LTD. |
11200180 | NVMe SGL bit bucket transfers | WESTERN DIGITAL TECHNOLOGIES, INC. |
11200193 | Transferring data between solid state drives (SSDs) via a connection between the SSDs | MARVELL ASIA PTE, LTD. |
11200205 | Displaying an alert and options when deleting a file that is associated with a sequence of files | EMC IP HOLDING COMPANY LLC |
11200228 | Integrated object environment system and method | AMERICAN INTERNATIONAL GROUP, INC. |
11200236 | Server-side cross-model filtering | BUSINESS OBJECTS SOFTWARE LTD. |
11200240 | Techniques for ranking content item recommendations | ORACLE INTERNATIONAL CORPORATION |
11200246 | Hash bucketing of data | SPLUNK INC. |
11200247 | Method and system for keyword search using messaging service | LINE CORPORATION |
11200248 | Techniques for facilitating the joining of datasets | ORACLE INTERNATIONAL CORPORATION |
11200252 | Process and apparatus for selecting an item from a database | KANNUU PTY LTD. |
11200271 | Dynamically ranking media effects based on user and device characteristics | FACEBOOK, INC. |
11200272 | Dynamic playlist priority in a vehicle based upon user preferences and context | VOLVO CAR CORPORATION |
11200278 | Method and apparatus for determining background music of a video, terminal device and storage medium | BEIJING MICROLIVE VISION TECHNOLOGY CO., LTD |
11200282 | Integrated views of multiple different computer program applications with action options | ATLASSIAN PTY LTD. |
11200293 | Method and system for controlling presentation of web resources in a browser window | YANDEX EUROPE AG |
11200330 | Secure storage access through rate limitation | RED HAT, INC. |
11200345 | Firewall to determine access to a portion of memory | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11200366 | Using classifications from text to determine instances of graphical element types to include in a template layout for digital media output | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11200371 | Hand-written information inputting apparatus and hand-written information inputting method | WACOM CO., LTD. |
11200372 | Calculations on images within cells in spreadsheets | MICROSOFT TECHNOLOGY LICENSING, LLC |
11200380 | Sentiment topic model | WALMART APOLLO, LLC |
11200396 | Touched electronic device | -- |
11200414 | Process for capturing content from a document | IDEMIA IDENTITY & SECURITY USA LLC |
11200440 | Information processing apparatus, information processing method, and storage medium | CANON KABUSHIKI KAISHA |
11200471 | Cut-out scanning system | KYOCERA DOCUMENT SOLUTIONS INC. |
11200473 | Information processing device to determine whether a managed device is a mobile device or a stationary device, information processing method, and non-transitory computer-readable recording medium therefor | BROTHER KOGYO KABUSHIKI KAISHA |
11200503 | Search system and corresponding method | MICROSOFT TECHNOLOGY LICENSING, LLC |
11200513 | Real estate image analysis | CARRIER CORPORATION |
11200519 | Drag and drop allocation in PLM | CENTRIC SOFTWARE, INC. |
11200542 | Intelligent appointment suggestions | APPLE INC. |
11200543 | Event scheduling | MINDBODY, INC. |
11200562 | Connected payment card systems and methods | WELLS FARGO BANK, N.A. |
11200602 | System for providing context-sensitive display overlays to a mobile device via a network | FACEBOOK, INC. |
11200611 | Computer vision for unsuccessful queries and iterative search | EBAY INC. |
11200613 | Systems and methods for a subscription service via autonomous vehicles | NURO, INC. |
11200614 | Identifying items in images | ACCENTURE GLOBAL SOLUTIONS LIMITED |
11200623 | User interface for planning tool | WELLS FARGO BANK, N.A. |
11200626 | Digital image analyzing system involving client-server interaction | GOOD CLEAN COLLECTIVE, INC. |
11200629 | Property management system and related methods | LINDY PROPERTY MANAGEMENT COMPANY |
11200655 | Wearable visualization system and method | UNIVERSAL CITY STUDIOS LLC |
11200656 | Drop detection systems and methods | UNIVERSAL CITY STUDIOS LLC |
11200692 | Systems and methods to check-in shoppers in a cashier-less store | STANDARD COGNITION, CORP |
11200712 | Methods and apparatus for providing a digital illustration system | WETRANSFER B.V. |
11200717 | Separately processing regions or objects of interest from a render engine to a display engine or a display panel | INTEL CORPORATION |
11200721 | Last-level projection method and apparatus for virtual and augmented reality | INTEL CORPORATION |
11200729 | Content positioning in extended reality systems | QUALCOMM INCORPORATED |
11200730 | Continuous time warp and binocular time warp for virtual and augmented reality display systems and methods | MAGIC LEAP, INC. |
11200735 | Apparatus and method for defining and interacting with regions of an operational area | BAE SYSTEMS PLC |
11200740 | Systems for generating image-based user interfaces | AMAZON TECHNOLOGIES, INC. |
11200741 | Generating high fidelity spatial maps and pose evolutions | MICROSOFT TECHNOLOGY LICENSING, LLC |
11200743 | Social network access via virtual-reality | -- |
11200746 | Device and method to display object with visual effect | SAMSUNG ELECTRONICS CO., LTD. |
11200748 | Systems, methods, and storage media for conveying virtual content in an augmented reality environment | -- |
11200749 | Systems and methods of augmented reality visualization based on sensor data | THE BOEING COMPANY |
11200773 | Secure storage and retrieval systems and methods | BELL AND HOWELL, LLC |
11200815 | Tactile communication tool | -- |
11200828 | Method for matching color temperature of display and system thereof | -- |
11200869 | Wearable display system for portable computing devices | UNITED SERVICES AUTOMOBILE ASSOCIATION (USAA) |
11200884 | Voice profile updating | AMAZON TECHNOLOGIES, INC. |
11200891 | Communications utilizing multiple virtual assistant services | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11200892 | Speech-enabled augmented reality user interface | AMAZON TECHNOLOGIES, INC. |
11200893 | Multi-modal interaction between users, automated assistants, and other computing services | GOOGLE LLC |
11200894 | Network microphone device with command keyword eventing | SONOS, INC. |
11200895 | Interaction with a portion of a content item through a virtual assistant | VERINT AMERICAS INC. |
11200900 | Offline voice control | SONOS, INC. |
11200910 | Resolution of edit conflicts in audio-file development | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11200916 | Highlighting media through weighting of people or contexts | GOOGLE LLC |
11200918 | Video framing based on device orientation | GOPRO, INC. |
11200919 | Providing a user interface for video annotation tools | SONY GROUP CORPORATION |
11200957 | Read disturb scan consolidation | MICRON TECHNOLOGY, INC. |
11200964 | Short imagery task (SIT) research method | NIELSEN CONSUMER LLC |
11200969 | Peer-review flagging system | ENLITIC, INC. |
11200983 | Pathway planning system and method | COVIDIEN LP |
11201019 | Wireless mouse and switch module applied therein | -- |
11201195 | Electronic device including flexible display panel | SAMSUNG ELECTRONICS CO., LTD. |
11201597 | Phantom-powered audio pre-preamplifier with integrated transformer for musical instrument and microphone dual-selective signal gain | CLOUD MICROPHONES, LLC. |
11201623 | Unified programmable computational memory and configuration network | XILINX, INC. |
11201795 | Declarative and reactive data layer for component-based user interfaces | SALESFORCE.COM, INC. |
11201796 | Enabling transfer of widgets across containers at runtime | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11201836 | Method and device for managing stateful application on server | EMC IP HOLDING COMPANY LLC |
11201842 | Systems, devices, and methods for presenting conversation messages in messenger applications | MASSACHUSETTS MUTUAL LIFE INSURANCE COMPANY |
11201844 | Methods and systems for managing multiple topic electronic communications | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11201860 | Information processing system, information processing apparatus, and data output apparatus | RICOH COMPANY, LTD. |
11201905 | Service processing system and service processing method | FUJIFILM BUSINESS INNOVATION CORP. |
11201906 | Providing instructions during remote viewing of a user interface | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11201950 | Non-transitory computer-readable recording medium for terminal device | BROTHER KOGYO KABUSHIKI KAISHA |
11201959 | Electronic device and control method for providing security notification | SAMSUNG ELECTRONICS CO., LTD. |
11201961 | Methods and interfaces for adjusting the volume of media | APPLE INC. |
11201962 | Calling on a multi-display device | MICROSOFT TECHNOLOGY LICENSING, LLC |
11201964 | Monitoring and listening tools across omni-channel inputs in a graphically interactive voice response system | TALKDESK, INC. |
11201975 | Server system having voice-controlled printing apparatus | CANON KABUSHIKI KAISHA |
11201980 | Image forming apparatus with power control based on human detection, method for controlling image forming apparatus, and recording medium | CANON KABUSHIKI KAISHA |
11201981 | System for notification of user accessibility of curated location-dependent content in an augmented estate | PIPBIN, INC. |
11202008 | Head mounted display having a plurality of display modes | SONY INTERACTIVE ENTERTAINMENT INC. |
11202010 | Control device, external device, medical observation system, control method, display method, and program | SONY OLYMPUS MEDICAL SOLUTIONS INC. |
11202012 | Imaging apparatus and display control method for self-portrait photography | SONY CORPORATION |
11202028 | Display device configuring multi display system and control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11202029 | Display system, display method, and display apparatus | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11202034 | Electronic device including flexible display | SAMSUNG ELECTRONICS CO., LTD. |
11202119 | Video playing method and terminal device | HUAWEI TECHNOLOGIES CO., LTD. |
11202128 | Method and apparatus for modifying output characteristics of proximate devices | ROVI GUIDES, INC. |
11202137 | Wearable audio device placement detection | BOSE CORPORATION |
11202142 | Vibration generation system, signal generator, and vibrator device | JVCKENWOOD CORPORATION |
11202148 | Smart audio with user input | FACEBOOK, INC. |
11202150 | Audio control based on determination of physical space surrounding vehicle | HONDA MOTOR CO., LTD. |
11202151 | Audio hub | BOSE CORPORATION |
11202501 | Personal control apparatus and method for sharing information in a collaborative workspace | STEELCASE INC. |
11202563 | Guided lens design exploration system for a progressive lens simulator | NEUROLENS, INC. |
11202598 | User interfaces for health monitoring | APPLE INC. |
11202676 | Neural monitor-based dynamic haptics | MAKO SURGICAL CORP. |
11202687 | Dental system and method | BIOLASE, INC. |
11202729 | Patient support apparatus user interfaces | STRYKER CORPORATION |
11202896 | Hand gesture based tattoo machine control | TCM SUPPLY CORPORATION |
11202967 | Toy and user-customized toy system | -- |
11203182 | Filling-bonding material, protective sheet-equipped filling-bonding material, laminated body, optical device, and protective panel for optical device | SEKISUI CHEMICAL CO., LTD. |
11203222 | Marker, stylus and eraser holder | WRIGO LLC |
11203292 | Vehicle and control method for the same | HYUNDAI MOTOR COMPANY |
11203309 | Touchpad for mirror posture adjustment | CONTINENTAL AUTOMOTIVE SYSTEMS, INC. |
11203372 | Steering wheel assembly | TESLA, INC. |
11203429 | Methods and systems for transfer of data storage components in an automated data storage system using unmanned aerial vehicles | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11204221 | Communication system for transmitting captured object information between at least two communication partners | STEINER-OPTIK GMBH |
11204257 | Automatic calibration of rate gyroscope sensitivity | CLOUDNAV INC. |
11204503 | Method and system for large field of view display with scanning mirror having optical power | MAGIC LEAP, INC. |
11204517 | Display device | MITSUBISHI ELECTRIC CORPORATION |
11204540 | Diffractive waveguide providing a retinal image | DIGILENS INC. |
11204639 | Artificial reality system having multiple modes of engagement | FACEBOOK TECHNOLOGIES, LLC |
11204640 | Methods for determining if teleoperation should be disengaged based on the user's gaze | VERB SURGICAL INC. |
11204641 | Light management for image and data control | -- |
11204642 | Test method for eye tracking algorithm | QINGDAO RESEARCH INSTITUTE OF BEIHANG UNIVERSITY |
11204643 | Method and arrangement for handling haptic feedback | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11204644 | Method and apparatus for modulating haptic feedback | ULTRAHAPTICS IP LTD |
11204645 | Method for providing haptic feedback, and electronic device for performing same | SAMSUNG ELECTRONICS CO., LTD. |
11204646 | Systems and methods for determining contexts of mobile devices | NEXTNAV, LLC |
11204647 | System and method for radar gesture recognition | TEXAS INSTRUMENTS INCORPORATED |
11204648 | Handshake to establish agreement between two parties in virtual reality | MASTERCARD INTERNATIONAL INCORPORATED |
11204649 | Head-mounted display with user-operated control | SA PHOTONICS, INC. |
11204650 | Method, apparatus and system for interacting with content on web browsers | INTEL CORPORATION |
11204651 | Keyboard device and key structure thereof | -- |
11204652 | Apparatus and method for arranging a keypad in wireless terminal | SAMSUNG ELECTRONICS CO., LTD. |
11204653 | Method and device for handling event invocation using a stylus pen | SAMSUNG ELECTRONICS CO., LTD. |
11204654 | Organic haptic interface | FM MARKETING GMBH |
11204655 | Active stylus communication with a digitizer | MICROSOFT TECHNOLOGY LICENSING, LLC |
11204656 | Fabric sensing device | APPLE INC. |
11204657 | Display device and control program | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11204658 | Touch sensor, display device, display module, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11204659 | Flexible circuit board and display device | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
11204660 | Touch sensor and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11204661 | Method of generating operation signal of electronic device, and electronic device | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11204662 | Input device with touch sensitive surface that assigns an action to an object located thereon | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11204663 | Display panel having a conductive member disposed on a side surface of a display layer and electrically connected to a touch layer | -- |
11204664 | Piezoresistive sensors and applications | BEBOP SENSORS, INC |
11204665 | Input device having reduced electromagnetic interference | SYNAPTICS INCORPORATED |
11204666 | Techniques for wideband touch sensing and related systems, methods and devices | ATMEL CORPORATION |
11204667 | Proximity sensing with soft-synchronization to noise | SYNAPTICS INCORPORATED |
11204668 | Electronic device and method for acquiring biometric information using light of display | SAMSUNG ELECTRONICS CO., LTD. |
11204669 | Controlling a voltage of a display panel | OMNIVISION TDDI ONTARIO LIMITED PARTNERSHIP |
11204670 | False triggering prevention in a resonant phase sensing system | CIRRUS LOGIC, INC. |
11204671 | Pen, method for detecting pen, and touch system | HEFEI BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11204672 | Touch panel and manufacturing method thereof | CAMBRIOS FILM SOLUTIONS CORPORATION |
11204673 | Touch substrate and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11204674 | Phase shift and phase shift assisted sensing | TACTUAL LABS CO. |
11204675 | Adaptive input countermeasures on human machine interface | APTIV TECHNOLOGIES LIMITED |
11204676 | Enterprise workspace notifications service | VMWARE, INC. |
11204677 | Method for real time update of fly-through camera placement | ACCLARENT, INC. |
11204678 | User interfaces for object exploration in virtual reality environments | AMAZON TECHNOLOGIES, INC. |
11204679 | Snapping objects into alignment in three-dimensional space | ADOBE INC. |
11204680 | Method of dividing screen areas and mobile terminal employing the same | SAMSUNG ELECTRONICS CO., LTD. |
11204681 | Program orchestration method and electronic device | HUAWEI TECHNOLOGIES CO., LTD. |
11204682 | Dynamic data-driven consolidation of user interface interactions requesting roadside assistance | STATE FARM MUTUAL AUTOMOBILE INSURANCE COMPANY |
11204683 | Systems and methods for generating and tracking hardcoded communications in a collaboration management platform | ASANA, INC. |
11204684 | Sticker presentation method and apparatus and computer-readable storage medium | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
11204685 | Voice communication targeting user interface | AMAZON TECHNOLOGIES, INC. |
11204686 | Display control device and method | TOSHIBA TEC KABUSHIKI KAISHA |
11204687 | Visual thumbnail, scrubber for digital content | BARNES & NOBLE COLLEGE BOOKSELLERS, LLC |
11204688 | Video playing method and device, electronic equipment and storage medium | HANGZHOU HIKVISION DIGITAL TECHNOLOGY CO., LTD. |
11204689 | Overview user interface of emergency call data of a law enforcement agency | PALANTIR TECHNOLOGIES INC. |
11204690 | Systems and methods for software development and deployment platforms having advanced workflow and event processing capabilities and graphical version controls | UNQORK, INC. |
11204691 | Reducing input requests in response to learned user preferences | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11204692 | User interface camera effects | APPLE INC. |
11204693 | Screenshot based TUI process for in-app purchase authentication | SAMSUNG ELECTRONICS CO., LTD. |
11204694 | Radar system facilitating ease and accuracy of user interactions with a user interface | GOOGLE LLC |
11204695 | Providing a remote keyboard service | APPLE INC. |
11204696 | Memory devices and electronic systems having a hybrid cache including static and dynamic caches that may be selectively disabled based on cache workload or availability, and related methods | MICRON TECHNOLOGY, INC. |
11204697 | Wear leveling in solid state devices | WESTERN DIGITAL TECHNOLOGIES, INC. |
11204698 | Memory controller to set operating environment of memory device, method of operating the same and storage device including the same | SK HYNIX INC. |
11204699 | Storage system port maintenance information sharing with host device | EMC IP HOLDING COMPANY LLC |
11204700 | Data storage device and non-volatile memory control method, with security extension | -- |
11204701 | Token based transactions | PURE STORAGE, INC. |
11204702 | Storage domain growth management | RED HAT ISRAEL, LTD. |
11204703 | Techniques for scavenging of free provisioned blocks | EMC IP HOLDING COMPANY LLC |
11204704 | Updating multi-mode DIMM inventory data maintained by a baseboard management controller | AMERICAN MEGATRENDS INTERNATIONAL, LLC |
11204705 | Retention-aware data tiering algorithm for hybrid storage arrays | WESTERN DIGITAL TECHNOLOGIES, INC. |
11204706 | Enhanced hash calculation in distributed datastores | VMWARE, INC. |
11204707 | Scalable binning for big data deduplication | TAMR, INC. |
11204708 | System and method for an offline migration of on-premise environment to a cloud-based service | EMC IP HOLDING COMPANY LLC |
11204709 | Storage system and storage control method | HITACHI, LTD. |
11204710 | Filtered reference copy of secondary storage data in a data storage system | COMMVAULT SYSTEMS, INC. |
11204711 | Method and system for optimizing a host computing device power down through offload capabilities | EMC IP HOLDING COMPANY LLC |
11204712 | Using mirror path statistics in recalling extents to a primary storage system and a secondary storage system from a third storage system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11204713 | Techniques for selection of a data reduction technique when performing data replication | EMC IP HOLDING COMPANY LLC |
11204714 | Hybrid use of non-volatile memory as storage device and cache | MICROSOFT TECHNOLOGY LICENSING, LLC |
11204715 | Derived data generation and storage | AMAZON TECHNOLOGIES, INC. |
11204716 | Compression offloading to RAID array storage enclosure | EMC IP HOLDING COMPANY LLC |
11204717 | Object storage system with access control quota status check | WESTERN DIGITAL TECHNOLOGIES, INC. |
11204718 | Apparatuses, systems, and methods to store pre-read data associated with a modify-write operation | INTEL CORPORATION |
11204719 | Snap read optimization for media management for a memory sub-system | MICRON TECHNOLOGY, INC. |
11204720 | Data retention in zone storage | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11204721 | Input/output size control between a host system and a memory sub-system | MICRON TECHNOLOGY, INC. |
11204722 | Content-aware storage system and method for use therewith | WESTERN DIGITAL TECHNOLOGIES, INC. |
11204723 | Implicit leader election in a distributed storage network | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11204724 | Printing system, printing system control method, printing apparatus, terminal device, and non-transitory computer-readable storage medium storing program for controlling access to print job data accessible from the terminal device | SEIKO EPSON CORPORATION |
11204725 | Printing apparatus and printing processing method for controlling printing between printing apparatuses | SEIKO EPSON CORPORATION |
11204726 | Image processing apparatus, control method for image processing apparatus, and storage medium | CANON KABUSHIKI KAISHA |
11204727 | Image processing apparatus and image processing method | OKI ELECTRIC INDUSTRY CO., LTD. |
11204728 | Print job modification in a print shop environment | RICOH COMPANY, LTD. |
11204729 | Internet based digital content services for pervasively providing protected digital content to smart devices based on having subscribed to the digital content service | FLEXIWORLD TECHNOLOGIES, INC. |
11204730 | Printing device and program | SEIKO EPSON CORPORATION |
11204731 | Information processing method, information processing apparatus, and information processing system for transmitted information from a server | CANON KABUSHIKI KAISHA |
11204732 | Historian interface system | AVEVA SOFTWARE, LLC |
11204733 | Devices, methods, and graphical user interfaces for wireless pairing with peripheral devices and displaying status information concerning the peripheral devices | APPLE INC. |
11204734 | Display apparatus, method of controlling the same and recording medium thereof | SAMSUNG ELECTRONICS CO., LTD. |
11204735 | Receiving audio from a listening device associated with a selected geographic area | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11204736 | Multi-frequency sensing method and apparatus using mobile-clusters | ZOPHONOS INC. |
11204737 | Playback queues for shared experiences | SONOS, INC. |
11204783 | Method and device for process data sharing | APPLE INC. |
11204784 | Systems and methods for building dynamic interfaces | CAPITAL ONE SERVICES, LLC |
11204785 | Parameterized user interface for capturing user feedback | IGNITION INTERFACES, INC. |
11204787 | Application integration with a digital assistant | APPLE INC. |
11204797 | Computing systems and methods of operating computing systems | SAMSUNG ELECTRONICS CO., LTD. |
11204814 | Cross-platform remote user experience accessibility | MICROSOFT TECHNOLOGY LICENSING, LLC |
11204820 | Failure detection for central electronics complex group management | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11204822 | Distributed storage network (DSN) configuration adaptation based on estimated future loading | PURE STORAGE, INC. |
11204828 | Management of corruptive read in memory systems | MICRON TECHNOLOGY, INC. |
11204829 | Systems and methods for an ECC architecture with prioritized task queues | INNOGRIT TECHNOLOGIES CO., LTD. |
11204834 | Implementation of keeping data integrity in multiple dimensions | SAGE MICROELECTRONICS CORPORATION |
11204836 | Using trap slices for anomaly detection in a distributed storage network | PURE STORAGE, INC. |
11204842 | System and method for automating formation and execution of a backup strategy using machine learning | ACRONIS INTERNATIONAL GMBH |
11204843 | Disaster recovery in a distributed file system | EMC IP HOLDING COMPANY LLC |
11204846 | Memory system and method for operating the same | SK HYNIX INC. |
11204852 | Information processing apparatus, method of controlling the same, information processing system and storage medium | CANON KABUSHIKI KAISHA |
11204854 | Systems and methods for determining user engagement with electronic devices | VERIZON MEDIA INC. |
11204868 | Method for controlling slices of memory to be turned on or off, controller, chip and electronic device | BAIDU ONLINE NETWORK TECHNOLOGY (BEIJING) CO., LTD. |
11204870 | Techniques for determining and using caching scores for cached data | EMC IP HOLDING COMPANY LLC |
11204873 | Pre-decompressing a compressed form of data that has been pre-fetched into a cache to facilitate subsequent retrieval of a decompressed form of the data from the cache | EMC IP HOLDING COMPANY LLC |
11204880 | Hash tables in flash memory | EMC IP HOLDING COMPANY LLC |
11204891 | Identifying partial update for tape file system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11204903 | Determination and reconciliation of software used by a managed network | SERVICENOW, INC. |
11204917 | Graphical query builder for multi-modal search | SERVICENOW, INC. |
11204938 | Caching of file system warning queries to determine an applicable file system warning | DROPBOX, INC. |
11204941 | Distributed database system, distributed database management method, and distributed database management program | HITACHI, LTD. |
11204956 | Dynamically ranking media effects based on user and device characteristics | FACEBOOK, INC. |
11204958 | System and method of personalizing playlists using memory-based collaborative filtering | PANDORA MEDIA, LLC |
11204969 | Providing deep links in association with toolbars | MICROSOFT TECHNOLOGY LICENSING, LLC |
11204974 | Methods and systems for providing an augmented reality interface for saving information for recognized objects | CAPITAL ONE SERVICES, LLC |
11204979 | License management method and apparatus | CANON KABUSHIKI KAISHA |
11204989 | Passthought for multifactor authentication in augmented reality | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11204990 | Apparatus and method for device security | QUALCOMM INCORPORATED |
11205006 | Data storage nodes collaboration and data processing for data statistical analysis | ADVANCED NEW TECHNOLOGIES CO., LTD. |
11205010 | Systems and methods for identifying privacy leakage information | NORTONLIFELOCK INC. |
11205023 | Computer aided systems and methods for creating custom products | BEST APPS, LLC |
11205036 | Method and system for implementing contextual widgets | ORACLE INTERNATIONAL CORPORATION |
11205039 | Locking spreadsheet cells | APPLE INC. |
11205057 | Communication assistant to bridge incompatible audience | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11205061 | Low resistance fingerprint sensor and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11205062 | Display device and method of driving the same | SAMSUNG DISPLAY CO., LTD. |
11205065 | Gesture detection in embedded applications | ALPINE ELECTRONICS OF SILICON VALLEY, INC. |
11205069 | Hybrid cornea and pupil tracking | FACEBOOK TECHNOLOGIES, LLC |
11205070 | Method, an apparatus, a display device and a storage medium for positioning a gaze point | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11205118 | Power-efficient deep neural network module configured for parallel kernel and parallel input processing | MICROSOFT TECHNOLOGY LICENSING, LLC |
11205205 | Authentic review platform | -- |
11205206 | Data storage device, method and system, and control of data storage device based on writing operations and lifetime | WESTERN DIGITAL TECHNOLOGIES, INC. |
11205211 | Artificial intelligence system for image analysis and item selection | -- |
11205286 | Techniques for optimizing creation of digital diagrams | MICROSOFT TECHNOLOGY LICENSING, LLC |
11205302 | Virtual fitting system with motion activated light | KING ABDULAZIZ UNIVERSITY |
11205303 | Frame-by-frame rendering for augmented or virtual reality systems | MAGIC LEAP, INC. |
11205304 | Systems and methods for rendering user interfaces for augmented or virtual reality | MAGIC LEAP, INC. |
11205305 | Presentation of three-dimensional video | SAMSUNG ELECTRONICS CO., LTD. |
11205306 | Augmented reality medical diagnostic projection | AT&T INTELLECTUAL PROPERTY I, L.P. |
11205307 | Rendering a message within a volumetric space | NOKIA TECHNOLOGIES OY |
11205326 | Fantasy sports contest | -- |
11205333 | Sensory feedback | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11205350 | IoT-driven proprioceptive analytics with automated performer feedback | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11205351 | Dynamically controlling program flow of a testing application | WESTERN GOVERNORS UNIVERSITY |
11205360 | Display control apparatus, display control method, and non-transitory computer-readable storage medium | CANON KABUSHIKI KAISHA |
11205363 | Electronic display cross-talk compensation systems and methods | APPLE INC. |
11205397 | Data transmission device and data transmission method | GUANGZHOU SHIYUAN ELECTRONICS CO., LTD. |
11205398 | Evaluating and reducing myopiagenic effects of electronic displays | WAVESHIFT LLC |
11205402 | Information display apparatus and method, and recording medium | MITSUBISHI ELECTRIC CORPORATION |
11205421 | Selection system and method | CERENCE OPERATING COMPANY |
11205426 | Information processing device, information processing method, and program | SONY CORPORATION |
11205429 | Information processing apparatus and non-transitory computer readable medium | FUJIFILM BUSINESS INNOVATION CORP. |
11205430 | Method and apparatus for generating hint words for automated speech recognition | ROVI GUIDES, INC. |
11205431 | Method, apparatus and device for presenting state of voice interaction device, and storage medium | BAIDU ONLINE NETWORK TECHNOLOGY (BEIJING) CO., LTD. |
11205432 | Cross-platform communication method, server device and electronic device | -- |
11205439 | Regulating speech sound dissemination | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11205460 | Prerecorded video experience container | GFYCAT, INC. |
11205483 | Memory system having dies and operating method of the memory system outputting a command in response to a status of a selected die | SK HYNIX INC. |
11205688 | Display module having a circuit insulating layer | SAMSUNG DISPLAY CO., LTD. |
11205955 | Current averaging audio amplifier | D'AMORE ENGINEERING, LLC |
11206002 | Media playback system with maximum volume setting | SONOS, INC. |
11206019 | Capacitance detection circuit, capacitance detection method, touch chip, and electronic device | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
11206057 | Bluetooth pairing method | -- |
11206182 | Automatically reconfiguring an input interface | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11206200 | Dashboard for graphic display of computer network topology | JUNIPER NETWORKS, INC. |
11206222 | System and method of memory management in communication networks | WIPRO LIMITED |
11206232 | Generating and maintaining group messaging threads for ephemeral content | FACEBOOK, INC. |
11206236 | Systems and methods to prioritize chat rooms using machine learning | CISCO TECHNOLOGY, INC. |
11206250 | Coordination support system, coordination support method, and non-transitory computer-readable storage medium | RICOH COMPANY, LTD. |
11206300 | Providing instructions during remote viewing of a user interface | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11206301 | User interaction with desktop environment | -- |
11206311 | Method and system for measuring user engagement using click/skip in content stream | VERIZON MEDIA INC. |
11206321 | Mobile terminal and electronic device including same | LG ELECTRONICS INC. |
11206323 | Method for determining relative positions of dual screens, display control method and terminal | ZTE CORPORATION |
11206336 | Information processing apparatus, method, and non-transitory computer readable medium | FUJIFILM BUSINESS INNOVATION CORP. |
11206373 | Method and system for providing mixed reality service | R CUBE CO., LTD. |
11206383 | System and method for presenting virtual reality content to a user | MINDSHOW INC. |
11206432 | System and method for providing synchronized interactive multimedia content to mobile devices based on geolocation of a vehicle | DIGITAL SEAT MEDIA, INC. |
11206442 | Methods and apparatus for video streaming with improved synchronization | VISHARE TECHNOLOGY LIMITED |
11206456 | Systems and methods for dynamically enabling and disabling a biometric device | ROVI GUIDES, INC. |
11206459 | Method and apparatus for augmented reality presentation associated with a media program | AT&T INTELLECTUAL PROPERTY I, L.P. |
11206481 | Display apparatus | LG DISPLAY CO., LTD. |
11206502 | System and method for evaluating an ear seal using normalization | CIRRUS LOGIC, INC. |
11206503 | Automated universal test system for testing remote control units | CONTEC, LLC |
11206507 | Localization determination for mixed reality systems | MAGIC LEAP, INC. |
11206603 | Method and apparatus to limit wireless connectivity roaming of multi-function devices | XEROX CORPORATION |
11206726 | Smart lighting and operation method thereof | LG ELECTRONICS INC. |
11206811 | Animal environmental and physiological monitoring system | ST REPRODUCTIVE TECHNOLOGIES, LLC |
11206978 | Meta-optics-based systems and methods for ocular applications | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11206993 | Systems for radio wave based health monitoring that generate alignment signals | MOVANO INC. |
11206994 | Wearable systems for radio wave based health monitoring that include attachment and/or alignment features | MOVANO INC. |
11207032 | Feedback device and method for providing thermal feedback using the same | TEGWAY CO., LTD. |
11207044 | Information processing apparatus, information processing method, computer-readable medium, and biological signal measurement system | RICOH COMPANY, LTD. |
11207090 | Surgical instruments comprising a biased shifting mechanism | CILAG GMBH INTERNATIONAL |
11207133 | Method and apparatus for the interaction of virtual tools and geo-registered tools | -- |
11207139 | 3D navigation system and methods | SYNAPTIVE MEDICAL INC. |
11207150 | Displaying a virtual model of a planned instrument attachment to ensure correct selection of physical instrument attachment | GLOBUS MEDICAL, INC. |
11207564 | Interactive exercise machine system with mirror display | INTERACTIVE STRENGTH, INC. |
11207584 | Smart device | -- |
11207590 | Video game controller | PERFORMANCE DESIGNED PRODUCTS LLC |
11207606 | Systems and methods for reactive projection-mapped show robot | UNIVERSAL CITY STUDIOS LLC |
11207608 | Media synchronized control of peripherals | OPENTV, INC. |
11207952 | Vehicle-related virtual reality and/or augmented reality presentation | -- |
11208039 | Vehicular surveillance system | -- |
11208040 | Display system and work vehicle | KOMATSU LTD. |
11208060 | Configurable management system for a vehicle and method of use | LES SYSTÈMES CYBERKAR |
11209209 | Refrigerator | LG ELECTRONICS INC. |
11209239 | Firearm stock | RADE TECNOLOGíAS, S.L. |
11209282 | Vehicle cleanliness detection and carwash recommendation | FORD GLOBAL TECHNOLOGIES, LLC |
11209286 | Recreational vehicle interactive telemetry, mapping and trip planning system | POLARIS INDUSTIES INC. |
11209326 | Pressure sensor device formed in board and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11209442 | Image selection suggestions | GOOGLE LLC |
11209644 | Pupil steering for a pupil expander | FACEBOOK TECHNOLOGIES, LLC |
11209654 | Digital eyewear system and method for the treatment and prevention of migraines and photophobia | PERCEPT TECHNOLOGIES INC |
11209657 | Position tracking system for head-mounted display systems that includes angle sensitive detectors | VALVE CORPORATION |
11209659 | Augmented reality head-mounted display with beam shifter for pupil steering | FACEBOOK TECHNOLOGIES, LLC |
11209665 | Application interface display method and apparatus, and storage medium | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11209686 | Display device | JAPAN DISPLAY INC. |
11209761 | Image forming apparatus, method for controlling image forming apparatus, and storage medium having controller which executes repair processing on the storage medium | CANON KABUSHIKI KAISHA |
11209777 | Electronic timepiece and method of reporting state of electronic timepiece | CASIO COMPUTER CO., LTD. |
11209781 | Electronic device capable of appropriately using various time displays | CASIO COMPUTER CO., LTD. |
11209806 | Assistant application for a modular control system | FISHER-ROSEMOUNT SYSTEMS, INC. |
11209861 | Dual side dockable keyboard base with bi-directional wireless charging function for 2 in 1 devices | INTEL CORPORATION |
11209862 | Keyboard dock verification | DELL PRODUCTS L.P. |
11209864 | Flexible touch panel comprising a flexible substrate with a fan-out region, manufacturing method thereof and flexible touch display | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11209865 | Method for providing image using foldable display and electronic device for supporting the same | SAMSUNG ELECTRONICS CO., LTD. |
11209868 | Display device and method of manufacturing a display device | SAMSUNG DISPLAY CO., LTD. |
11209873 | Drop protection for display assistant device | GOOGLE LLC |
11209877 | Electrical module, display panel, display device, input/output device, data processing device, and method of manufacturing electrical module | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11209882 | Mechanical selection of power consumption indicator by form factor | MICROSOFT TECHNOLOGY LICENSING, LLC |
11209897 | Strengthening prediction confidence and command priority using natural user interface (NUI) inputs | LENOVO (SINGAPORE) PTE. LTD. |
11209898 | Eye image collection | MAGIC LEAP, INC. |
11209899 | High dynamic range for head-mounted display device | ADVANCED MICRO DEVICES, INC. |
11209900 | Information processing device and information processing method | SONY CORPORATION |
11209901 | Estimating cornea radius for use in eye tracking | TOBII AB |
11209902 | Controlling input focus based on eye gaze | LENOVO (SINGAPORE) PTE. LTD. |
11209903 | Rendering of mediated reality content | NOKIA TECHNOLOGIES OY |
11209904 | Tactile stimulation providing device | BHAPTICS INC. |
11209905 | Panel and electronic apparatus | TAIYO YUDEN CO., LTD. |
11209906 | Method and wearable apparatus for synchronizing a user with a virtual environment | VR ELECTRONICS LIMITED |
11209907 | Method for dynamic interaction and electronic device thereof | SAMSUNG ELECTRONICS CO., LTD. |
11209908 | Information processing apparatus and information processing method | SONY CORPORATION |
11209910 | Remoting or localizing touch gestures at a virtualization client agent | CITRIX SYSTEMS, INC. |
11209911 | Data replacement apparatus and program for head mounted display | DWANGO CO., LTD. |
11209912 | Measuring device and configuration method | -- |
11209913 | User action remote control | COMPUTIME LTD. |
11209914 | Method and apparatus for detecting orientation of electronic device, and storage medium | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11209915 | Method and apparatus for performing display of content according to detected moving track | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
11209916 | Dominant hand usage for an augmented/virtual reality device | LOGITECH EUROPE S.A. |
11209917 | Device that manages power provided to an object sensor | UNIVERSAL ELECTRONICS INC. |
11209918 | Measuring light using a digital pen | LENOVO (SINGAPORE) PTE. LTD. |
11209919 | Knob device applicable to touch panel | -- |
11209920 | User interfaces with strike sensors | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11209921 | Electronic blackboard, storage medium, and information display method | RICOH COMPANY, LTD. |
11209922 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11209923 | Protective film for metal mesh touch sensor | FUTURETECH CAPITAL, INC. |
11209924 | Bezel-less touch display module and manufacturing method thereof | HUIZHOU CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11209925 | Display device | LG DISPLAY CO., LTD. |
11209926 | Device and method for proximity sensing on an input device | SYNAPTICS INCORPORATED |
11209927 | Apparatus for sensing user input | GOOGLE LLC |
11209928 | Display device and pressure sensor structure | SAMSUNG DISPLAY CO., LTD. |
11209929 | Faulty pressure sensor determination of a portable communication device touch display | ROBERT BOSCH GMBH |
11209930 | Method of controlling device using various input types and device for performing the method | SAMSUNG ELECTRONICS CO., LTD. |
11209931 | Localized haptic feedback | SENTONS INC. |
11209932 | Display device with built-in touch panel and driving method therefor | SHARP KABUSHIKI KAISHA |
11209933 | Pen detection unit and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11209934 | Voltage driving method and device for touch display panel, and touch display panel | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. |
11209935 | Method for updating environmental threshold in touch sensing, touch sensor, and water outlet device | XIAMEN SOLEX HIGH-TECH INDUSTRIES CO., LTD. |
11209936 | Noise mitigation for a frequency domain sensor | TACTUAL LABS CO. |
11209937 | Error correction for seamless transition between hover and touch sensing | SAMSUNG ELECTRONICS CO., LTD. |
11209938 | Pen state detection circuit and pen state detection method | WACOM CO., LTD. |
11209939 | External user interface for head worn computing | MENTOR ACQUISITION ONE, LLC |
11209940 | Touch sensor | SAMSUNG DISPLAY CO., LTD. |
11209941 | Board game system, non-transitory computer readable medium, game piece, and game piece set | CYGAMES, INC. |
11209942 | Method for manufacturing capacitive touch control panel having a plurality of bridging structures | -- |
11209943 | OLED touch display panel and touch display device | BOE TECHNOLOGY GROUP CO., LTD. |
11209944 | Electronic devices with glass layer coatings | APPLE INC. |
11209945 | Printed wiring | JAPAN AVIATION ELECTRONICS INDUSTRY, LIMITED |
11209946 | Touch sensor and image display device including the same | DONGWOO FINE-CHEM CO., LTD. |
11209947 | Touch structure and touch panel | BOE TECHNOLOGY GROUP CO., LTD. |
11209948 | Touch panel | -- |
11209949 | Electronic device including touch sensor IC and operation method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11209950 | Multiple customizable communication protocols used within a platform or application and defined by users | -- |
11209951 | Method and apparatus for displaying application program, terminal device, and storage medium | SHENZHEN HEYTAP TECHNOLOGY CORP., LTD. |
11209952 | System and method for providing a customisable graphical user interface for information technology assistance | INVAROSOFT PTY LTD |
11209953 | Object switching method and apparatus and interface presentation method and apparatus based on visual programming | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED |
11209954 | Surgical robotic system using dynamically generated icons to represent orientations of instruments | CMR SURGICAL LIMITED |
11209955 | Information processing apparatus and non-transitory computer readable medium for managing workflow | FUJIFILM BUSINESS INNOVATION CORP. |
11209956 | Collaborative media sharing | MICROSOFT TECHNOLOGY LICENSING, LLC |
11209957 | User interfaces for cycle tracking | APPLE INC. |
11209958 | Behavior-influenced content access/navigation menus | T-MOBILE USA, INC. |
11209959 | Points list tool for a building management system | HONEYWELL INTERNATIONAL INC. |
11209960 | Control method of terminal device | DRIVEMODE, INC. |
11209961 | Device, method, and graphical user interface for manipulating user interfaces based on fingerprint sensor inputs | APPLE INC. |
11209962 | System, method, and apparatus for providing insights, and taking actions thereon, for contextually relevant user-specific content integrated with a third-party Personal Information Management (PIM) application within a cloud based computing environment | SALESFORCE.COM, INC. |
11209963 | System, method, and apparatus for filtering insights for contextually relevant user-specific content integrated with a third-party personal information management (PIM) application within a cloud based computing environment | SALESFORCE.COM, INC. |
11209964 | System and method for reacting to messages | SLACKTECHNOLOGIES, LLC |
11209965 | Method for displaying handler and electronic device therefor | SAMSUNG ELECTRONICS CO., LTD. |
11209966 | Extended on-screen gameplay via augmented reality | DISNEY ENTERPRISES, INC. |
11209967 | Systems and methods for identifying a set of characters in a media file | OPEN INVENTION NETWORK LLC |
11209968 | Systems and methods for analyzing and organizing digital photos and videos | MEMORYWEB, LLC |
11209969 | Techniques for manipulating panoramas | APPLE INC. |
11209970 | Method, device, and system for providing an interface based on an interaction with a terminal | BANMA ZHIXING NETWORK (HONGKONG) CO., LIMITED |
11209971 | System and user interfaces for rapid analysis of viewership information | PALANTIR TECHNOLOGIES INC. |
11209972 | Combined tablet screen drag-and-drop interface | D&M HOLDINGS, INC. |
11209973 | Information processing apparatus, method, and medium to control item movement based on drag operation | CANON KABUSHIKI KAISHA |
11209974 | Storage medium having stored therein information processing program, information processing apparatus, information processing system, and information processing method for determining a correction offset for a dragged object | NINTENDO CO., LTD. |
11209975 | Enhanced canvas environments | MICROSOFT TECHNOLOGY LICENSING, LLC |
11209976 | System and method for editing input management | MYSCRIPT |
11209977 | Quick data browsing method for an electronic device | -- |
11209978 | Recognition and processing of gestures in a graphical user interface using machine learning | ATLASSIAN PTY LTD. |
11209979 | Systems and methods for input interfaces promoting obfuscation of user navigation and selections | MICROSOFT TECHNOLOGY LICENSING, LLC |
11209980 | Storing difference between current data version and one of multiple data versions in a dispersed storage network memory | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11209981 | Apparatuses and methods for configurable memory array bank architectures | MICRON TECHNOLOGY, INC. |
11209982 | Controlling operation of a data storage system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11209983 | I/O request processing method, storage array, and host | HUAWEI TECHNOLOGIES CO., LTD. |
11209984 | Method and apparatus for performing data-compression management in a storage server | -- |
11209985 | Input/output delay optimization method, electronic system and memory device using the same | -- |
11209986 | Memory operations on data | MICRON TECHNOLOGY, INC. |
11209987 | Storage system storing a plurality of disk files which correspond to a plurality of virtual disks and access control method thereof | SILICON MOTION TECHNOLOGY (HONG KONG) LIMITED |
11209988 | Dynamic storage volume distribution according to wearing level | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11209989 | Zoned namespaces in solid-state drives | WESTERN DIGITAL TECHNOLOGIES, INC. |
11209990 | Apparatus and method of allocating data segments in storage regions of group of storage units | SUPER MICRO COMPUTER, INC. |
11209991 | Tape library emulation with automatic configuration and data retention | OVERLAND STORAGE, INC. |
11209992 | Detection of alteration of storage keys used to protect memory | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11209993 | Physical unclonable function (PUF) for NAND operator | SANDISK TECHNOLOGIES LLC |
11209994 | Systems and methods for data path power savings in DDR5 memory devices | MICRON TECHNOLOGY, INC. |
11209995 | Information processing apparatus that moves file based on file size, file access interval time and storage power consumption | NEC PLATFORMS, LTD. |
11209996 | Mapped cluster stretching for increasing workload in a data storage system | EMC IP HOLDING COMPANY LLC |
11209997 | Method and system for low latency data management | BLACKBERRY LIMITED |
11209998 | Adjustment of storage device parameters based on workload characteristics | WESTERN DIGITAL TECHNOLOGIES, INC. |
11209999 | Memory controller and method capable of using different storing modes to store data units having different data sizes | -- |
11210000 | Method and apparatus for path selection of storage systems | EMC IP HOLDING COMPANY LLC |
11210001 | Storage device parameter monitoring for load balancing | WESTERN DIGITAL TECHNOLOGIES, INC. |
11210002 | Offloaded device-driven erasure coding | SAMSUNG ELECTRONICS CO., LTD. |
11210003 | Method, device and computer program product for restoring data based on replacing child node identifiers with parent node identifier | EMC IP HOLDING COMPANY LLC |
11210004 | Controller memory system to perform a single level cell (SLC), or multi level cell (MLC) or triple level cell (TLC) program operation on a memory block | SK HYNIX INC. |
11210005 | Unbalanced plane management method, associated data storage device and controller thereof | -- |
11210006 | Distributed scalable storage | VAST DATA LTD. |
11210007 | Deduplication of encrypted data within a remote data store | NETAPP, INC. |
11210008 | Memory system for multi-clustering read thresholds and method thereof | SK HYNIX INC. |
11210009 | Staging data in a cloud-based storage system | PURE STORAGE, INC. |
11210010 | Data migration in a multi-tiered storage system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11210011 | Memory system data management | MICRON TECHNOLOGY, INC. |
11210012 | Data storage device and operating method thereof where zones, in first level memory and second level memory, are dynamically adjusted based on data movement between the zones | SK HYNIX INC. |
11210013 | Object store mirroring and garbage collection during synchronization of the object store | NETAPP, INC. |
11210014 | Method and apparatus for processing I/O information of data, method and apparatus for analyzing I/O information of data, and related devices | BEIJING BAIDU NETCOM SCIENCE AND TECHNOLOGY CO., LTD. |
11210015 | Data storage device, operation method thereof, and storage system having the same | SK HYNIX INC. |
11210016 | Method of operating memory controller and memory system, and the memory system | SAMSUNG ELECTRONICS CO., LTD. |
11210017 | Buffer memory, and computation device and system using the same | SK HYNIX INC. |
11210018 | Holistic linking of data across data sources | HONEYWELL INTERNATIONAL INC. |
11210019 | Memory with virtual page size | MICRON TECHNOLOGY, INC. |
11210020 | Methods and systems for accessing a memory | HUAWEI TECHNOLOGIES CO., LTD. |
11210021 | Storage device and method of controlling storage device | TOSHIBA MEMORY CORPORATION |
11210022 | Method, electronic device and computer readable storage medium of storage management | EMC IP HOLDING COMPANY LLC |
11210023 | Technologies for data management in vehicle-based computing platforms | INTEL CORPORATION |
11210024 | Optimizing read-modify-write operations to a storage device by writing a copy of the write data to a shadow block | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11210025 | Memory device including concurrent suspend states for different operations | MICRON TECHNOLOGY, INC. |
11210026 | Digital device and method for controlling the same | LG ELECTRONICS INC. |
11210027 | Weighting of read commands to zones in storage devices | WESTERN DIGITAL TECHNOLOGIES, INC. |
11210028 | Method for accessing flash memory module and associated flash memory controller and electronic device | -- |
11210029 | Generating memory array control signals | MICRON TECHNOLOGY, INC. |
11210030 | Data storage control device and data storage control system | DENSO CORPORATION |
11210031 | Thermal region tags and thermal region outlier detection | WESTERN DIGITAL TECHNOLOGIES, INC. |
11210032 | Storage device and data processing method | HITACHI, LTD. |
11210033 | Quality of service management in a distributed storage system | WEKA.IO LTD. |
11210034 | Method and apparatus for performing high availability management of all flash array server | -- |
11210035 | Creating, by host computers, respective object of virtual disk based on virtual disk blueprint | VMWARE, INC. |
11210036 | Print system, print apparatus, control method, and storage medium | CANON KABUSHIKI KAISHA |
11210037 | Image selection and sizing for jewelry | MRK FINE ARTS, LLC |
11210038 | Information processing system and mobile terminal for providing a service to backup data | CANON KABUSHIKI KAISHA |
11210039 | System and method for implementing policy-based printing operations for documents having confidential information | KYOCERA DOCUMENT SOLUTIONS INC. |
11210040 | Setup support system, information processing apparatus, image forming apparatus, and method for controlling setup support system | CANON KABUSHIKI KAISHA |
11210041 | Information processing apparatus, information processing system, and non-transitory computer readable medium for extracting and replicating setting that needs to be changed | FUJIFILM BUSINESS INNOVATION CORP. |
11210042 | Data streams of production intents | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11210043 | Printer to die cutter media size shift | TILIA LABS INC. |
11210044 | Image forming apparatus, printing system, and printing information notification method | TOSHIBA TEC KABUSHIKI KAISHA |
11210045 | Secure deleting of image data stored at printing apparatus based on a deleting request from a terminal apparatus | BROTHER KOGYO KABUSHIKI KAISHA |
11210046 | Printer, machine learning device, and machine learning method | SEIKO EPSON CORPORATION |
11210047 | Accessory device operation with user mobile device over network connection | APPLE INC. |
11210048 | Display device, display module, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11210049 | Display control method and terminal | VIVO MOBILE COMMUNICATION CO., LTD. |
11210050 | Display control method, storage medium and electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11210051 | Display device and operation method thereof | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11210052 | Information processing apparatus controlling screen to be displayed | RICOH COMPANY, LTD. |
11210053 | Portable playback device state variable | SONOS, INC. |
11210054 | Electronic apparatus and control method thereof for performing automatic volume-level control | SAMSUNG ELECTRONICS CO., LTD. |
11210055 | Control based on proximity | SONOS, INC. |
11210056 | Electronic device and method of controlling thereof | SAMSUNG ELECTRONICS CO., LTD. |
11210057 | Multi-User Media Player GUI | -- |
11210058 | Systems and methods for providing independently variable audio outputs | TV EARS, INC. |
11210059 | Audible command modification | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11210060 | Interaction system, interaction method, and program | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11210061 | Non-transitory computer-readable recording medium storing computer-readable instructions for causing information processing device to execute communication processing with image processing program and voice-recognition program, information processing device, and method of controlling information processing device | BROTHER KOGYO KABUSHIKI KAISHA |
11210062 | Methods, apparatuses and systems for modifying user-interface using voice commands | CHIEF CHIEF TECHNOLOGIES OY |
11210068 | Automatic anonymization of workflow templates | MICROSOFT TECHNOLOGY LICENSING, LLC |
11210082 | Modification of terminal and service provider machines using an update server machine | S3G TECHNOLOGY LLC |
11210093 | Large data read techniques | MICRON TECHNOLOGY, INC. |
11210106 | Simulated visual hierarchy while facilitating cross-extension communication | MICROSOFT TECHNOLOGY LICENSING, LLC |
11210112 | System and method of utilizing graphical user interface profiles | DELL PRODUCTS L.P. |
11210114 | Method and system for the distribution of synchronized video to an array of randomly positioned display devices acting as one aggregated display device | -- |
11210115 | Data visualization user interface with summary popup that includes interactive objects | TABLEAU SOFTWARE, INC. |
11210116 | System, method and computer program product of navigating users through a complex computing system to perform a task | ADP, LLC |
11210117 | Information processing apparatus, information processing method, and recording medium | RICOH COMPANY, LTD. |
11210119 | Method and device for displaying interface, electronic equipment, server, and storage medium | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11210124 | Movement of virtual machine data across clusters of nodes | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11210130 | Adaptive write acknowledgment for storage devices | INTEL CORPORATION |
11210140 | Data transformation delegation for a graphical processing unit (‘GPU’) server | PURE STORAGE, INC. |
11210154 | Data-structure based dynamic program targeting control | MICRON TECHNOLOGY, INC. |
11210164 | Data storage system for improving data throughput and decode capabilities | WESTERN DIGITAL TECHNOLOGIES, INC. |
11210169 | Data storage method, apparatus, and system | ALIBABA GROUP HOLDING LIMITED |
11210183 | Memory health tracking for differentiated data recovery configurations | WESTERN DIGITAL TECHNOLOGIES, INC. |
11210196 | Systems and methods for locally streaming applications in a computing system | UNITED SERVICES AUTOMOBILE ASSOCIATION (USAA) |
11210207 | Performance counters for computer memory | MICROSOFT TECHNOLOGY LICENSING, LLC |
11210208 | Memory system including memory module, memory module, and operating method of memory module | SAMSUNG ELECTRONICS CO., LTD. |
11210209 | Method for managing flash memory module and associated flash memory controller and electronic device | -- |
11210219 | Synchronously replicating a dataset across a plurality of storage systems | PURE STORAGE, INC. |
11210227 | Duplicate-copy cache using heterogeneous memory types | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11210228 | Method, device and computer program product for cache management | EMC IP HOLDING COMPANY LLC |
11210242 | Memory system with cached memory module operations | RAMBUS INC. |
11210254 | Methods, electronic devices, storage systems, and computer program products for storage management | EMC IP HOLDING COMPANY LLC |
11210264 | Consolidation of expired snapshots using compute on cloud | RUBRIK, INC. |
11210269 | System and method for deduplicating container image storage data | RED HAT, INC. |
11210270 | Mapping storage across storage providers | MICROSOFT TECHNOLOGY LICENSING, LLC |
11210278 | Asset group interface driven by search-derived asset tree hierarchy | SPLUNK INC. |
11210309 | Systems and methods for grouping search results from multiple sources | ROVI GUIDES, INC. |
11210325 | Automatic rule modification | SPLUNK INC. |
11210331 | Cross-platform content muting | GOOGLE LLC |
11210339 | Transient contextual music streaming | FACEBOOK, INC. |
11210376 | Systems and methods for biometric user authentication | SAMSUNG ELECTRONICS CO., LTD. |
11210377 | Fingerprint recognition method and terminal device | HUAWEI TECHNOLOGIES CO., LTD. |
11210406 | Encrypting system level data structures | SEAGATE TECHNOLOGY LLC |
11210431 | Securely entering sensitive information using a touch screen device | DELL PRODUCTS L.P. |
11210433 | System and method for construction estimation using aerial images | XACTWARE SOLUTIONS, INC. |
11210434 | Fault isolation | TEXTRON INNOVATIONS INC. |
11210437 | Systems and methods for tower antenna mount analysis and design | TOWER ENGINEERING SOLUTIONS, LLC |
11210451 | System and method for dynamic linking between graphic documents and comment data bases | E-PLAN, INC. |
11210453 | Host pair detection | MICROSOFT TECHNOLOGY LICENSING, LLC |
11210454 | Method for preparing documents written in markup languages while implementing a user interface for dealing with data of an information system | -- |
11210458 | Device, method, and graphical user interface for editing screenshot images | -- |
11210459 | Systems, devices, and methods for software coding | MASSACHUSETTS MUTUAL LIFE INSURANCE COMPANY |
11210462 | Voice input processing | AMAZON TECHNOLOGIES, INC. |
11210484 | Systems and methods for creating machine vision jobs including barcode scanning | ZEBRA TECHNOLOGIES CORPORATION |
11210489 | Method for fingerprint recognition and related devices | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
11210491 | Fingerprint sensor under a display module with tilted receiving optics | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
11210504 | Emotion detection enabled video redaction | HITACHI VANTARA LLC |
11210518 | Apparatus and associated methods for display of virtual reality content | NOKIA TECHNOLOGIES OY |
11210521 | Information processing apparatus, image display apparatus, control method for information processing apparatus and image display apparatus, and computer program | SEIKO EPSON CORPORATION |
11210630 | Integrated receiving and classification of computer hardware | SERVICENOW, INC. |
11210633 | Collaborative event processing method and apparatus | DINGTALK HOLDING (CAYMAN) LIMITED |
11210634 | Procedure flow administration system and method | GEMBA SOFTWARE SOLUTIONS INC. |
11210639 | Electronic dynamic calendar system, operation method and computer readable storage medium | -- |
11210651 | Systems and methods to use image data to perform authentication | SONY INTERACTIVE ENTERTAINMENT INC. |
11210658 | Constructing a distributed ledger transaction on a cold hardware wallet | ICOIN TECHNOLOGY, INC. |
11210691 | Systems and methods for identifying negotiable items | WALMART APOLLO, LLC |
11210715 | Computer-based systems configured to provide actionable graphical user interfaces on computing devices and methods of use thereof | CAPITAL ONE SERVICES, LLC |
11210726 | System and mechanism for upselling products on autonomous vehicles | NURO, INC. |
11210730 | Computer-implemented methods and system for customized interactive image collection based on customer data | SQUARE, INC. |
11210772 | Wearable visualization device systems and methods | UNIVERSAL CITY STUDIOS LLC |
11210793 | Mixed reality object detection | OPTUM TECHNOLOGY, INC. |
11210807 | Optimized shadows in a foveated rendering system | SONY INTERACTIVE ENTERTAINMENT INC. |
11210808 | Systems and methods for augmented reality | MAGIC LEAP, INC. |
11210817 | Systems and methods for displaying vehicle information for on-demand services | BEIJING DIDI INFINITY TECHNOLOGY AND DEVELOPMENT CO., LTD. |
11210832 | Animated gazes on head mounted displays | -- |
11210834 | Article of clothing facilitating capture of motions | TURINGSENSE INC. |
11210857 | Systems and methods for providing an augmented-reality virtual treasure hunt | THE TORONTO-DOMINION BANK |
11210858 | Systems and methods for enhancing augmented reality experience with dynamic output mapping | PCMS HOLDINGS, INC. |
11210861 | Method for adjusting and visualizing parameters for focusing an objective lens on an object and system for implementing the method | CARL ZEISS AG |
11210864 | Solution for generating virtual reality representation | IMMERSAL OY |
11210865 | Visually interacting with three dimensional data in augmented or virtual reality | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11210911 | Visual feedback system | -- |
11210912 | Tactile feedback actuator, electronic device using same, and method of operating same | NANOPORT TECHNOLOGY INC. |
11210958 | Method and system for a dynamic collision awareness envelope for a vehicle | ELBIT SYSTEMS LTD. |
11210961 | Systems and methods for neural pathways creation/reinforcement by neural detection with virtual feedback | NEUROLOGICAL REHABILITATION VIRTUAL REALITY, LLC |
11210968 | Behavior-based interactive educational sessions | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11210984 | Timing controller and display device | SEIKO EPSON CORPORATION |
11210988 | Graphical indicator | -- |
11210993 | Optimized display image rendering | INTEL CORPORATION |
11210994 | Driving method of display panel, display apparatus and virtual reality device | BOE TECHNOLOGY GROUP CO., LTD. |
11211005 | Pixel driving circuit, display device and driving method | BOE TECHNOLOGY GROUP CO., LTD. |
11211029 | Electronic device with improved visibility of user interface | SAMSUNG ELECTRONICS CO., LTD. |
11211030 | Electronic device with adaptive display | APPLE INC. |
11211033 | Agent device, method of controlling agent device, and storage medium for providing service based on vehicle occupant speech | HONDA MOTOR CO., LTD. |
11211034 | Display rendering | FACEBOOK TECHNOLOGIES, LLC |
11211035 | System and method for causing graphical information to be rendered | CHARLES SCHWAB & CO., INC. |
11211036 | Timestamp based display update mechanism | APPLE INC. |
11211057 | Interactive e-reader device, related method, and computer readable medium storing related software program | -- |
11211070 | Method, device and system for detecting working state of tower controller | BEIHANG UNIVERSITY |
11211073 | Display control of different verbatim text of vocal deliverance of performer-of-interest in a live event | SONY CORPORATION |
11211076 | Key phrase detection with audio watermarking | GOOGLE LLC |
11211131 | Adjusting program effective time using program step characteristics | MICRON TECHNOLOGY, INC. |
11211141 | Storage system with multiple components and method for use therewith | SANDISK TECHNOLOGIES LLC |
11211153 | Medical scan labeling quality assurance system | ENLITIC, INC. |
11211161 | Medical scan interface feature evaluating system | ENLITIC, INC. |
11211168 | Systems and methods for identifying content based on user interactions | NORTHWESTERN UNIVERSITY |
11211177 | Screen sharing system of digital main control room in nuclear power plant | KOREA HYDRO & NUCLEAR POWER CO., LTD. |
11211210 | Illumination system and method for maintaining a common illumination value on a release command sent from a keypad | LUTRON TECHNOLOGY COMPANY LLC |
11211212 | Keyboard and electronic device | HUAWEI TECHNOLOGIES CO., LTD. |
11211433 | In-display sensors and viewing angle adjustment microassemblies | INTEL CORPORATION |
11211580 | Display device and method for manufacturing the same | JAPAN DISPLAY INC. |
11211961 | Foldable electronic device including antenna | SAMSUNG ELECTRONICS CO., LTD. |
11212126 | Complex computing network for improving establishment and broadcasting of audio communication among mobile computing devices and for providing rapid audio conversations | STEREO APP LIMITED |
11212127 | Digital workspace sharing over one or more display clients and authorization protocols for collaboration systems | HAWORTH, INC. |
11212196 | Proportional quality of service based on client impact on an overload condition | NETAPP, INC. |
11212197 | Systems and methods for cloud-enabled data services | CONGRUITY 360, LLC |
11212286 | Automatically deployed information technology (IT) system and method | NET-THUNDER, LLC |
11212326 | Enhanced techniques for joining communication sessions | MICROSOFT TECHNOLOGY LICENSING, LLC |
11212340 | Extending mobile applications to the lock screen of a mobile device | ADENDA MEDIA INC. |
11212348 | Automatic image sharing with designated users over a communication network | GOOGLE LLC |
11212373 | Methods and apparatus for classification of flow metadata with user space communication stacks | APPLE INC. |
11212379 | Electronic device including movable flexible display | SAMSUNG ELECTRONICS CO., LTD. |
11212384 | Cross-channel configurable navigation | CAPITAL ONE SERVICES, LLC |
11212403 | Sheet post-processing apparatus for an image forming system that accounts for sheet binding processing time | TOSHIBA TEC KABUSHIKI KAISHA |
11212405 | Image reading apparatus that starts scanning based on detection of original and control method thereof | TOSHIBA TEC KABUSHIKI KAISHA |
11212409 | Information processing device for registering and displaying a character string. and information processing method and storage medium thereof | CANON KABUSHIKI KAISHA |
11212412 | Information processing system, information processing apparatus, image forming apparatus, information processing method, and computer program product | RICOH COMPANY, LTD. |
11212417 | Image processing apparatus that processes image data including coded image | KYOCERA DOCUMENT SOLUTIONS INC. |
11212418 | Image processing apparatus and image processing method with destination identifier used to establish wireless communication | OKI ELECTRIC INDUSTRY CO., LTD. |
11212432 | Data transmission systems and data transmission methods | SONY GROUP CORPORATION |
11212481 | Systems and methods for sharing capture settings for visual content capture | GOPRO, INC. |
11212482 | Real time painting of a video stream | SNAP INC. |
11212483 | Systems and methods for event-based playback control during virtual application of makeup effects | -- |
11212486 | Location based device grouping with voice control | AMAZON TECHNOLOGIES, INC. |
11212488 | Conference system | SUNCORPORATION |
11212494 | Holographic Christmas tree projector assembly | -- |
11212501 | Portable device and operation method for tracking user's viewpoint and adjusting viewport | -- |
11212502 | Method of modifying an image on a computational device | FOVO TECHNOLOGY LIMITED |
11212586 | Extending playing time of a video playing session by adding an increment of time to the video playing session after initiation of the video playing session | GOOGLE LLC |
11212588 | Control method for focus movement on EPG user interface, and display device | HISENSE VISUAL TECHNOLOGY CO., LTD. |
11212594 | Server device and storage medium for use therewith | KONAMI DIGITAL ENTERTAINMENT CO., LTD. |
11212612 | Voice control of a media playback system | SONOS, INC. |
11212619 | Electric device having sound output module | LG ELECTRONICS INC. |
11212629 | Updating playback device configuration information based on calibration data | SONOS, INC. |
11212633 | Immersive media with media device | AT&T INTELLECTUAL PROPERTY I, L.P. |
11212634 | Sound signal processing device, sound adjustment method, and medium | SOCIONEXT INC. |
11212847 | More secure device pairing | ROKU, INC. |
11212869 | Methods and systems to identify smart sensor locations by the application of physical stimulus | GENERAL ELECTRIC COMPANY |
11212882 | Information processing apparatus and information processing method for presentation of a cooking situation based on emotion of a user | SONY CORPORATION |
11212890 | Dual-mode spectral dimming lighting system | BIOLOGICAL INNOVATION AND OPTIMIZATION SYSTEMS, LLC |
11212900 | LED apparatus having one or more communication units and a method of employing same | 10644137 CANADA INC. |
11212925 | LED panel for a modular display screen | DIGILED (UK) LIMITED |
Archiver|手机版|科学网 ( 京ICP备07017567号-12 )
GMT+8, 2024-9-27 10:25
Powered by ScienceNet.cn
Copyright © 2007- 中国科学报社