|
陈立新 张琳 黄颖:中美欧日韩五局专利报告1890.docx
█武汉大学科教管理与评价研究中心 陈立新 张琳 黄颖
德州仪器公司(Texas Instruments Incorporated)是一家美国企业。2020年,德州仪器公司获得美国发明专利1136项,比上一年增长了27%,是获得美国专利数量第27多的机构。
相对来讲,德州仪器公司专利研发的优势领域是:基本电子电路、半导体零配件、半导体制造、发电和输变电、光电辐射测量与核物理。在这5个技术领域上,德州仪器公司的专利份额相对较高,分别占同领域专利数量的4%到1%。
从绝对数量上来看,德州仪器公司的重点技术领域是:基本电子电路、发电和输变电、光电辐射测量与核物理、半导体制造、半导体零配件。在这5个领域上获得了数量最多的专利,为329至159项。
可见,德州仪器公司的专利技术研发重点主要集中在基本电子电路领域。
附表2.4.27-1 2020年德州仪器公司主要技术领域的专利分布
技术领域 | 专利数量 | 占比(%) | |
1 | 基本电子电路 | 329 | 3.7% |
2 | 半导体零配件 | 159 | 2.2% |
3 | 半导体制造 | 172 | 1.4% |
4 | 发电和输变电 | 181 | 1.3% |
5 | 光电辐射测量与核物理 | 177 | 1.3% |
6 | 半导体元件 | 133 | 0.8% |
7 | 通信传输系统 | 75 | 0.7% |
8 | 计算机一般零部件 | 131 | 0.6% |
9 | 半导体组件与集成电路 | 94 | 0.6% |
10 | 控制器和运算器(CPU) | 64 | 0.5% |
11 | 信息存储 | 32 | 0.5% |
12 | 数字信息传输 | 69 | 0.4% |
13 | 图像通信 | 86 | 0.4% |
14 | 物理测量 | 60 | 0.4% |
15 | 物理信号和控制 | 64 | 0.3% |
16 | 电气元件和结构部件 | 44 | 0.2% |
17 | 材料化学与纳米 | 25 | 0.2% |
18 | 图像处理 | 26 | 0.2% |
19 | 无线通信网络 | 39 | 0.2% |
20 | 数据识别 | 22 | 0.2% |
注:占比(%)指其在某领域上的专利数量占该领域的比例。
附图2.4.27-1 2020年德州仪器公司在20个相对优势领域中的专利占比
感谢河南师范大学梁立明教授、科技部中国科学技术发展战略研究院武夷山研究员、大连理工大学丁堃教授对本报告的大力支持与帮助。同时,向以不同形式对本报告提出意见和建议的专家学者们表示诚挚的感谢。
附表2.4.27-2 2020年德州仪器公司(Texas Instruments Incorporated)的在美专利
Patent No. | Title | Inventor |
10526198 | Infrared sensor design using an epoxy film as an infrared absorption layer | Jackson Ricky Alan |
10527453 | System of power-saving in MEMS sensor applications | Waters Deric Wayne |
10527663 | Kalman filter for phase noise tracking | Mansour Mohamed |
10527726 | Methods and apparatus for LIDAR with DMD | Bartlett Terry Alan |
10528075 | Embedded clock in a communication system | Payne Robert Floyd |
10529561 | Method of fabricating non-etch gas cooled epitaxial stack for group IIIA-N devices | Haider Asad Mahmood |
10529641 | Integrated circuit nanoparticle thermal routing structure over interconnect region | Venugopal Archana |
10529654 | Wire support for a leadframe | Chien Yuh-Harng |
10529702 | Method and circuitry for on-chip electro-static discharge protection scheme for low cost gate driver integrated circuit | Chen Zhong |
10529705 | Integrated transistor and protection diode and fabrication method | Lin Haian |
10529706 | Integrated transistor and protection diode and fabrication method | Lin Haian |
10529708 | Conductivity modulated drain extended MOSFET | Appaswamy Aravind C |
10529796 | Galvanic isolation device | Cook Benjamin Stassen |
10529804 | Integrated circuit, LDMOS with trapezoid JFET, bottom gate and ballast drift and fabrication method | Cai Jun |
10529812 | Locos with sidewall spacer for transistors and other devices | Edwards Henry Litzmann |
10530186 | Wireless power transmission with fractional timing resolution | Dina Marius Vicentiu |
10530196 | Methods and apparatus for power loss calibration in a wireless power system | Oettinger Eric Gregory |
10530302 | Current mode chopper amplifier | Balasingam Ravinthiran |
10530308 | Offset drift compensation | Balasubramanian Shyamsunder |
10530344 | Multi-phase multi-frequency pulse width modulation | Nene Hrishikesh Ratnakar |
10530378 | Sample based gain error estimation for analog to digital converter | Naru Srinivas Kumar Reddy |
10530397 | Butterfly network on load data return | Balasubramanian Dheera |
10530402 | Wideband low distortion power amplifier | Chakraborty Sudipto |
10531108 | Signaling decoded picture buffer size in multi-loop scalable video coding | Kwon Do-Kyoung |
10534045 | Vertical hall-effect sensor for detecting two-dimensional in-plane magnetic fields | Green Keith Ryan |
10534083 | System and method for ultrasound color doppler imaging | Dasgupta Udayan |
10534387 | Circuit and method for power control | Turkewadikar Sachin Sudhir |
10534491 | Capacitive touch sensor and method | Jun Zhang |
10534736 | Shared buffer for multi-output display systems | Reghunath Anish |
10535409 | Method for suppressing gate oxide tunnel current in non-volatile memory to reduce disturbs | Heinrich-Barna Stephen K. |
10535594 | Interposer with extruded feed-through vias | Kummerl Steven |
10535731 | Lateral MOSFET with buried drain extension layer | Denison Marie |
10535783 | Unguarded schottky barrier diodes | Drobny Vladimir Frank |
10536024 | Battery charging system | Cohen Isaac |
10536072 | Voltage conversion and charging from low bipolar input voltage | Desai Nachiket Venkappayya |
10536113 | Variable gain power amplifiers | Chakraborty Sudipto |
10536138 | Multi-state packages | Huang Huanzhang |
10536258 | Skew compensation for multi-domain clock generation | Choo Hunsoo |
10536259 | Sub-rate phase interpolator based clock data recovery architecture with phase skew correction | Kenyon Eleazar Walter |
10536441 | Thread ownership of keys for hardware-accelerated cryptography | Peeters Eric Thierry |
10536722 | Sample adaptive offset (SAO) parameter signaling | Sze Vivienne |
10536853 | Secure network authentication at a gateway for non-internet protocol enabled devices | Vedantham Ramanuja |
10536891 | Using estimated time drift to determine keep alive periodicity in synchronized networks | Xhafa Ariton E. |
10539592 | Systems and methods for depopulating pins from contactor test sockets for packaged semiconductor devices | Tong Kay Chan |
10539594 | Detecting power supply sag in an integrated circuit | Swoboda Gary Lynn |
10539606 | Stack die gating having test control input, output, and enable | Whetsel Lee D. |
10539630 | Package for chip scale magnetometer or atomic clock | Herbsommer Juan |
10539669 | Three dimensional (3D) tracking of objects in a radar system | Ikram Muhammad Zubair |
10539781 | Compact rotator and method for making a beam steering device | Carothers Daniel N. |
10540736 | Display sub-system sharing for heterogeneous systems | Nadampalli Sunita |
10541012 | Nonvolatile logic array based computing over inconsistent power supply | Bartling Steven Craig |
10541016 | Dual mode memory array security apparatus, systems and methods | Juvekar Chiraag |
10541183 | Spectral reflectometry window heater | Shriner John Christopher |
10541194 | Semiconductor package with interconnected leads | Holloway Jeffrey Gail |
10541220 | Printed repassivation for wafer chip scale packaging | Komatsu Daiki |
10541225 | Methods of assembling a flip chip on a locking dual leadframe | Eugene Lee Lee Han Meng @ |
10541326 | Multiple shielding trench gate FET | Kawahara Hideaki |
10541525 | Configurable retry for system operations | Barman Roy Abhrarup |
10541609 | Fixed frequency DC-DC converter | Fan Jiwei |
10541610 | Spectral shaping of spread spectrum clocks/frequencies through post processing | Goller Joerg Erik |
10541676 | Symmetrical dual voltage level input-output circuitry | Pothireddy Venkateswara Reddy |
10541680 | Low area enable flip-flop | Das Subhankar |
10541697 | Self-injection locking for low-power low-phase noise oscillators | Bahr Bichoy |
10541700 | Gain and memory error estimation in a pipeline analog to digital converter | Naru Srinivas Kumar Reddy |
10541703 | Interleaved ADC with estimation of DSA-setting-based IL mismatch | Ramakrishnan Sthanunathan |
10541727 | Data encoder for power line communications | Varadarajan Badri N |
10542248 | Hierarchical binary structured light patterns | Appia Vikram VijayanBabu |
10544034 | Nickel lanthanide alloys for mems packaging applications | Dadvand Nazila |
10544039 | Methods for depositing a measured amount of a species in a sealed cavity | Cook Benjamin Stassen |
10545182 | Crosstalk calibration for multi-channel systems | Fu Minghua |
10545187 | Up control, CSU circuit, scan circuit, up signal contact point | Whetsel Lee D. |
10545522 | Temperature drift compensation | Krishnan Sandeep Shylaja |
10545524 | Power supply design tool for power supply system designs including multi-channel regulators | Mac Dien |
10545543 | Assembly for mounting touch sensor within device case | Fomin Evgeny |
10545556 | Fine-grained dynamic power and clock-gating control | Venkatasubramanian Rama |
10545728 | Non-volatile counter system, counter circuit and power management circuit with isolated dynamic boosted supply | Khanna Sudhanshu |
10545752 | Ferroelectric memory expansion for firmware updates | Brederlow Ralf |
10545904 | Detection of displayport alternate mode communication and connector plug orientation without use of a power distribution controller | Wentroble Mark Edward |
10545908 | Methods and apparatus to enable status change detection in a low power mode of a microcontroller unit | Nisarga Bhargavi |
10546045 | Efficient extended-precision processing | Longley Lester Anderson |
10546626 | Method and circuit enabling ferroelectric memory to be fixed to a stable state | Baumann Robert C. |
10546780 | Methods and apparatus for scribe seal structures | Mukherjee Subhashish |
10546805 | Integrated circuit package with conductive clips | Razon, III Oscar Paulo |
10546821 | Opening in a multilayer polymeric dielectric layer without delamination | Han Licheng Marshal |
10547236 | Dead band compensation | Ramamoorthy Ramesh Tiruvannamalai |
10547267 | Voice coil motor pulse width modulation-to-linear smooth transition control | Li Qunying |
10547268 | Serializer-deserializer for motor drive circuit | Fritz Tobias Bernhard |
10547295 | Delay line with selectable delay | Taft Robert Callaghan |
10547296 | Methods and apparatus for cross-conduction detection | Petrina Gaetano Maria Walter |
10547297 | Digital clock duty cycle correction | Elbadry Mohammad |
10547299 | Fast transient and low power thin-gate based high-voltage switch | Bommireddipalli Aditya Vighnesh Ramakanth |
10547311 | Reducing glitches that occur when multiplexing of asynchronous clocks using flip-flops and logic gates | Venugopal Sunil Kashyap |
10547350 | Contactless interface for mm-wave near field communication | Cook Benjamin Stassen |
10547352 | Apparatus for die-to-die communication | Mukherjee Subhashish |
10547438 | Signal edge location encoding | Haroun Baher |
10547859 | Dynamic frame padding in a video hardware engine | Sanghvi Hetul |
10549986 | Hermetically sealed molecular spectroscopy cell | Herbsommer Juan Alejandro |
10551265 | Pressure sensing using quantum molecular rotational state transitions | Cook Benjamin Stassen |
10551438 | Wafer with dio bidirectional lead, n dies, domains, clock leads | Whetsel Lee D. |
10551469 | Calibration of inverting amplifier based impedance analyzers | Sestok, IV Charles Kasimer |
10551659 | Touch sensing apparatus with dual touch sensors | Liu Dongtai |
10551859 | Methods and apparatus for overshoot, undershoot and delay reduction of a voltage regulator output by dynamically offsetting a reference voltage | Gakhar Vikram |
10551945 | Touch slider-position sensing | Fomin Evgeny |
10553509 | Three state buffer, another buffer coupled to ends of tsv | Whetsel Lee D. |
10553573 | Self-assembly of semiconductor die onto a leadframe using magnetic fields | Revier Daniel Lee |
10553596 | Select gate self-aligned patterning in split-gate flash memory cell | Bo Xiangzheng |
10553717 | Medium voltage MOSFET device | Kocon Christopher Boguslaw |
10553784 | Well-based vertical Hall element with enhanced magnetic sensitivity | Green Keith Ryan |
10554133 | Adaptive burst generation for use with a DC-output converter | Liu Pei-Hsin |
10554152 | Self-powered piezoelectric energy harvesting microsystem | Gong Cuiling |
10554200 | Peak detection methods, apparatus, and circuits | Franke Maxim James |
10554203 | Radio frequency detection circuit | Aras Sualp |
10554955 | Method and apparatus for depth-fill algorithm for low-complexity stereo vision | Dedeoglu Goksel |
10555256 | Re-sampling with reduced power consumption and complexity | Balakrishnan Jaiganesh |
10557754 | Spectrometry in integrated circuit using a photonic bandgap structure | Cook Benjamin Stassen |
10557884 | Wire break detection in digital input receivers | Kamath Anant Shankar |
10557887 | Tap, counter storing value of serial access by communication circuitry | Whetsel Lee D. |
10557890 | Battery capacity monitor | Li Falong |
10558578 | Servicing CPU demand requests with inflight prefetches | Olorode Oluleye |
10558882 | Performing distance-based feature suppression | Villarreal, Jr. Jesse Gregory |
10559351 | Methods and apparatus for reduced area control register circuit | Jalan Saket |
10559469 | Dual pocket approach in PFETs with embedded SI-GE source/drain | Choi Younsung |
10559524 | 2-step die attach for reduced pedestal size of laminate component packages | Naseem Sadia |
10559650 | Trench capacitor with warpage reduction | Jia Jiao |
10559681 | High voltage lateral junction diode device | Kim Sunglyong |
10560023 | Multi-phase power regulator | Cheng Kuang-Yao |
10560064 | Differential amplifier including cancellation capacitors | Brantley Steven G. |
10560079 | Capacitor ratio identification | Das Abhijit Kumar |
10560109 | Phased locked loop integrated circuit | Damphousse Simon Jacques |
10560112 | Capacitor order determination in an analog-to-digital converter | Fuchs Thomas |
10560282 | Control area network (CAN) transceivers with automatic polarity detection | Aarey Premanath Abhijeeth |
10560428 | Flexible hybrid firewall architecture | Mundra Amritpal Singh |
10564206 | Line fault signature analysis | Rajagopal Prasanna U. |
10564220 | Tap Dual Port Router, First, Second Multiplexer, First, Second Gating | Whetsel Lee D. |
10564962 | Processor micro-architecture for compute, save or restore multiple registers, devices, systems, methods and processes of manufacture | Tashiro Kenichi |
10566200 | Method of fabricating transistors, including ambient oxidizing after etchings into barrier layers and anti-reflecting coatings | Ali Abbas |
10566204 | Etching and mechanical grinding film-layers stacked on a semiconductor substrate | Kong Jian Jun |
10566267 | Die attach surface copper layer with protective layer for microelectronic devices | Manack Christopher Daniel |
10566269 | Low stress integrated circuit package | Shibuya Makoto |
10566276 | Packaged semiconductor system having unidirectional connections to discrete components | Gandhi Saumya |
10566798 | Solar panel disconnect and reactivation system | Kim Il Han |
10566819 | Methods and apparatus for optimal fast battery charging | Barsukov Yevgen Pavlovich |
10566904 | Multimode PWM converter with smooth mode transition | Cohen Isaac |
10566933 | Low voltage amplifier with gain boost circuit | Radhakrishnan Kulasekaran Bhuvanesh |
10566965 | Hot swap controller with multiple current limits | Rogachev Artem Andreevich |
10566991 | Suppressing idle tones in a delta-sigma modulator | Cao Peng |
10567204 | Sounding reference signal processing for LTE | Bertrand Pierre |
10567358 | Packet accelerator ingress communication processor peripheral streaming interface, scheduler, buffer | Mundra Amritpal Singh |
10570006 | Infrared sensor design using an epoxy film as an infrared absorption layer | Jackson Ricky Alan |
10571241 | Resonant inductive sensing with active resonator target | Reitsma George P |
10571511 | Systems and methods for dynamic Rdson measurement | Paikin Alex |
10571522 | Method and circuitry for detecting faults in field oriented controlled permanent magnet synchronous machines | Kuruppu Sandun Shivantha |
10571571 | Method and apparatus for controlling time of flight confidence map based depth noise and depth coverage range | Ko Dong-Ik |
10572255 | Stream engine with element promotion and decimation modes | Zbiciak Joseph |
10572344 | Accessing error statistics from DRAM memories having integrated error correction | Kothamasu Siva Srinivas |
10573292 | Passive beamformer | Pattipaka Ravikumar |
10573367 | Setting of reference voltage for data sensing in ferroelectric memories | Zhou Carl Z. |
10573453 | Position sensing using coil sensor | Cheung Charles Ho Yin |
10573537 | Integrated circuit package mold assembly | Nguyen Hiep Xuan |
10573553 | Semiconductor product and fabrication process | Yang Hong |
10573578 | Bondpad integrated thermoelectric cooler | Edwards Henry L. |
10573581 | Leadframe | Ho Chih-Chien |
10573582 | Semiconductor systems having dual leadframes | Joshi Rajeev D. |
10573583 | Semiconductor device package with grooved substrate | Milo Dolores Babaran |
10573585 | Power converter having a conductive clip | Joshi Rajeev Dinkar |
10573586 | Packaged semiconductor device having patterned conductance dual-material nanoparticle adhesion layer | Cook Benjamin Stassen |
10573718 | Vertical high-voltage MOS transistor | Kocon Christopher Boguslaw |
10574139 | Precharge circuit using non-regulating output of an amplifier | Hastings Roy Alan |
10574147 | Methods and apparatus for zero voltage switching using flyback converters | King Brian Matthew |
10574165 | Input vector set for position detection of PM motors | Thomas Eric James |
10574184 | Stacked-die bulk acoustic wave oscillator package | Jackson Ricky A |
10574226 | Gate driver including gate sense circuit | Narayanasamy Navaneeth Kumar |
10574235 | Dual function analog or digital input/output buffer | Kowkutla Venkateswar Reddy |
10574246 | Digital downconverter with digital oscillator frequency error correction | Gunturi Sarma Sundareswara |
10574252 | Frequency management for interference reduction of A/D converters powered by switching power converters | Snoeij Martijn Fridus |
10574278 | High dynamic range ask wake-up receiver | Chakraborty Sudipto |
10574295 | Beacon slot allocation in prime | Vedantham Ramanuja |
10574992 | Method and apparatus for sub-picture based raster scanning coding order | Zhou Minhua |
10578353 | Autonomous seal monitoring for a refrigeration unit | Seidl Michael |
10578654 | Apparatus and methods for processing sensed current | Zhou Siyuan |
10578666 | Low-energy actuator (LEA) diode detection | Easwaran Sri Navaneethakrishnan |
10579082 | Temperature dependent current limit control for fast-charging and safe operating area (SOA) protection | Saha Aalok Dyuti |
10579454 | Delay fault testing of pseudo static controls | Acharya Aravinda |
10580715 | Stress buffer layer in embedded package | Kim Woochan |
10580723 | Flat no-lead packages with electroplated edges | Javier Reynaldo Corpuz |
10580775 | Dual deep trenches for high voltage isolation | Pendharkar Sameer |
10580890 | Drain extended NMOS transistor | Wu Xiaoju |
10581312 | Multilevel converter using node voltage track and control | Ramadass Yogesh Kumar |
10581325 | Power converter with slope compensation | Munroe Michael James |
10581326 | Power converters and compensation circuits thereof | Veeramreddi Srinivas Venkata |
10581335 | Methods, apparatus, and systems to facilitate high side control of a switching power converter | Kaya Cetin |
10581351 | Method to reduce the commutation loss in a motor inverter | Balakrishnan Manu |
10581382 | Pulse blanking in an amplifier | Chawla Mohit |
10581406 | Digital filtering for analog gain/phase errors | Tangudu Jawaharlal |
10581415 | Polyphase phase shifter | Chakraborty Sudipto |
10581416 | External and dual ramp clock synchronization | Zhang Junhong |
10581426 | Source down power FET with integrated temperature sensor | Lin Haian |
10581438 | Digitally reconfigurable ultra-high precision internal oscillator | Das Abhijit Kumar |
10581451 | Time-of-flight (TOF) receiver with high dynamic range comprising a digital mixer coupled to a sigma delta analog to digital converter | Venkataraman Jagannathan |
10581646 | Asynchronous data correction filter | Kenyon Eleazar Walter |
10582146 | Projector having stacked optical layers | Sheng Zhongyan |
10582152 | Dynamic direction control in active cable | Campbell Charles Michael |
10582200 | Methods and systems for encoding pictures associated with video data | Srinivasamurthy Naveen |
10583461 | Configurable pulser circuit operable across a range of supply voltages | Miriyala Aravind |
10585144 | Router gating TDI, TMS inputs to two TDI, TMS outputs | Whetsel Lee D. |
10585177 | Tuning for ultrasonic transducer | Ding Lei |
10585810 | Method for protecting memory against unauthorized access | Zipperer Johann |
10586412 | Circuit and method for using capacitive touch to further secure information in RFID documents | Parthasarathy Prasanna |
10586730 | Trench isolated IC with transistors having LOCOS gate dielectric | Chuang Ming-Yeh |
10586791 | Adaptive thermal overshoot and current limiting protection for MOSFETs | Ma Qingjie |
10586844 | Integrated trench capacitor formed in an epitaxial layer | Lin He |
10587206 | Clamp control based on a converter output supply voltage mode and a converter input supply voltage mode | Xiao BoQiang |
10587235 | Biased amplifier | Prasad Sudheer |
10587267 | Level shifter circuit generating bipolar clock signals | Dash Biraja Prasad |
10587268 | Gate driver with serial communication | Li Xiong |
10587380 | Frequency diversity modulation system and method | Lin Jing |
10587437 | Link aggregator with universal packet scrambler apparatus and method | Kim Seuk Bo |
10587476 | Advanced switch node selection for power line communications network | Vedantham Ramanuja |
10587878 | Systems and methods for quantization of video content | Kudana Arun Shankar |
10589980 | Isolated protrusion/recession features in a micro electro mechanical system | Oden Patrick Ian |
10589986 | Packaging a sealed cavity in an electronic device | Fruehling Adam Joseph |
10591510 | Interposer with multiplexers, stimulus and control generators, and tap circuitry | Whetsel Lee D. |
10591516 | Methods and circuitry for analyzing voltages | Trescases Olivier |
10591540 | Compressed scan chains with three input mask gates and registers | Narayanan Prakash |
10591542 | Input shift register having parallel serial scan outputs, command output | Whetsel Lee D. |
10591548 | Winding fault detection using inverter harmonics | Narasimha Rajan Lakshmi |
10591720 | Optical device housing | Fedigan Stephen John |
10591872 | Microfabricated atomic clocks and magnetometers utilizing side recesses | Parsa Roozbeh |
10592243 | Streaming engine with cache-like stream data storage and lifetime tracking | Zbiciak Joseph |
10592333 | Dual-mode error-correction code/write-once memory codec | Zhang Sai |
10592339 | Streaming engine with error detection, correction and restart | Zbiciak Joseph |
10593015 | Apparatus and method for efficient motion estimation | Mehendale Mahesh M. |
10593413 | Memory circuit with leakage compensation | Heinrich-Barna Stephen Keith |
10593566 | Switch-mode converter module | Parrish Kristen Nguyen |
10593640 | Flip chip integrated circuit packages with spacers | Baello James Raymond Maliclic |
10593661 | Circuit overvoltage protection | Taft Robert Callaghan |
10593680 | Embedded memory with enhanced channel stop implants | Nandakumar Mahalingam |
10593752 | Isolated well contact in semiconductor devices | Pickelsimer Bruce Lynn |
10593763 | Graphene FET with graphitic interface layer at contacts | Colombo Luigi |
10593773 | LDMOS with high-k drain STI dielectric | Aghoram Umamaheswari |
10593795 | Scheme to align LDMOS drain extension to moat | Sridhar Seetharaman |
10594162 | Galvanic isolator | Bhamidipati Bharadvaj |
10594215 | Circuits and methods to linearize conversion gain in a DC-DC converter | Manohar Sujan K. |
10594237 | Converged motor drive control for brushless dc motor | Buckley Zachery S. |
10594279 | Combining power amplifiers at millimeter wave frequencies | Dandu Krishnanshu |
10594315 | Switching rate monitoring and control | Bondade Rajdeep |
10594360 | Enhanced channel hopping sequence | Vijayasankar Kumaran |
10596604 | Methods and apparatus using multistage ultrasonic lens cleaning for improved water removal | Fedigan Stephen John |
10598331 | Projection device with field splitting element | Bhakta Vikrant R. |
10598700 | Magnetic field-based current measurement | Polley Arup |
10598767 | Measurement of transceiver performance parameters in a radar system | Subburaj Karthik |
10598926 | Optical device housing | Fedigan Stephen John |
10599027 | Projector with multiple spatial light modulators prisms and light sources | Sheng Zhongyan |
10599514 | Error correction hardware with fault detection | Jalan Saket |
10599518 | Protecting data memory in a signal processing system | Rao Sandeep |
10599555 | Context-sensitive debug requests for memory access | Peck Jason Lynn |
10599607 | Method and system for interpreting clicks on a multi-function input device | Loe Stephen Boatner |
10600495 | Parallel memory self-testing | Varadarajan Devanathan |
10600724 | Leadframe with vertically spaced die attach pads | Chang Chia-Yu |
10600753 | Flip chip backside mechanical die grounding techniques | Salzman James Fred |
10601304 | Apparatus for a high efficiency hybrid power converter and methods to control the same | McRae Timothy |
10601332 | Isolated DC-DC converter | Granato Maurizio |
10601408 | Low frequency oscillator with ultra-low short circuit current | Chauhan Rajat |
10601412 | Circuit and method to generate frequency proportional current | Couleur Michael |
10601422 | Integrated high-side driver for P-N bimodal power device | Zhang Yongxi |
10601438 | Alternately updated digital to analog converters | Miglani Eeshan |
10601614 | Methods, apparatus, and systems to increase common-mode transient immunity in isolation devices | Liu Zhidong |
10601964 | WLAN device with preamble decode-based receive suspend during undesired packets | Shani Oren Aharon |
10605858 | Interposer monitor, monitor trigger circuitry having select output and input | Whetsel Lee D. |
10605865 | IC taps with control register and scan router coupling taps | Whetsel Lee D. |
10605866 | IC TSV scan cells with sensed and reference voltage inputs | Whetsel Lee D. |
10605986 | Matching impedance of a dielectric waveguide to a launching mechanism | Herbsommer Juan Alejandro |
10606069 | Ultrasound lens structure cleaner architecture and method | Fedigan Stephen John |
10606596 | Cache preload operations using streaming engine | Zbiciak Joseph Raymond Michael |
10606598 | Dual data streams sharing dual level two cache access ports to maximize bandwidth utilization | Zbiciak Joseph |
10606723 | Systems and methods for optimal trim calibrations in integrated circuits | Bongale Pankaj |
10607927 | Spot-solderable leads for semiconductor device packages | Prakuzhy Manu J. |
10607931 | Semiconductor device with electroplated die attach | Dadvand Nazila |
10607958 | Flip chip backside die grounding techniques | Salzman James Fred |
10607984 | High voltage bipolar structure for improved pulse width scalability | Xiu Yang |
10607986 | Single capacitor functioning as an RC filter | Li Lin |
10608075 | Analog capacitor on submicron pitch metal level | Srinivasan Bhaskar |
10608110 | I-shaped gate electrode for improved sub-threshold MOSFET performance | Chatterjee Amitava |
10608442 | Adaptive cell-balancing | Parmar Manish |
10608456 | Battery sensing voltage controller | Hunter Bradford Lawrence |
10608538 | Detection of low output voltages for power converters | Wiersch Manuel |
10608602 | Programmable filter in an amplifier | Joish Rajendrakumar |
10608650 | Voltage-controlled oscillators with ramped voltages | Aude Arlo James |
10608853 | Phase error reduction in a receiver | Darwhekar Yogesh |
10608998 | Enhanced network security using packet fragments | Vijayasankar Kumaran |
10609009 | Extended javascript object notation file generator circuitry and process | Baum Avraham |
10609366 | Virtual memory access bandwidth verification (VMBV) in video coding | Zhou Minhua |
10609415 | Method and system for constraining slice header processing overhead in video coding | Zhou Minhua |
10609477 | Control system for regulation of boosted audio amplifier | Chadha Jasjot Singh |
10611631 | Microelectromechanical system cavity packaging | Martinez Jose Antonio |
10611632 | Singulation of wafer level packaging | Stevenson Clayton Lee |
10613124 | Electronic device and method for tracking energy consumption | Diewald Horst |
10613134 | High-side gate over-voltage stress testing | Gonzalez Diaz Sigfredo E. |
10613204 | Methods and apparatus for lidar operation with sequencing of pulses | Warke Nirmal C. |
10613208 | Low complexity super-resolution technique for object detection in frequency modulation continuous wave radar | Ali Murtaza |
10613564 | Adaptive body bias for voltage regulator | Manohar Sujan Kundapur |
10613604 | On chip power on reset with integrated supervisory functions for a functional safety system | Kowkutla Venkateswar Reddy |
10613607 | Signal powered energy detect and wakeup system | Arora Anurag |
10613864 | Processor with hardware supported memory buffer overflow detection | Shreve Erik Newton |
10614053 | Storing processor states during nuclear events | Baumann Robert Christopher |
10614185 | Low drop-out voltage regulator modeling systems and methods | Atwell Robert Nichols |
10615053 | Pre-cut plating lines on lead frames and laminate substrates for saw singulation | Gardose Erma Gallenero |
10615075 | Dicing a wafer | Cayabyab Jerry Gomez |
10615612 | Battery apparatus and cell balancing circuits | Yao Chidong |
10615692 | Series capacitor buck converter having circuitry for precharging the series capacitor | Khayat Joseph Maurice |
10615693 | DC-to-DC voltage converters with controllers to switch on a low-side FET for a time interval before switching on a high-side FET | Mehdi Syed Wasif |
10615772 | Acoustic wave resonators having Fresnel surfaces | Yen Ting-Ta |
10615779 | Adaptive threshold control system for detecting and adjusting the duration of regions of operation during transistor turn on and turn off | Butenhoff Michael Edwin |
10615813 | Analog-to-digital converter non-linearity correction using multi-nyquist differentiator | Murali Sriram |
10616578 | Semi-global matching (SGM) cost compression | Kwon Do-Kyoung |
10616600 | Methods and systems for encoding pictures associated with video data | Srinivasamurthy Naveen |
10620260 | Apparatus having signal chain lock step for high integrity functional safety applications | Stafford Jeffrey Earl |
10620589 | Millimeter wave chip scale atomic clock | Bahr Bichoy |
10620957 | Method for forming constant extensions in the same execute packet in a VLIW processor | Anderson Timothy David |
10621446 | Handling perspective magnification in optical flow processing | Garud Hrushikesh Tukaram |
10621942 | Output short circuit protection for display bias | Ruan Chenjie |
10622073 | Integrated circuit including vertical capacitors | Bo Xiang-Zheng |
10622270 | Integrated circuit package with stress directing material | Cook Benjamin Stassen |
10622290 | Packaged multichip module with conductive connectors | Gurrum Siva Prakash |
10622694 | Dielectric waveguide radar signal distribution | Haroun Baher S. |
10622886 | Transient control for power factor correction | Bhardwaj Manish |
10622908 | Isolated DC-DC converter | Granato Maurizio |
10622966 | Bulk acoustic wave resonators having a phononic crystal acoustic mirror | Yen Ting-Ta |
10622979 | Delay cell | Jiang Yanfei |
10623013 | Systems with pairs of voltage level shifter switches to couple voltage level shifters to anti-aliasing filters | Ravinuthula Vishnu |
10623063 | Backplane with near field coupling to modules | Morgan Mark William |
10623213 | Method of determining a direction of rotation and valid transitions of quadrature pulses | Poley Richard Mark |
10623733 | Method and system for video picture intra-prediction estimation | Srinivasan Ranga Ramanujam |
10623741 | Method and apparatus for sub-picture based raster scanning coding order | Zhou Minhua |
10627271 | Hydraulic system for ultrasonic flow measurement using reflective acoustic path approach | Zipperer Johann Reinhold |
10627443 | Magazine for packaged integrated circuits | Abdul Rahman Razleen |
10627459 | Anisotropic magneto-resistive (AMR) angle sensor die comprising a plurality of AMR angle sensors | Lee Dok Won |
10627480 | Distributed radar signal processing in a radar system | Nayyar Jasbir Singh |
10627483 | Methods and apparatus for velocity detection in MIMO radar including velocity ambiguity resolution | Rao Sandeep |
10627838 | Comparator with adaptive sense voltage clamp | Saito Mitsuyori |
10628126 | Architecture and instruction set to support integer division | Tessarolo Alexander |
10628142 | Loop break | Villarreal, Jr. Jesse Gregory |
10628156 | Vector SIMD VLIW data path architecture | Anderson Timothy David |
10628163 | Processor with variable pre-fetch threshold | Wiencke Christian |
10629250 | SRAM cell having an n-well bias | Seshadri Anand |
10629334 | Nanostructure barrier for copper wire bonding | Dadvand Nazila |
10629562 | Integrated circuit packaging | Guo Honglin |
10629674 | Trench isolated capacitor | Kawahara Hideaki |
10629683 | High voltage DEMOS transistor with improved threshold voltage matching | Weiser Doug |
10629723 | Schottky power MOSFET | Lin Haian |
10630072 | Voltage protection circuit | Ravinuthula Vishnu |
10630160 | Gate drive adapter | Gong Xun |
10630174 | Transient event detector circuit and method | Couleur Michael |
10630175 | Pseudo current tracking for power supply regulation | Cohen Isaac |
10630183 | Systems and methods for real-time inductor current simulation for a switching converter | Ragona Scott E. |
10630245 | Capacitively coupled chopper amplifier | Trifonov Dimitar |
10630249 | Low power mode of operation for mm-wave radar | Rao Sandeep |
10630975 | Luma-based chroma intra-prediction for video coding | Budagavi Madhukar |
10631248 | Mid-cycle adjustment of internal clock signal timing | Seshadri Anand |
10634304 | Quasi-sparse optical illumination | Bhakta Vikrant R. |
10634719 | Commandable data register control router including input coupled to TDI | Whetsel Lee D. |
10634720 | First tap, test compression architecture; second tap, test compression architecture | Whetsel Lee D. |
10634721 | Test access port, test clock inverter, and shadow access port | Whetsel Lee D. |
10634738 | Zeeman splitting vector magnetometer apparatus and method | Cook Benjamin |
10634778 | Camera assisted tracking of objects in a radar system | Liu Yucheng |
10635395 | Architecture and instruction set to support interruptible floating point division | Viswanathan Pillai Prasanth |
10635396 | Internally truncated multiplier | Tangudu Jawaharlal |
10635405 | Homogenous atomic pattern for double, add, and subtract operations for digital authentication using elliptic curve cryptography | Peeters Eric Thierry |
10635909 | Vehicle control with efficient iterative triangulation | Poddar Deepak Kumar |
10636679 | Packaged semiconductor device having nanoparticle adhesion layer patterned into zones of electrical conductance and insulation | Cook Benjamin Stassen |
10636727 | Multi-layer die attachment | Hussain Mohammad Waseem |
10636729 | Integrated circuit package with pre-wetted contact sidewall surfaces | Komatsu Daiki |
10636758 | Expanded head pillar for bump bonds | Koduri Sreenivasan K |
10636778 | Isolator integrated circuits with package structure cavity and fabrication methods | Male Barry Jon |
10636815 | Integrated circuit with resurf region biasing under buried insulator layers | Sadovnikov Alexei |
10636933 | Tilted photodetector cell | Kawahara Hideaki |
10637291 | Methods and apparatus to increase efficiency for wireless power transfer | Mahmodieh Kosha |
10637448 | Low-power high-speed Schmitt Trigger with high noise rejection | Sakurai Satoshi |
10637491 | Transceiver with in-phase and quadrature-phase coupling correction | Patukuri Raja Reddy |
10637521 | 25% duty cycle clock generator having a divider with an inverter ring arrangement | K Prasanth |
10637534 | Coexistence primitives in power line communication networks | Vijayasankar Kumaran |
10638149 | Inter-prediction candidate index coding independent of inter-prediction candidate list construction in video coding | Zhou Minhua |
10638160 | Method and apparatus for a low complexity transform unit partitioning structure for HEVC | Zhou Minhua |
10638163 | Systems and methods for reducing blocking artifacts | Sadafale Mangesh Devidas |
10638532 | Method and circuitry for wireless communications between different networks | Soman Mehul |
10641866 | Failure detection in a radar system | Ramasubramanian Karthik |
10641869 | Resonant illumination driver in an optical distance measurement system | Sadhu Subhash Chandra Venkata |
10642031 | Expanding a pupil using replication via beam splitter feeding a waveguide | Thakur Vivek Kumar |
10642304 | Low voltage ultra-low power continuous time reverse bandgap reference circuit | Shreepathi Bhat Avinash |
10642306 | Gate driver circuit for reducing deadtime inefficiencies | Shankar Krishnamurthy Ganapathi |
10642490 | Streaming engine with fetch ahead hysteresis | Pierson Matthew |
10642742 | Prefetch management in a hierarchical cache system | Heremagalur Ramaprasad Bipin Prasad |
10642921 | Unified forward and inverse transform architecture | Budagavi Madhukar |
10643091 | Automatic feature point detection for calibration of multi-camera systems | Kwon Do-Kyoung |
10643101 | Window grouping and tracking for fast object detection | Mathew Manu |
10643929 | Cantilevered leadframe support structure for magnetic wireless transfer between integrated circuit dies | Sutton Benjamin Michael |
10643944 | Additively manufactured programmable resistive jumpers | Emerson Paul Merle |
10644098 | Precision capacitor | Fernandes Poornika |
10644173 | Optical sensor with integrated pinhole | Becker James |
10644495 | Galvanic isolation for relay device | Male Barry J. |
10644581 | DC-DC power conversion circuitry with efficiency optimization using temperature sensing | El Markhi Mustapha |
10644583 | Methods, apparatus, and system to provide a high-efficiency drive for a floating power device | Carpenter Brian Ashley |
10644585 | Power factor correction (PFC) device with switching analysis circuit | Ye Zhong |
10644594 | Power converter with reduced undershoot and overshoot during load transients | Cheng Kuang-Yao |
10644595 | Power converter controller | Sharma Anmol |
10644598 | Switching converter with output inductor estimator circuit | Lewinski Komincz Artur Juliusz |
10644663 | Low power radio frequency signal detector | Shrivastava Kumar Anurag |
10644664 | Offset cancellation scheme | Chen Jikai |
10644677 | Differentiator circuit | Yu Shawn Xianggang |
10644693 | Power-on reset circuit with reset transition delay | Akour Amneh Mohammad |
10644697 | Material-discernment proximity sensor | Almholt Thomas |
10644699 | Lower voltage switching of current mode logic circuits | Finn Steven Ernest |
10644702 | Level shifter and method of calibration | Schemm Nathan Richard |
10644714 | Pipelined analog-to-digital converter | Mohan Arun |
10644738 | Methods, circuits, systems and apparatus providing audio sensitivity enhancement in a wireless receiver, power management and other performances | Balakrishnan Jaiganesh |
10644746 | Pseudo channel hopping using scan dwell times in mesh networks without time synchronization | Tsai Kaichien |
10644750 | Dynamic medium switch in co-located PLC and RF networks | Sun Yanjun |
10644868 | Frequency/phase lock detector for clock and data recovery circuits | Manian Abishek |
10645032 | Packet processing match and action unit with stateful actions | Bosshart Patrick W. |
10645207 | Touch button structure integrated into an edge panel of a portable computing/communications device | Kasemsadeh Benjamin S |
10645394 | Method and apparatus for SSIM-based bit allocation | Kwon Do-Kyoung |
10645398 | Sample-based angular intra-prediction in video coding | Zhou Minhua |
10645412 | Reducing computational complexity when video encoding uses bi-predictively encoded frames | Nagori Soyeb |
10645663 | Bluetooth media device time synchronization | Malovany Ram |
10649029 | TCKC/TMSC counter, gating circuitry for selection, deselection, technology specific outputs | Swoboda Gary L. |
10649032 | Core circuitry, tap domain circuitry, separate auxiliary circuitry, output buffers | Whetsel Lee D. |
10649069 | Synchronization in FMCW radar systems | Ramasubramanian Karthik |
10649408 | Molecular atomic clock with wave propagating rotational spectroscopy cell | Herbsommer Juan Alejandro |
10649865 | Integrated circuit chip with cores asymmetrically oriented with respect to each other | Nayyar Jasbir Singh |
10649878 | Recording processor instruction execution cycle and non-cycle count trace events | Laurenti Gilbert |
10650791 | Display system | Kempf Jeff |
10650957 | Additive deposition low temperature curable magnetic interconnecting layer for power components integration | Yan Yi |
10651039 | Polysilicon gate formation in CMOS transistors | Mahalingam Pushpa |
10651274 | High-voltage drain extended MOS transistor | Kim Sunglyong |
10651736 | Multi-level converter with continuous conduction mode (CCM) and discontinuous conduction mode (DCM) | Lazaro Orlando |
10651741 | Serial input power converter | Wu Wenkai |
10651742 | Down-mode valley-current-sense replica linearization | Dietrich Stefan |
10651789 | Pullable clock oscillator | Zhang Ben-yong |
10651803 | Transconductance shifted differential difference amplifier | Lee Seung Bae |
10651817 | Bulk acoustic wave resonator on a stress isolated platform | Yen Ting-Ta |
10651836 | Clock pulse generator | Kale Gautam Sanjay |
10651841 | Ring amplitude measurement and mitigation | Bondade Rajdeep |
10651844 | Multiple chip synchronization via single pin monitoring of an external timing capacitor | Gewax Lawrence James |
10651863 | Dithered M by N clock dividers | Rangachari Sundarrajan |
10651870 | Input path matching in pipelined continuous-time analog-to-digital converters | Srinivasan Venkatesh |
10652003 | HARQ design for high performance wireless backhaul | Bertrand Pierre |
10652058 | Integrated circuits and transponder circuitry with shared modulation capacitor for 3D transponder uplink modulation | Muellner Ernst Georg |
10652574 | Intra block copy (IntraBC) cost estimation | Kwon Do-Kyoung |
10652582 | Optimized edge order for de-blocking filter | Nandan Niraj |
10652867 | NLOS wireless backhaul uplink communication | Roh June Chul |
10656914 | Methods and instructions for a 32-bit arithmetic support using 16-bit multiply and 32-bit addition | Lingam Srinivas |
10657089 | Embedded universal serial bus 2 repeater | Maung Win Naing |
10657090 | Embedded universal serial bus 2 repeater | Maung Win Naing |
10657389 | Stationary-vehicle structure from motion | Viswanath Prashanth Ramanathpur |
10657395 | Real time traffic sign recognition | Kudana Arun Shankar |
10658211 | Data structures for semiconductor die packaging | Boduch Joseph Anthony |
10658240 | Semiconductor die singulation | Iriguchi Shoichi |
10658278 | Electrical device terminal finishing | Koduri Sreenivasan K |
10658357 | Driver for driving a capacitive load | Kumar Pranav |
10658868 | Waveguide housing channels for wireless communications | Muenster Ralf J. |
10658956 | Process of operating a hybrid controller for brushless DC motor | Lu Yisong |
10659033 | High voltage gate driver current source | Manohar Sujan Kundapur |
10659059 | Multi-phase clock generation circuit | Payne Robert Floyd |
10659100 | Channel hopping based on channel performance statistics | Banik Jyotirmoy |
10659241 | Automatic power over ethernet pulse width signaling correction | Picard Jean |
10663355 | Thermistor with tunable resistance | Green Keith Ryan |
10663418 | Transducer temperature sensing | Magee David Patrick |
10663519 | Motor winding fault detection circuits and methods to detect motor winding faults | Fedigan Stephen John |
10663566 | Phase compensation in a time of flight system | Patil Bharath |
10664424 | Digital bus activity monitor | Chard Gary Franklin |
10664627 | Theft detector | Raju Veeramanikandan |
10665475 | Quad flat no lead package and method of making | Okamoto Dan |
10665543 | Oxidation resistant barrier metal process for semiconductor devices | West Jeffrey A. |
10665596 | Self aligned active trench contact | Lytle Steven Alan |
10665663 | IC with top side capacitor having lateral regions with thinned capacitor dielectric | Fernandes Poornika |
10665735 | Micro-fabricated atomic clock structure and method of forming the atomic clock structure | Hopper Peter J. |
10666137 | Method and circuitry for sensing and controlling a current | Torres Erick Omar |
10666144 | Boost converter | Xie Linghan |
10666146 | Bidirectional inverting buck-boost converter converting dissipation current into recycling current | Frank Ingolf Edgar |
10666151 | Interleaved resonant converter | Nene Hrishikesh Ratnakar |
10666180 | Adaptive torque disturbance cancellation for electric motors | Wilson David L. |
10666204 | Tunable power amplifier with wide frequency range | Banerjee Aritra |
10666257 | Failsafe, ultra-wide voltage input output interface using low-voltage gate oxide transistors | Srinivasan Srikanth |
10666276 | Normalizing error signal in analog-to-digital converter runaway state | Nagaraj Krishnaswamy |
10666279 | Power supply telemetry self-calibration | Schurmann Matthew John Ascher |
10666293 | Digital down converter | Tangudu Jawaharlal |
10670638 | Layout for reduced cross-talk in common terminal transistor | Krishnamurthy Vijay |
10670649 | Bondwire testing of IC using pin diode signatures | Michallick Ronald Andrew |
10671105 | Multi-input voltage regulator | Mills Michael James |
10672493 | Sample and hold circuit | Ivanov Vadim Valerievich |
10672692 | Leadframe having organic, polymerizable photo-imageable adhesion layer | Williamson Jaimal Mallory |
10672901 | Power transistor with terminal trenches in terminal resurf regions | Kawahara Hideaki |
10673120 | Resonant cavity resonance analyzer | Chennupati Siva RaghuRam Prasad |
10673322 | Power factor correction zero current detection | Giombanco Salvatore |
10673337 | Switched-mode DC/DC converter having a bootstrapped high-side driver | Jodka Eduardas |
10673339 | Hysteretic control for transformer based power converters | Seeman Michael D. |
10673423 | Fast turn-on power switch | Forghani-Zadeh Hassan Pooya |
10673432 | Delaying turn on time to transistor comparing global, peak current | Kaya Cetin |
10673436 | Failsafe device | Hegde Bharat Gajanan |
10673450 | Techniques to improve linearity of R-2R ladder digital-to-analog converters (DACs) | Agrawal Atul Kumar |
10673452 | Analog-to-digital converter with interpolation | Soundararajan Rishi |
10673453 | Delay-based residue stage | Pentakota Visvesvaraya Appala |
10673455 | Sample and hold circuit with indefinite holding time | Kulkarni Rahul Vijay |
10673456 | Conversion and folding circuit for delay-based analog-to-digital converter system | Dusad Shagun |
10674079 | Rear-stitched view panorama for rear-view visualization | Pan Janice Shuay-Ann |
10674157 | Parsing friendly and error resilient merge flag coding in video coding | Zhou Minhua |
10674263 | Speaker excursion protection | Palit Supriyo |
10677890 | Techniques for angle resolution in radar | Rao Sandeep |
10677902 | Excitation interval for ultrasonic transducer | Ding Lei |
10677903 | Methods and apparatus for reducing a transient glitch in ultrasound applications | Pattipaka Ravikumar |
10678545 | Data processing apparatus having streaming engine with read and read/advance operand coding | Zbiciak Joseph |
10678927 | Randomized execution countermeasures against fault injection attacks during boot of an embedded device | Srinivas Madan |
10679935 | Structure and method for improving high voltage breakdown reliability of a microelectronic device | West Jeffrey A. |
10679938 | Power transistor coupled to multiple sense transistors | Joardar Kuntal |
10679962 | Capillary jig for wire bonding and method of installing a capillary | Hashim Mohd Azri |
10680056 | IC with ion milled thin-film resistors | Srinivasan Bhaskar |
10680093 | HEMT having conduction barrier between drain fingertip and source | Joh Jungwoo |
10680164 | Hall effect sensors with a metal layer comprising an interconnect and a trace | Green Keith Ryan |
10680608 | Multiplexer charge injection reduction | Anand Priyank |
10680861 | Wireless networks utilizing multiple modulations | Schmidl Timothy Mark |
10681318 | Camera-assisted arbitrary surface characterization and slope-based correction | De La Cruz Jaime Rene |
10681610 | Node configuration and self-healing for ad hoc networks | Xhafa Ariton E. |
10682670 | Excitation signal sequences for ultrasonic transducer | Ding Lei |
10682675 | Ultrasonic lens cleaning system with impedance monitoring to detect faults or degradation | Magee David Patrick |
10684143 | Capacitive-sensing rotary encoders and methods | Cheng Lichang |
10684235 | Material determination by sweeping a range of frequencies | Leek Alan H. |
10684322 | Systems and methods of testing multiple dies | Parekhji Rubin Ajit |
10684537 | Camera-assisted arbitrary surface characterization and correction | De La Cruz Jaime Rene |
10685212 | THREE DIMENSIONAL (3D) OBJECT DETECTION | Dubey Aishwarya |
10686362 | Variable delay for soft switching in power conversion circuit | Dusmez Serkan |
10686375 | Power conversion with modulated switching | Davis-Marsh Marc Edric |
10686391 | Stall detection in stepper motors using differential back-EMF between rising and falling commutation phase of motor current | Saw Sooping |
10686425 | Bulk acoustic wave resonators having convex surfaces, and methods of forming the same | Yen Ting-Ta |
10686437 | Scheme to guarantee clean reset output at supply power-up | Chauhan Rajat |
10686441 | Repeater for an open-drain communication system using a current detector and a control logic circuit | Suma Vinay Vikas |
10686456 | Cycle slip detection and correction in phase-locked loop | Janardhanan Jayawardan |
10686461 | Top plate sampling analog-to-digital converter (ADC) with residue amplifier non-linearity reduction | Nurani Sai Aditya KrishnaSwamy |
10686579 | Partial CQI feedback in wireless networks | Shen Zukang |
10686914 | Automatic selection of MAC protocol to support multiple prime PLC standards | Kim Wonsoo |
10687070 | Hierarchical inter-layer prediction in multi-loop scalable video coding | Kwon Do-Kyoung |
10687247 | Hybrid automatic repeat request acknowledge resource allocation for enhanced physical downlink control channel | Chen Runhua |
10687309 | Enhanced broadcast transmission in unslotted channel hopping medium access control | Vijayasankar Kumaran |
10690530 | Hydraulic system for ultrasonic flow measurement using direct acoustic path approach | Zipperer Johann Reinhold |
10690561 | Methods and apparatus to calibrate micro-electromechanical systems | Motieian Najar Mohammad Hadi |
10690717 | Enable input buffer coupling enable pad, functional circuitry, test circuit | Whetsel Lee D. |
10690720 | Integrated circuit with JTAG port, TAP linking module, and off chip TAP interface port | Whetsel Lee D. |
10690756 | Methods and apparatus for LIDAR operation with pulse position modulation | Warke Nirmal C. |
10691074 | Time-to-digital converter circuit | Yao Henry |
10691156 | Complementary to absolute temperature (CTAT) voltage generator | Trifonov Dimitar Trifonov |
10691422 | Programmable device providing visual feedback of balancing of opening and closing statements of programming structures and nesting level | Fotsch Frederick Conrad |
10692674 | Port controller with power contract negotiation capability | Waters Deric Wayne |
10692822 | Zero capacitance electrostatic discharge device | Lin He |
10692830 | Multilayers of nickel alloys as diffusion barrier layers | Dadvand Nazila |
10692835 | Ball bond attachment for a semiconductor die | Zhong Han |
10692964 | Semiconductor die with back-side integrated inductive component | Cook Benjamin Stassen |
10693371 | Method and apparatus for peak switching to reduce losses in high frequency DC-DC converters | Shenoy Pradeep S. |
10693444 | Mixed signal circuit spur cancellation | Aremallapur Nagalinga Swamy Basayya |
10693468 | Integrated circuit and process for family of digital logic functions | Forghani-zadeh H. Pooya |
10693778 | Network communication system with node energy reduction packet protocol | Pande Tarkesh |
10694289 | Loudspeaker enhancement | Hezar Rahmi |
10694359 | Avoidance of collisions and connection loss in network device serving multiple networks | Ben-Shachar Matan |
10695805 | Control system for a sensor assembly | Magee David Patrick |
10696163 | Regenerative braking controller for electric motors | Murthy Aravind Samba |
10698008 | Current-sense ratio calibration | Mills Michael James |
10698028 | Scan frame input register to decompressor parallel scan path outputs | Whetsel Lee D. |
10698066 | Calibration of hall device sensitivity using an auxiliary hall device | Polley Arup |
10698108 | Receive signal beam steering and detector for an optical distance measurement system | Magee David P. |
10698431 | Power combiner and balancer | Morroni Jeffrey Anthony |
10700055 | Back ballasted vertical NPN transistor | Salman Akram Ali |
10700586 | Predriver short protection | Balasubramanian Shyamsunder |
10700652 | Ethernet line driver | Sharma Vikram |
10700675 | Channel switchover power multiplexer circuits, and methods of operating the same | Choi Jae Won |
10700684 | Bidirectional level translator having noise reduction and improved data rate | Kanteti Amar |
10700740 | Communication-based physical media monitoring | Kim Wonsoo |
10700743 | Methods and apparatus for determining nearfield localization using phase and RSSI diversity | Assem Pourya |
10703016 | Semiconductor sawing method and system | Chen Chi-Hung |
10704156 | Method and system for electroplating a MEMS device | Abbott Donald Charles |
10705136 | Modular test assembly | Obidat Abdallah |
10705159 | Highly sensitive, low power fluxgate magnetic sensor integrated onto semiconductor process technologies | Mazotti Erika Lynn |
10706349 | Secure convolutional neural networks (CNN) accelerator | Mody Mihir Narendra |
10706492 | Image compression/decompression in a computer vision system | Garud Hrushikesh Tukaram |
10707038 | System and method for energy monitoring | Diewald Horst |
10707089 | Dry etch process landing on metal oxide etch stop layer over metal layer and structure formed thereby | Meier Sebastian |
10707296 | LOCOS with sidewall spacer for different capacitance density capacitors | Edwards Henry Litzmann |
10707297 | High voltage galvanic isolation device | West Jeffrey Alan |
10707323 | Method of forming metal contacts in the barrier layer of a group III-N HEMT | Kondo Yoshikazu |
10707324 | Group IIIA-N HEMT with a tunnel diode in the gate stack | Suh Chang Soo |
10707344 | Power MOSFET with metal filled deep source contact | Lin Furen |
10707689 | Battery charger | Barsukov Yevgen |
10707842 | Pulse width modulation technique with time-ratio duty cycle computation | Vulpoiu Paul |
10707857 | Methods and apparatus to improve switching conditions in a closed loop system | Evans David Wayne |
10707867 | Bus driver with rise/fall time control | Banerjee Deep |
10707892 | Interpolation digital-to-analog converter (DAC) | Zhang Jun |
10708554 | Methods and apparatus to control satellite equipment | Jing Weibing |
10708603 | Reduced complexity coefficient transmission for adaptive loop filtering (ALF) in video coding | Budagavi Madhukar |
10708622 | Adaptive loop filtering (ALF) for video coding | Budagavi Madhukar |
10708859 | Methods and apparatus for efficient wakeup of wireless device | Naftali Oran |
10710875 | Encapsulant barrier | Liu Jane Qian |
10712387 | First, second test domains and test mode select control circuitry | Whetsel Lee D. |
10712401 | Concave cavity for integrated microfabricated sensor | Gabrys Ann |
10712426 | Fault tolerant digital input receiver circuit | Herring Kevin Paul |
10712433 | Compact chip scale LIDAR solution | Carothers Daniel Nelson |
10712876 | Capacitive touch-on-surface input apparatus with touch-force sensing using profiled capacitive electrode | Liu Dongtai |
10713174 | Streaming engine with early and late address and loop count registers to track architectural state | Zbiciak Joseph |
10713180 | Lookahead priority collection to support priority elevation | Chachad Abhijeet Ashok |
10713408 | Aware variable fill pattern generator | Somashekar Sumanth |
10713522 | Methods and systems for analyzing images in convolutional neural networks | Mody Mihir Narendra |
10714412 | Semiconductor package with integrated passive electrical component | Mullenix Joyce Marie |
10714417 | Semiconductor device with electroplated die attach | Dadvand Nazila |
10714418 | Electronic device having inverted lead pins | Ko Chang-Yen |
10714439 | Electronic device having cobalt coated aluminum contact pads | Dadvand Nazila |
10714474 | High voltage CMOS with triple gate oxide | Hu Binghua |
10714594 | Transistors with oxide liner in drift region | Edwards Henry Litzmann |
10714933 | Method and apparatus for low clamping voltage ESD solution for transient sensitive applications | Pok Ponnarith |
10715117 | Comparator hysteresis circuit | Sakurai Satoshi |
10715138 | Open drain driver circuit | Jiang Junfeng |
10715146 | Integrated circuit with level shifter | Finn Steven Ernest |
10715194 | Digital offset frequency generator based radio frequency transmitter | Sahu Debapriya |
10715376 | Enhanced IQ mismatch correction function generator | Balakrishnan Jaiganesh |
10715803 | Virtual boundary processing simplification for adaptive loop filtering (ALF) in video coding | Budagavi Madhukar |
10715815 | Method and apparatus for real-time SAO parameter estimation | Garud Hrushikesh Tukaram |
10715830 | Luma-based chroma intra-prediction for video coding | Budagavi Madhukar |
10718661 | Integrated microfabricated vapor cell sensor with transparent body having two intersecting signal paths | McDonald David Brian |
10718826 | High performance fluxgate device | Eissa Mona M. |
10718852 | RF/mm-wave peak detector with high-dynamic range calibration | Giannini Vito |
10719096 | Circuit and method for generating a reference voltage with a voltage regulator and a sample and hold circuit | Murdock James |
10719181 | Capacitive single layer multi-touch panel having improved response characteristics | Peng Tao |
10720323 | Method for processing a semiconductor wafer using a thin edge carrier ring | Song Jian |
10720406 | Stacked semiconductor system having interposer of half-etched and molded sheet metal | Eugene Lee Lee Han Meng@ |
10720488 | Semiconductor resistor structure and method for making | Dahlström Mattias Erik |
10720490 | Integrated trench capacitor formed in an epitaxial layer | Lin He |
10720499 | Semiconductor device having polysilicon field plate for power MOSFETs | Chen Ya ping |
10720796 | Methods and apparatus for antenna signal limiter for radio frequency identification transponder | Muellner Ernst Georg |
10720937 | Programmable gain amplifier and a delta sigma analog-to-digital converter containing the PGA | Zhang Jun |
10720938 | Segmented digital-to-analog converter | Zhang Jun |
10720946 | DC coupled radio frequency modulator | Chand Jagdish |
10720963 | Adaptive tone power control in PLC networks | Kim Il Han |
10721487 | Converting a bi-predicted merging candidate in a merging candidate list for a prediction unit of a picture | Zhou Minhua |
10721782 | Power efficient tunneled direct link setup apparatus, systems and methods | Estevez Leonardo William |
10723616 | Structures for packaging stress-sensitive micro-electro-mechanical system stacked onto electronic circuit chip | Wachtler Kurt Peter |
10725103 | Interposer analog scan with digital scan cell, comparator, analog switches | Whetsel Lee D. |
10725118 | Floating input detection | R Dileep Kumar |
10725162 | Antenna configuration for parking assist radar | Rao Sandeep |
10725491 | Methods and apparatus to correct gate bias for a diode-connected transistor | Collins Gregory Wallis |
10725527 | Static power reduction in caches using deterministic naps | Olorode Oluleye |
10725742 | Transcendental function evaluation | Viswanathan Pillai Prasanth |
10725945 | Integrated circuit with combined interrupt and serial data output | Hubbard Richard Edwin |
10726881 | Supply voltage clamping for improved power supply rejection ratio | Stoerk Carsten Ingo |
10727085 | Printed adhesion deposition to mitigate integrated circuit package delamination | Lin Yong |
10727116 | Programming reactive components | Emerson Paul Merle |
10727161 | Thermal and stress isolation for precision circuit | Smeys Peter |
10727730 | Increasing efficiency of a switched mode power converter | Neidorff Robert Alan |
10727754 | Isolated phase shifted DC to DC converter with secondary side regulation and sense coil to reconstruct primary phase | Albertini Pierluigi |
10727758 | Active clamping and scalable reference control for capacitor-drop power supplies | Ramadass Yogesh Kumar |
10727797 | Amplitude control with signal swapping | Reitsma George |
10727815 | Methods and apparatus to measure resonant sensors based on detection of group delay | Segovia Fernandez Jeronimo |
10727827 | Self-biased gate controlled switching circuit | Stoerk Carsten Ingo |
10727841 | Phase lock loop reference loss detection | Ghotgalkar Shailesh Ganapat |
10727846 | Phase cancellation in a phase-locked loop | Janardhanan Jayawardan |
10727852 | Reduced noise dynamic comparator for a successive approximation register analog-to-digital converter | Ghosh Sovan |
10727859 | Loop delay compensation in a delta-sigma modulator | Agrawal Meghna |
10728068 | Methods and apparatus for performing a high speed phase demodulation scheme using a low bandwidth phase-lock loop | Mukherjee Subhashish |
10728545 | Method and system of bit rate control | Srinivasamurthy Naveen |
10729381 | Photoplethysmogram with motion artifact compensation | Pande Tarkesh |
10732218 | Seal monitor for probe or test chamber | McClanahan Adolphus E. |
10732654 | Automatic input/output voltage control | Hubbard Richard Edwin |
10732660 | Measuring internal voltages of packaged electronic devices | Jankowski Maciej Piotr |
10732689 | Controlling the number of powered vector lanes via a register field | Anderson Timothy David |
10732945 | Nested loop control | Chirca Kai |
10733129 | Compensating DC loss in USB 2.0 high speed applications | Tang Yonghui |
10734140 | Resistor replicator | Hastings Roy Alan |
10734290 | Poly gate extension design methodology to improve CMOS performance in dual stress liner process flow | Choi Younsung |
10734304 | Plating for thermal management | Dadvand Nazila |
10734313 | Integration of a passive component in an integrated circuit package | Morroni Jeffrey |
10734331 | Integrated circuit with an embedded inductor or transformer | Male Barry Jon |
10734899 | DC-DC converter with a pulse-skipping mode (PSM) transitions controller | Fan Jiwei |
10734908 | Synchronous rectifier control | Kannan Bharath |
10734927 | Motor drive phase sector buffer, offset and gain error circuitry | Staebler Martin |
10734956 | Signal detection circuit | Aude Arlo James |
10734978 | Enhanced immunity latched logic state retention | Purushothaman Soman |
10734993 | Adaptive voltage scaling system for out of context functional safety SoC | Kowkutla Venkateswar Reddy |
10735020 | Voltage detector | Gowdhaman Santhosh Kumar |
10735023 | Matrix compression accelerator system and method | Redfern Arthur John |
10735041 | Adjustable dynamic range signal detection circuit | Chen Jikai |
10735075 | Method and apparatus for CSI feedback in a MIMO wireless communication system with elevation beamforming | Chen Runhua |
10735700 | Universal and adaptive de-mosaicing (CFA) system | Dabral Shashank |
10735743 | Perceptual three-dimensional (3D) video coding based on depth information | Kwon Do-Kyoung |
10735751 | Multi-pass video encoding | Kudana Arun Shankar |
10736575 | Accuracy of heart rate estimation from photoplethysmographic (PPG) signals | Gunturi Sarma Sundareswara |
10739383 | Shunt strip | Yue Fatt Seng |
10739402 | Generating multiple pseudo static control signals using on-chip JTAG state machine | Kawoosa Mudasir Shafat |
10739433 | Calibrating body impedance measurement systems | Udupa Anand Hariraj |
10739463 | Time-of-flight (TOF) assisted structured light imaging | Koppal Sanjeev Jagannatha |
10740105 | Processor subroutine cache | Wiencke Christian |
10740280 | Low energy accelerator processor architecture with short parallel instruction word | Lingam Srinivas |
10740495 | Host/battery with public/private busses, public memory, processor, and private memory | Shankar Narendar M. |
10741268 | Flexible and efficient device trim support using efuse | Ganesan Aravind |
10741473 | Structure to enable higher current density in integrated circuit resistor | Kande Dhishan |
10741489 | Rectangular via for ensuring via yield in the absence of via redundancy | Blatchford James Walter |
10741684 | Integrated channel diode | Kocon Christopher Boguslaw |
10741687 | Trench DMOS transistor with reduced gate-to-drain capacitance | Leng Yaojian |
10742117 | Power supply system with non-linear capacitance charge-pump | Cohen Isaac |
10742134 | Isolated capacitive power transfer | Chen Lei |
10742209 | Methods and circuitry for driving a device | Trescases Olivier |
10742280 | 4Tx codebook enhancement in LTE | Onggosanusi Eko |
10742391 | Signal conditioning in a serial data link | Kenyon Eleazar Walter |
10743018 | Methods and systems for estimating motion in multimedia pictures | Kudana Arun Shankar |
10746611 | Magnetostrictive strain gauge sensor | Lee Dok Won |
10746766 | Devices and methods for detecting USB devices attached to a USB charging port | Picard Jean |
10746778 | Fully differential current sensing | Banerji Sudeep |
10746797 | Dynamically protective scan data control | Parekhji Rubin Ajit |
10746850 | Multi-chip transceiver testing in a radar system | Breen Daniel Colum |
10746851 | Circuits and methods for determining chirp signal linearity and phase noise of a FMCW radar | Eswaran Anjan Prasad |
10746890 | Power MOSFET with a deep source contact | Lin Furen |
10747249 | Reference buffer with integration path, on-chip capacitor, and gain stage separate from the integration path | Subramanian Anand |
10747466 | Save-restore in integrated circuits | Sabbarwal Puneet |
10747636 | Streaming engine with deferred exception reporting | Zbiciak Joseph |
10747692 | Image processing accelerator | Mody Mihir |
10748557 | Methods and apparatus for low cost voice activity detector | Thiagarajan Ganesan |
10748818 | Dynamic biasing to mitigate electrical stress in integrated resistors | Chatterjee Tathagata |
10748827 | Packaged semiconductor devices for high voltage with die edge protection | Kim Woochan |
10748863 | Semiconductor devices having metal posts for stress relief at flatness discontinuities | Williamson Jaimal Mallory |
10748913 | SRAM cell with T-shaped contact | Houston Theodore W. |
10748999 | Multi-super lattice for switchable arrays | Cook Benjamin Stassen |
10749336 | ESD protection circuit with passive trigger voltage controlled shut-off | Mysore Rajagopal Krishna Praveen |
10749337 | Integrated ESD event sense detector | Segervall Alan Erik |
10749716 | Signal path linearizer | Chen Dongwei |
10750573 | BLE scatternet system and method | Kamath Sandeep |
10751754 | Micromachined ultrasound transducer | Najar Mohammad Hadi Motieian |
10753566 | Methods and apparatus for illumination with laser modulated adaptive beam shaping | Bhakta Vikrant R. |
10754302 | Molecular atomic clock with wave propagating rotational spectroscopy cell | Herbsommer Juan Alejandro |
10754477 | Capacitive proximity detection system and method | Cormier, Jr. Ronald F. |
10755380 | Down scaling images in a computer vision system | Mody Mihir Narendra |
10755940 | Plating interconnect for silicon chip | Malado Jerard Canuto |
10756013 | Packaged semiconductor system having unidirectional connections to discrete components | Gandhi Saumya |
10756095 | SRAM cell with T-shaped contact | Houston Theodore W. |
10756187 | Extended drain MOS with dual well isolation | Tsai Chin-yu |
10756620 | Zero current and valley detection for power factor correction | Viswanathan Ananthakrishnan |
10756679 | Reducing supply to ground current | Agrawal Meghna |
10756685 | Chopper amplifier with decoupled chopping frequency and threshold frequency | Vasan Bharath Karthik |
10756717 | System and method for calibration of pulse width modulation signals with higher resolution increments | Best Eric Patrick |
10756725 | Load switch having a controlled slew rate | Jordanger Ricky Dale |
10756726 | Systems with power transistors, transistors coupled to the gates of the power transistors, and capacitive dividers coupled to the power transistors | Gong Xun |
10756731 | Power source multiplexer with adaptive switch control | Turkson Richard |
10756873 | Channel quality report processes, circuits and systems | Chen Runhua |
10757339 | Image data processing for multi-exposure wide dynamic range image data | Dabral Shashank |
10758185 | Heart rate estimation apparatus using digital automatic gain control | Pande Tarkesh |
10759658 | Hermetic vertical shear weld wafer bonding | Ehmke John Charles |
10761111 | System and method for control of automated test equipment contactor | Adoni Arun |
10761850 | Look up table with data element promotion | Bui Duc |
10761967 | Managing and maintaining multiple debug contexts in a debug execution mode for real-time processors | Peck Jason Lynn |
10761968 | Managing and maintaining multiple debug contexts in a debug execution mode for real-time processors | Peck Jason Lynn |
10762016 | Embedded universal serial bus 2 repeater | Maung Win Naing |
10763230 | Integrated circuit backside metallization | Sada Hiroyuki |
10763231 | Bump bond structure for enhanced electromigration performance | Mishra Dibyajat |
10763251 | ESD network comprising variable impedance discharge path | Rajagopal Krishna Praveen Mysore |
10763664 | Driver and slew-rate-control circuit providing soft start after recovery from short | Jordanger Ricky Dale |
10763748 | Buck-boost DC-DC converter | Shumkov Ivan |
10763831 | Generation of pulse width modulated (PWM) pulses | Akondy Subrahmanya Bharathi |
10763832 | Precision oscillators that use imprecise components | Roy Aniruddha |
10763833 | Multiphase oscillator circuit | Muellner Ernst Gerog |
10763839 | Buffer Circuit | Chauhan Rajat |
10763841 | Loss of signal detection circuit | Huang Huanzhang |
10763843 | Trickle charge control | Shankar Krishnamurthy Ganapathi |
10763844 | Methods and apparatus to transmit signals in isolated gate drivers | Bang Sarvesh |
10763853 | Multi-mode power management circuit | Li Wang |
10763886 | Dithering and calibration technique in multi-stage ADC | Narayan Subramanian Jagdish |
10763889 | Analog-to-digital converter with dynamic range enhancer | Agarwal Uttam Kumar |
10764200 | Openflow match and action pipeline structure | Bosshart Patrick W. |
10764591 | Transmission bit-rate control in a video encoder | Nagori Soyeb |
10767998 | Package on package memory interface and configuration with error code correction | Gulati Rahul |
10768278 | Field monitoring of analog signals in a radar system | Subburaj Karthik |
10768516 | Projector with laser and phosphor | Bartlett Terry Alan |
10768933 | Streaming engine with stream metadata saving for context switching | Zbiciak Joseph |
10769050 | Managing and maintaining multiple debug contexts in a debug execution mode for real-time processors | Peck Jason Lynn |
10769328 | Generating a template-driven schematic from a netlist of electronic circuits | Chawda Pradeep Kumar |
10770377 | Leadframe die pad with partially-etched groove between through-hole slots | Raposas Joel De Guzman |
10770378 | Isolated component design | Tuncer Enis |
10770406 | Methods and apparatus for scribe street pads with reduced die chipping during wafer dicing | Jackson Ricky Alan |
10770538 | Method and structure for dual sheet resistance trimmable thin film resistors | Dirnecker Christoph Andreas Othmar |
10770584 | Drain extended transistor with trench gate | Kocon Christopher Boguslaw |
10770963 | DC-DC converter having a switch on-time control loop with a switched-capacitor circuit for error-based adjustment | Kazama Taisuke |
10770971 | Single-input multiple-output (SIMO) converter having a controller with switchable rest states | Chard Gary Franklin |
10771052 | Gate driver with VGTH and VCESAT measurement capability for the state of health monitor | Li Xiong |
10771083 | Top plate sampling analog-to-digital converter (ADC) having a dynamic comparator with a preamplifier and a clamp circuit | Mathew Joseph Palackal |
10771280 | Low-power wake-up circuit for controller area network (CAN) transceiver | Gupta Lokesh Kumar |
10771801 | Region of interest (ROI) request and inquiry in a video chain | Dedeoglu Goksel |
10775327 | Exhaust gas-sensor | Chennupati Siva RaghuRam Prasad |
10775422 | Molecular spectroscopy cell with resonant cavity | Fruehling Adam Joseph |
10775489 | Maximum measurable velocity in frequency modulated continuous wave (FMCW) radar | Rao Sandeep |
10775609 | Micromechanical device with via strut | Looney Stephen Howard |
10775833 | Meeting setup/hold times for a repetitive signal relative to a clock | Kramer Paul Joseph |
10775853 | Secondary back surface touch sensor for handheld devices | Newman Merril Ray |
10776167 | Bandwidth controlled data synchronization for image and vision processor | Nandan Niraj |
10776546 | False path timing exception handler circuit | Pradeep Wilson |
10777589 | Pixel crosstalk correction | Sadhu Venkata Subhash Chandra |
10778006 | Chip position sensing for battery protectors | Parmar Manish |
10778014 | System and method for battery pack management using predictive balancing | Barsukov Yevgen Pavlovich |
10778029 | Capacitor balanced driver circuit for dual input charger | Hoon Siew |
10778034 | Circuit and architecture for a demodulator for a wireless power transfer system and method therefor | Khandelwal Ashish |
10778081 | Ripple compensation for burst mode control | Liu Pei-Hsin |
10778089 | Cascaded active electro-magnetic interference filter | Chu Yongbin |
10778099 | Boost-back protection for power converter | Womac Austin James |
10778243 | Delay-based residue stage | Pentakota Visvesvaraya Appala |
10778344 | Channel tracking method and module | Gunturi Sarma Sundareswara |
10778482 | Bit slicer circuit for S-FSK receiver, integrated circuit, and method associated therewith | Klemmer Nikolaus |
10778498 | TX IQ mismatch pre-compensation | Sestok, IV Charles K. |
10778945 | Spatial light modulator with embedded pattern generation | Kempf Jeffrey Matthew |
10778973 | Flexible region based sample adaptive offset (SAO) and adaptive loop filter (ALF) | Sze Vivienne |
10780467 | Methods and apparatus for surface wetting control | Revier Daniel Lee |
10782154 | Tilted segmented anisotropic magneto-resistive angular sensor | Shulver Byron Jon Roderick |
10782341 | Semiconductor device handler with a floating clamp | Ponghon Mhark Lester Lauron |
10782346 | Enhanced fault detection of latched data | Flores Jose |
10782389 | Linear, synthesized radar receiver array between and extending from ICS | Rao Sandeep |
10782394 | Slim object detection using multi-polarized millimeter wave signals | Wang Dan |
10782717 | Jitter compensation in integrated circuit devices | Chen Jikai |
10782727 | Integrated circuits having self-calibrating oscillators, and methods of operating the same | Pereira Angelo William |
10783217 | Inverse transformation using pruning for video coding | Budagavi Madhukar |
10784172 | Testing solid state devices before completing manufacture | Berger Cody Michael |
10784188 | Methods and apparatus for a semiconductor device having bi-material die attach layer | Zhang Rongwei |
10784190 | Method of making leadframe strip | Lee Lee Han Meng@Eugene |
10784193 | IC with thin film resistor with metal walls | Hong Qi-Zhong |
10784251 | Internally stacked NPN with segmented collector | Edwards Henry Litzmann |
10784703 | System and method for maintaining battery life | Chhaya Harshal S. |
10784764 | Switched-mode DC/DC converter having a bootstrapped high-side driver | Jodka Eduardas |
10784776 | Self-boost isolation device | Zhu Danyang |
10784777 | Output current boosting of capacitor-drop power supplies | Ramadass Yogesh Kumar |
10784785 | Monitoring SMPS power switch voltage via switch drain source capacitance | Giombanco Salvatore |
10784829 | Current sense circuit stabilized over wide range of load current | Baragur Suryanarayana Dattatreya |
10784849 | Energy storage element control circuit | Hunter Bradford Lawrence |
10784857 | Adaptive gate drivers and related methods and systems | Li Xiong |
10784867 | Supply difference controlled cross-coupled level shifting circuit | Kanteti Amar |
10784873 | Square wave-to-sine wave converter | Li Qunying |
10784875 | Loop filter for a phase-locked loop | Nagaraj Krishnaswamy |
10784917 | PSI5 base current sampling in synchronous mode | Easwaran Sri Navaneethakrishnan |
10785151 | Packet processing match and action pipeline structure with dependency calculation removing false dependencies | Bosshart Patrick W. |
10785459 | DLP color projector | Sheng Zhongyan |
10785463 | Super-resolution in structured light imaging | Appia Vikram VijayanBabu |
10785482 | Method and system for constraining tile processing overhead in video coding | Zhou Minhua |
10785758 | Reception of downlink data for coordinated multi-point transmission in the event of fall-back | Chen Runhua |
10785796 | Preamble group selection in random access of wireless networks | Bertrand Pierre |
10788367 | Integrated circuit using photonic bandgap structure | Cook Benjamin Stassen |
10788536 | System and apparatus for battery internal short current detection under arbitrary load conditions | Zhang Yandong |
10788577 | Time of flight absolute position measurement | Dietl Markus |
10788849 | Voltage regulator in USB power delivery integrated circuit | Tang Nghia Trong |
10788853 | Interrupt handling method and apparatus for slow peripherals | Soni Maneesh |
10789399 | Compensation design of power converters | Pam Srikanth |
10790228 | Interconnect via with grown graphitic material | Venugopal Archana |
10790275 | ESD protection device with deep trench isolation islands | Chen Zaichen |
10790757 | Galvanic isolation devices to provide power and data between subsystems | Oljaca Miroslav |
10790782 | Optocoupler emulating input stage for digital isolators | Singh Tarunvir |
10790806 | Power-on reset circuit | Shreepathi Bhat Avinash |
10790841 | Gain correction for multi-bit successive-approximation register | Naru Srinivas Kumar Reddy |
10790959 | Clock data recovery | Yen Chen-Lun |
10791335 | Method and apparatus for image and video coding using hierarchical sample adaptive band offset | Kim Woo-Shik |
10791614 | Conductive sheets to discharge non-conductive surfaces | Yehle Joseph Milton |
10794953 | Functional, tap, trace circuitry with multiplexed tap, trace data output | Whetsel Lee D. |
10794963 | Line fault signature analysis | Rajagopal Prasanna U. |
10795002 | High speed illumination driver for TOF applications | Venkataraman Jagannathan |
10795391 | Voltage regulator wake-up | Shankar Ruchi |
10795685 | Operating a pipeline flattener in order to track instructions for complex | Koesler Markus |
10795844 | Multicore bus architecture with non-blocking high performance transaction credit system | Thompson David M. |
10795845 | Method and system for auto-addressing nodes on a communication bus | Vemuri Arun Tej |
10795850 | Methods and apparatus to transition devices between operational states | Chan Chung San Roger |
10796738 | Priority based backup in nonvolatile logic arrays | Bartling Steven Craig |
10796956 | Contact fabrication to mitigate undercut | Dadvand Nazila |
10796974 | Scan testable through silicon VIAs | Whetsel Lee D. |
10797010 | Semiconductor package having a metal barrier | Medina Joel Tomas |
10797579 | Dual supply low-side gate driver | Hashim Ahmed Essam |
10797589 | Methods and apparatus to compensate for power factor loss using a phasor cancellation based compensation scheme | Bhardwaj Manish |
10797629 | Low speed sensorless rotor angle estimation | Lakshmi Narasimha Rajan |
10797646 | Variable gain power amplifiers | Chakraborty Sudipto |
10797689 | Over-voltage clamp circuit | Roy Subrato |
10797921 | Threshold computation circuit for S-FSK receiver, integrated circuit, and method associated therewith | Klemmer Nikolaus |
10798162 | Cluster system with fail-safe fallback mechanism | Devshatwar Nikhil Nandkishor |
10798346 | Methods and apparatus for shallow gradient artifact reduction in image display systems | Morgan Dan |
10798350 | Split aperture projector/camera | Bhakta Vikrant R. |
10798379 | Intra/inter mode decision for predictive frame encoding | Nagori Soyeb |
10798384 | Reducing context coded and bypass coded bins to improve context adaptive binary arithmetic coding (CABAC) throughput | Sze Vivienne |
10798410 | Method, system and apparatus for intra-refresh in video signal processing | Siddaramanna Mahant |
10801868 | Extended range ADC flow meter | Dabak Anand |
10801985 | Sensing capacitor with a permeable electrode | Cook Benjamin Stassen |
10802058 | Tracking energy consumption using a sepic-converter technique | Diewald Horst |
10802517 | Multi-mode voltage regulator | Assaad Rida Shawky |
10802656 | Touch sensor circuit | Shen Ge |
10802974 | Virtual network pre-arbitration for deadlock avoidance and enhanced performance | Pierson Matthew David |
10803009 | Processor with table lookup processing unit | Hung Ching-Yu |
10803651 | Methods and apparatus to perform graphics processing on combinations of graphic processing units and digital signal processors | Mody Mihir Narendra |
10803968 | Methods and apparatus to control switching of a sampling circuit | Kunz Keith Edmund |
10804114 | Methods for making a multilevel leadframe by etching a conductive sheet from two opposite sides | Eugene Lee Lee Han Meng@ |
10804185 | Integrated circuit chip with a vertical connector | Castro Abram M. |
10804201 | Dissimilar material interface having lattices | Venugopal Archana |
10804263 | Switching field plate power MOSFET | Lin Haian |
10804691 | Circuit providing reverse current protection for high-side driver | Easwaran Sri Navaneethakrishnan |
10804845 | Apparatus for communication across a capacitively coupled channel | Mukherjee Subhashish |
10804848 | Low-power low-phase-noise oscillator | Ryu Seong-Ryong |
10804887 | Slow clamp circuit for bipolar junction transistor (BJT) buffers | Prasad Sudheer |
10804900 | Pad limited configurable logic device | Brito Joao Carlos |
10804956 | Bidirectional data link | Manian Abishek |
10805123 | Crosstalk generation and detection for digital isolators | Singh Atul |
10805617 | Scalable prediction type coding | Zhou Minhua |
10805619 | Methods and systems for chroma residual data prediction | Gupte Ajit Deepak |
10805625 | Method, system and computer program product for adjusting a stereoscopic image in response to decoded disparities between views of the stereoscopic image | Raju Veeramanikandan |
10809235 | Ultrasonic transducer system and method for bi-modal system responses | Sathyanarayana Amardeep |
10809295 | Die testing using top surface test pads | Whetsel Lee D. |
10809353 | Noise mitigation in radar systems | Subburaj Karthik |
10809365 | Vibration parameters monitoring using FMCW radar | Patole Sujeet Milind |
10809668 | Compact millimeter wave system | Fruehling Adam Joseph |
10809933 | Matrix transfer accelerator system and method | Redfern Arthur John |
10809978 | Merge sort accelerator | Redfern Arthur John |
10810063 | Fault tolerant multi-processor device | Mukherjee Somnath |
10810131 | Streaming engine with multi dimensional circular addressing selectable at each dimension | Zbiciak Joseph |
10810281 | Outer product multipler system and method | Redfern Arthur John |
10810342 | Parameter extraction from digitized image of a schematic or block diagram for electrical designs | Humphrey Malcolm James |
10811292 | Transport packaging and method for expanded wafers | Esteron Connie Alagadan |
10811312 | Method of using a sacrificial conductive stack to prevent corrosion | Jain Manoj K. |
10811326 | Acoustic detection of laser failure mode in semiconductor environment | Meier Sebastian |
10811334 | Integrated circuit nanoparticle thermal routing structure in interconnect region | Cook Benjamin Stassen |
10811343 | Method of making a wire support leadframe for a semiconductor device | Chien Yuh-Harng |
10811492 | Method and device for patterning thick layers | West Jeffrey Alan |
10811530 | Trench gate trench field plate vertical mosfet | Denison Marie |
10811533 | Medium high voltage MOSFET device | Kocon Christopher Boguslaw |
10811534 | Transistors with dual wells | Bo Xiang-Zheng |
10811543 | Semiconductor device with deep trench isolation and trench capacitor | Hu Binghua |
10811967 | Fast mode transitions in a power converter | Veeramreddi Srinivas Venkata |
10812034 | Dual-mode filtering actuator driver apparatus, systems and methods | Shay Michael John |
10812060 | Managing pulse-width modulation trip signals from multiple sources | Leyrer Thomas Anton |
10812063 | State machine controlling power transistor through fault and no fault | Rahman Md. Abidur |
10812064 | Source down power FET with integrated temperature sensor | Lin Haian |
10812070 | Current limiting I/O interface and isolated load switch driver IC | Calabrese Giacomo |
10812091 | Dithered M by N clock dividers | Rangachari Sundarrajan |
10812098 | Analog-to-digital converter decision control | Vishweshwara Ramamurthy |
10812135 | Independent sequence processing to facilitate security between nodes in wireless networks | Xhafa Ariton E. |
10812140 | Multi-length cyclic prefix for OFDM transmission in PLC channels | Pande Tarkesh |
10812294 | Channel estimation method and system for IQ imbalance and local oscillator leakage correction | Tangudu Jawaharlal |
10813064 | Short and long training fields | Schmidl Timothy M. |
10813094 | Wideband beacon channel for frequency hopping systems | Schmidl Timothy Mark |
10813127 | Signaling of random access preamble parameters in wireless networks | Bertrand Pierre |
10816655 | In-phase (I) and quadrature (Q) imbalance estimation in a radar system | Bharadwaj Sachin |
10816673 | Memory optimized GNSS correlator | Nayyar Jasbir Singh |
10817257 | Data flow control for multi-chip select | Ghotgalkar Shailesh Ganapat |
10817395 | Processor with non-intrusive self-testing | Natarajan Venkatesh |
10817587 | Reconfigurable matrix multiplier system and method | Redfern Arthur John |
10818067 | GPU assist using DSP pre-processor system and method | Mody Mihir |
10818374 | Testing read-only memory using memory built-in self-test controller | Narayanan Prakash |
10819228 | Charge pump capacitor coupled to input, reference voltage for overvoltage | Ranmuthu Indumini W. |
10819234 | Switching converter with a self-operated negative boost switch | Moctezuma Ariel Dario |
10819237 | Gate voltage plateau completion circuit for DC/DC switching converters | Petrina Gaetano Maria Walter |
10819294 | Dynamic biasing circuit | Ghosh Sovan |
10819334 | Reset isolation for an embedded safety island in a system on a chip | Hu Chunhua |
10819351 | Gate driver circuit with a closed loop overdrive generator | Shankar Krishnamurthy Ganapathi |
10819396 | Apparatus for die-to-die communication | Mukherjee Subhashish |
10819543 | Common mode transient immunity circuit for opto-isolator emulation | Adinarayana Sudhir Komarla |
10821922 | Power control system | Konnail George |
10823581 | Sensor power management | Narayanan Sriram |
10823710 | Scanning acoustic microscopy system and method | Nguyen Dat Tan |
10824130 | Stepper motor | Raja Rakesh |
10824877 | Hierarchical data organization for dense optical flow processing in a computer vision system | Sanghvi Hetul |
10824934 | Methods and apparatus for matrix processing in a convolutional neural network | Mody Mihir Narendra |
10825426 | Merging multiple exposures to generate a high dynamic range image | Narasimha Rajesh |
10825489 | Latching sense amplifier | Kenyon Eleazar Walter |
10826388 | Charge pump circuits | Jankowski Maciej Piotr |
10826392 | Voltage regulator with an adaptive off-time generator | Liang Jian |
10826403 | Active Y-rated capacitor control options for common-mode electromagnetic interference (EMI) reduction | Ramadass Yogesh Kumar |
10826443 | Common mode overload recovery for amplifier | Chuang Shang-Yuan |
10826465 | Reduced quiescent current PVT compensated oscillator | Magod Ramakrishna Raveesh |
10826477 | Programmable time-division multiplexed comparator | Hunter Bradford Lawrence |
10826487 | Power unit with an integrated pull-down transistor | Lin Haian |
10826515 | Glitch reduction in segmented resistor ladder DAC | Stoerk Carsten Ingo |
10826520 | Successive approximation register analog-to-digital converter | Kumar Kundu Amal |
10827184 | Methods and systems for encoding of multimedia pictures | Kudana Arun Shankar |
10827188 | Method and apparatus for parallelizing context selection in video processing | Sze Vivienne |
10829365 | Piezoelectric optical MEMS device with embedded moisture layers | Chang YungShan |
10830619 | Envelope based sample correction for digital flow metrology | Sathyanarayana Amardeep |
10831018 | Methods and apparatus for increasing efficiency and optical bandwidth of a microelectromechanical system piston-mode spatial light modulator | Fruehling Adam Joseph |
10832927 | Interlocking nest wafer protector | Escusa Albert Winston Dulay |
10832991 | Leadless packaged device with metal die attach | Cook Benjamin Stassen |
10832993 | Packaged multichip device with stacked die having a metal die attach | Dadvand Nazila |
10833036 | Interconnect for electronic device | Thompson Patrick Francis |
10833499 | Pyro-fuse circuit | Kambham Taraka Rama Chandra Reddy |
10833501 | Reverse voltage recovery circuit | Vogt Justin Patrick |
10833585 | Scalable switched capacitor integrated buck (SCIB) regulator for high conversion step down application | Tang Yichao |
10833648 | Acoustic management in integrated circuit using phononic bandgap structure | Revier Daniel Lee |
10833665 | Phase error correction for clock signals | Jiang Jianfeng |
10833690 | Kickback compensation for a capacitively driven comparator | Mitikiri Yujendra |
10833695 | Analog-to-digital converter | Zhang Zhenyong |
10833890 | Carrier sense multiple access (CSMA) protocols for power line communications (PLC) | Du Shu |
10833895 | Receiver with selectable digital equalization filter options | Ganesan Raghu |
10834401 | Video encoding | Subramanya Prashanth N. |
10837845 | Detection of strain direction in an integrated circuit | Brederlow Ralf Peter |
10837986 | Layout for reduced cross-talk in common terminal transistor | Krishnamurthy Vijay |
10838009 | Low pass filter and filter diagnostics | Oshida Takao |
10838016 | Short detect scheme for an output pin | Ramachandran Bhaskar |
10838199 | Ultrasound lens structure cleaner architecture and method using standing and traveling waves | Li Yunhong |
10838808 | Error-correcting code memory | Prathapan Indu |
10838896 | Split direct memory access (DMA) | Karguth Brian Jason |
10840013 | Galvanic isolation in devices | Nasum Sreeram Subramanyam |
10840171 | Integrated circuit package including inward bent leads | Meyers Michael L. |
10840179 | Electronic devices with bond pads formed on a molybdenum layer | Jackson Ricky Alan |
10840185 | Semiconductor device with vias having a zinc-second metal-copper composite layer | Dadvand Nazila |
10840211 | Semiconductor package with leadframe having pre-singulated leads or lead terminals | Glasscock Bradley Andrew |
10840241 | Resistor divider with improved resistor matching | Kim Sunglyong |
10840250 | SRAM layout for double patterning | Blatchford James Walter |
10840322 | Thin film resistor and top plate of capacitor sharing a layer | Kande Dhishan |
10840372 | SOI power LDMOS device | Lee Zachary K. |
10840797 | Load release detection circuit | Bandyopadhyay Saurav |
10840809 | Switching converter with output current estimator circuit | Moctezuma Ariel Dario |
10840883 | Inductively-coupled MEMS resonators | Bahr Bichoy |
10840902 | Multi-functional pin of an integrated circuit | Panacek Jiri |
10840911 | Gate driver with serial communication | Li Xiong |
10840919 | Frequency domain-based clock recovery | Subramanian Shyam |
10840930 | System and method for digital-to-analog converter with switched resistor networks | Zhang Jun |
10841133 | Methods, apparatus, and systems to increase common-mode transient immunity in isolation devices | Liu Zhidong |
10841626 | Selective picture-based encryption of video streams | Raju Veeramanikandan |
10841771 | Bluetooth data forwarding | Malovany Ram |
10845396 | Apparatus and system for wide-range current sensing | Hastings Roy Alan |
10845412 | IC receiving TDI addresses in R/TI after update-IR while TDI in second logic state | Whetsel Lee D. |
10845415 | TCK to shift register and decompressor on shift-DR and pause-DR | Whetsel Lee D. |
10845833 | Method and system for buck converter current re-use for minimum switching frequency pulse-skip operation | Dietrich Stefan |
10847242 | Computing register with non-volatile-logic data storage | Baumann Adolf |
10847483 | Integrated circuits with conductive bumps having a profile with a wave pattern | Torres Jose Daniel Carlos |
10847605 | Methods and apparatus for high voltage integrated circuit capacitors | West Jeffrey Alan |
10848142 | Constant resistance input pass switch with overvoltage protection | Demirci Kemal Safak |
10848148 | Motor drive switch control with adaptive slew rate monitoring | Butenhoff Michael Edwin |
10848156 | Voltage level shifter | Mudimela Venkata Krishna Reddy |
10848175 | Temperature sensing with bandgap sensor input to sigma-delta ADC | Doorenbos Jerry Lee |
10848297 | Quadrature clock skew calibration circuit | Ribeiro Roland |
10848785 | Block-based parallel deblocking filter in video coding | Sadafale Mangesh Devidas |
10849017 | Methods and apparatus to optimize power consumption and capacity in a multi-mode communication system | Kim Wonsoo |
10849203 | Multi-string LED current balancing circuit with fault detection | Satterfield Garrett Warren |
10852353 | Scan test control decoder with storage elements for use within integrated circuit (IC) devices having limited test interface | Kawoosa Mudasir Shafat |
10852402 | Phase anti-aliasing using spread-spectrum techniques in an optical distance measurement system | Sadhu Subhash Chandra Venkata |
10852419 | System and method for camera radar fusion | Zhong Ziguo |
10853170 | ECC protected storage | Visalli Samuel Paul |
10853269 | Methods, apparatus, and systems for secure demand paging and other paging operations for processor devices | Goss Steven C. |
10853923 | Method and apparatus for dynamic block partition of an image | Allu Rajasekhar Reddy |
10854265 | Time tracking circuit for FRAM | Toops David J. |
10854308 | Sample and hold circuit | Ivanov Vadim Valerievich |
10854370 | Embedded coil assembly and method of making | Li Haiying |
10854474 | Pre-cut plating lines on lead frames and laminate substrates for saw singulation | Gardose Erma Gallenero |
10854538 | Microelectronic device with floating pads | Ko Chang-Yen |
10854712 | Isolation of circuit elements using front side deep trench etch | Carothers Dan |
10855069 | USB type-C/PD controller having integrated VBUS to CC short protection | Mukhopadhyay Rajdeep |
10855164 | Zero current detector | Veeramreddi Srinivas Venkata |
10855184 | Load current measurement | Menezes Vinod Joseph |
10855239 | Amplifier class AB output stage | Ivanov Vadim Valerievich |
10855251 | Unreleased plane acoustic wave resonators | Yen Ting-Ta |
10855263 | Miller Clamp driver with feedback bias control | Ju Shuing |
10855275 | Multi-level turn-off circuit and related methods | Garg Mayank |
10855294 | High linearity phase interpolator | Haroun Baher |
10855307 | Systems with pairs of voltage level shifter switches to couple voltage level shifters to anti-aliasing filters | Ravinuthula Vishnu |
10855333 | Crosstalk reduction in receiver inductive loop using capturing loop in transmitting inductive loop | Shrivastava Kumar Anurag |
10856000 | Saving minimum macroblock data for subsequent encoding of other macroblocks | Jagannathan Shyam |
10856124 | PHY layer parameters for body area network (BAN) devices | Batra Anuj |
10856225 | Multi-band concurrent multi-channel receiver | Chakraborty Sudipto |
10857975 | ID-based control unit-key fob pairing | Ho Jin-Meng |
10859980 | Molecular atomic clock with wave propagating rotational spectroscopy cell | Dellis Argyrios |
10860509 | Multi-device burst update method | Watkins Gregory Alan |
10861359 | Surround-view with seamless transition to 3D view system and method | Karthik Shravan |
10861715 | 3D printed semiconductor package | Cook Benjamin Stassen |
10861741 | Electronic package for integrated circuits and related methods | Wachtler Kurt Peter |
10861763 | Thermal routing trench by additive processing | Cook Benjamin Stassen |
10861777 | Wire bond clamp design and lead frame capable of engaging with same | Chien Yuh-Harng |
10861796 | Floating die package | Cook Benjamin Stassen |
10861843 | Electrostatic discharge protection device | Dissegna Mariano |
10861844 | ESD device with fast response and high transient current | Appaswamy Aravind C. |
10861943 | Transistor with multiple GaN-based alloy layers | Lee Dong Seup |
10861948 | Drift region implant self-aligned to field relief oxide with sidewall dielectric | Edwards Henry Litzmann |
10862192 | Non-contact test solution for antenna-on-package (AOP) devices using near-field coupled RF loopback paths | Moallem Meysam |
10862397 | Dual ramp modulation for a switch-mode power supply | Liu Pei-Hsin |
10862443 | Offset addition circuits for sense transistors | Raimar Nandakishore |
10862467 | Capacitor ratio identification | Das Abhijit Kumar |
10862484 | Bidirectional voltage level translator having output driver staggering controlled by voltage supply | Kanteti Amar |
10862488 | Time-to-digital converter stop time control | Moe Marius |
10862493 | Techniques to improve linearity of R-2R ladder digital-to-analog converters (DACs) | Agrawal Atul Kumar |
10862666 | Sampling point identification for low frequency asynchronous data capture | Akondy Raja Raghupathi Venkataratna Subrahmanya Bharathi |
10863184 | Fast intra-prediction mode selection in video coding | Kim Hyung Joon |
10866275 | Automatic test equipment (ATE) contactor adaptor | Ochotorena, Jr. Raymond Joseph |
10866280 | Scan chain self-testing of lockstep cores on reset | Narayanan Prakash |
10866628 | Low-power mode for a USB type-C power delivery controller | Waters Deric Wayne |
10866647 | Using natural movements of a hand-held device to manipulate digital content | Sharma Vinay |
10867393 | Video object detection | Nagori Soyeb Noormohammed |
10867611 | User programmable voice command recognition based on sparse features | Tan Bozhao |
10867880 | Multi-die module with substrate cavity assembly | Cook Benjamin Stassen |
10868498 | Pulse blanking in an amplifier | Chawla Mohit |
10868504 | Operational amplifier offset trim | Ivanov Vadim Valerievich |
10868521 | Low quiescent current load switch | Choi Jae Won |
10868544 | Digitally reconfigurable ultra-high precision internal oscillator | Das Abhijit Kumar |
10868550 | Cycle slip detection and correction in phase-locked loop | Janardhanan Jayawardan |
10868558 | Successive approximation register analog-to-digital converter | Tripurari Laxmi Vivek |
10868574 | Signal cancellation of amplitude/angle modulation noise using feedforward and feedback topologies | Zuckerman Lawrence Herbert |
10869039 | Methods and systems for encoding pictures associated with video data | Srinivasamurthy Naveen |
10869064 | Delayed duplicate I-picture for video coding | Srinivasan Ranga Ramanujam |
10871469 | Surface sensing method for corrosion sensing via magnetic modulation | Estevez Leonardo William |
10871507 | Semiconductor device handler with chuck clamp interlock | Concepcion Sonny Baskiñas |
10871514 | High-voltage isolator testing | Lee Chi-Tsung |
10871520 | System and method for sensing battery capacity | Barsukov Yevgen |
10871757 | Binary digital input module having comparator and isolated output | Kallikuppa Sreenivasa Muniyappa |
10871810 | Power supply system with pulse mode operation | Kirchner Joerg |
10871965 | System and method to control the number of active vector lanes in a processor | Anderson Timothy David |
10871992 | Level two first-in-first-out transmission | Leyrer Thomas Anton |
10872254 | Digital mirror systems for vehicles and methods of operating the same | Mangla Mayank |
10872785 | QFN pin routing thru lead frame etching | Milo Dolores Babaran |
10872925 | Hall sensor with buried hall plate | Green Keith Ryan |
10873020 | Piezoelectric sensing apparatus and method | Shih Wei-Yan |
10873259 | Stable digital integrator circuit for boost converter | Tiruchengode Tirumurugga Bupathi Anandha Ruban |
10873303 | Amplifier with constant voltage gain | Gibson Neil |
10873325 | Robust noise immune, low-skew, pulse width retainable glitch-filter | Malakar Jhankar |
10873327 | Hot swap controller with multiple current limits | Rogachev Artem Andreevich |
10873375 | Pre-coder selection based on resource block grouping | Onggosanusi Eko N. |
10873444 | Frequency/phase lock detector for clock and data recovery circuits | Manian Abishek |
10877093 | Non-interleaved scan operation for achieving higher scan throughput in presence of slower scan outputs | Kawoosa Mudasir Shafat |
10877502 | Input dependent voltage regulator with a charge pump | Ivanov Vadim Valerievich |
10877503 | Attenuating common mode noise current in current mirror circuits | Agarwal Nitin |
10877531 | Methods and apparatus to create a physically unclonable function | Bittlestone Clive |
10878273 | Dynamic quantization for deep neural network inference system and method | Desappan Kumar |
10879106 | Apparatus with overlapping deep trench and shallow trench and method of fabricating the same with low defect density | Lillibridge Thomas Edward |
10879121 | Sawn leadless package having wettable flank leads | Bin Mohd Arshad Mohamad Ashraf |
10879133 | Replacement metal gate process for CMOS integrated circuits | Niimi Hiroaki |
10879144 | Semiconductor package with multilayer mold | Aoya Kengo |
10879151 | Semiconductor package with liquid metal conductors | Parekh Dishit Paresh |
10879154 | Flippable leadframe for packaged electronic system having vertically stacked chips and components | Eugene Lee Lee Han Meng@ |
10879155 | Electronic device with double-sided cooling | Kim Woochan |
10879387 | Drain centered LDMOS transistor with integrated dummy patterns | Edwards Henry Litzmann |
10879803 | Methods and apparatus to provide adaptive compensation in buck converters or other switched mode power supplies | Särkkä Jussi Matti Aleksi |
10879821 | Rotor position estimation | Lakshmi Narasimha Rajan |
10879823 | Electric motor power control circuitry | Butenhoff Michael Edwin |
10879845 | Phase coherent numerically controlled oscillator | Aremallapur Nagalinga Swamy Basayya |
10879856 | Sensor array with distributed low noise amplifier | Seyed Aliroteh Miaad |
10879883 | Multi-state packages | Huang Huanzhang |
10879893 | Passive detection of device decoupling | Vining Suzanne Mary |
10879913 | Phased locked loop integrated circuit | Damphousse Simon Jacques |
10879958 | Optimized PHY frame structure for OFDM based narrowband PLC | Soman Mehul |
10880117 | Control area network (CAN) transceivers with automatic polarity detection | Aarey Premanath Abhijeeth |
10880556 | Methods and systems for masking multimedia data | Dutt Yashwant |
10880875 | NLOS wireless backhaul downlink communication | Roh June Chui |
Archiver|手机版|科学网 ( 京ICP备07017567号-12 )
GMT+8, 2024-7-23 08:27
Powered by ScienceNet.cn
Copyright © 2007- 中国科学报社