|
陈立新 张琳 黄颖:中美欧日韩五局专利报告1864.docx
█武汉大学科教管理与评价研究中心 陈立新 张琳 黄颖
2020年,三星电子公司获得美国发明专利6267项,比上一年增长了-2%,是获得美国专利数量第2多的机构。
相对来讲,三星电子公司专利研发的优势领域是:信息存储、半导体零配件、半导体组件与集成电路、半导体制造、无线通信网络。在这5个技术领域上,三星电子公司的专利份额相对较高,分别占同领域专利数量的6%到5%。
从绝对数量上来看,三星电子公司的重点技术领域是:计算机接口、无线通信网络、半导体组件与集成电路、计算机一般零部件、图像通信。在这5个领域上获得了数量最多的专利,为1012至714项。
可见,三星电子公司的专利技术研发重点主要集中在计算机接口领域。
附表2.4.2-1 2020年三星电子公司主要技术领域的专利分布
技术领域 | 专利数量 | 占比(%) | |
1 | 信息存储 | 448 | 6.4% |
2 | 半导体零配件 | 468 | 6.4% |
3 | 半导体组件与集成电路 | 945 | 5.7% |
4 | 半导体制造 | 571 | 4.8% |
5 | 无线通信网络 | 1011 | 4.7% |
6 | 计算机接口 | 1012 | 4.6% |
7 | 广播和电话 | 413 | 4.5% |
8 | 通信传输系统 | 451 | 4.2% |
9 | 无线通信业务 | 394 | 4.0% |
10 | 半导体元件 | 653 | 4.0% |
11 | 数字信息传输 | 580 | 3.8% |
12 | 图像通信 | 714 | 3.6% |
13 | 计算机一般零部件 | 753 | 3.5% |
14 | 显示展示用品和声学 | 416 | 3.1% |
15 | 基本电子电路 | 248 | 2.8% |
16 | 图像处理 | 384 | 2.7% |
17 | 数据识别 | 367 | 2.5% |
18 | 电池 | 134 | 2.2% |
19 | 电热与等离子体 | 216 | 2.1% |
20 | 计算机安全 | 145 | 1.8% |
注:占比(%)指其在某领域上的专利数量占该领域的比例。
附图2.4.2-1 2020年三星电子公司在20个相对优势领域中的专利占比
感谢河南师范大学梁立明教授、科技部中国科学技术发展战略研究院武夷山研究员、大连理工大学丁堃教授对本报告的大力支持与帮助。同时,向以不同形式对本报告提出意见和建议的专家学者们表示诚挚的感谢。
附表2.4.2-2 2020年三星电子公司(Samsung Electronics Co., Ltd.)的在美专利
Patent No. | Title | Inventor |
10524548 | Strap with improved fastening structure and wearable electronic device including the same | Park Sungeun |
10524659 | Electronic apparatus, method for controlling the same, and computer-readable recording medium | Jo Seong-wook |
10524729 | Exercise feedback provision apparatus and method | Choi Changmok |
10525264 | Stimulator and method of controlling stimulator | Lee Hyungwoo |
10525304 | Method for detecting information of exercise and electronic device thereof | Kim Hyungsoon |
10525566 | Preparing conditioning disk for chemical mechanical polishing and chemical mechanical polishing method including the same | Hong Myung-ki |
10526706 | Gas supply unit and thin film deposition apparatus including the same | Chung Suk Jin |
10526738 | Washing machine and method for controlling washing machine | Cho Hyun Gu |
10526741 | Washing machine | Bae Il Sung |
10526742 | Washing machine | Kim Seung Oh |
10526744 | Washing machine | Park Jang Hoon |
10526746 | Clothes dryer | Kitayama Naoki |
10527058 | Propeller fan and air conditioner having the same | Kim Yeon Je |
10527271 | LED lighting device | Koo Won Hoe |
10527298 | Air conditioner | Goto Shinji |
10527366 | Heat exchanger, header for the same and manufacturing method thereof | Kim Dong Hyun |
10527556 | Optical measuring method and apparatus, and method of manufacturing semiconductor device using the same | Rim Min-Ho |
10527678 | Apparatus and method for estimating state of battery using battery degradation models | Lee Sun-Jae |
10527690 | Radio frequency coil for magnetic resonance imaging, magnetic resonance imaging system, and method of generating image of magnetic resonance imaging system | Kim Kyoungnam |
10527728 | Apparatus and method for range measurement | Wang Yibing Michelle |
10527764 | Infrared cut filter, camera module having the filter, and electronic device having the module | Kim Sungwon |
10527772 | Display device | Sohn Sang Hyun |
10527773 | Backlight unit for holographic display apparatus and holographic display apparatus including the same | Kim Yunhee |
10527846 | Image processing for head mounted display devices | Gibbs Simon J. |
10527866 | Camera module providing OIS function and electronic device comprising the same | Chung Haein |
10527929 | Methods of improving optical proximity correction models and methods of fabricating semiconductor devices using the same | Jeong Moon-Gyu |
10528006 | Wearable electronic device including band | Park Sung-Eun |
10528069 | Integrated circuit, and method and system for providing power to integrated circuit | Hong Hyeokki |
10528078 | User terminal device and displaying method thereof | Park Hae-yoon |
10528086 | Wearable device and electronic device | Chung Seokwhan |
10528087 | Display device, door including the same, and refrigerator including the door | Kang Kun Sok |
10528174 | Electronic device including a plurality of input devices and control method thereof | Kim Na Young |
10528200 | Coordinate measurement device and method for hover sensing | Park Chang-byung |
10528218 | Electronic device and operating method of the same | Jun Justin |
10528222 | Application execution method by display device and display device thereof | Park Dae-wook |
10528226 | Display apparatus, user terminal, control method, and computer-readable medium | Lee Jin-ha |
10528248 | Method for providing user interface and electronic device therefor | Park Sung-Joon |
10528249 | Method and device for reproducing partial handwritten content | Yang Pil-seung |
10528259 | Storage device, user device including storage device, and operation method of user device | Lee Youngmin |
10528264 | Storage device and data processing system including the same | Kim Dong Woo |
10528284 | Method and apparatus for enabling larger memory capacity than physical memory size | Jiang Dongyan |
10528371 | Method and device for providing help guide | Park Da-Eun |
10528420 | Flash memory system having abnormal wordline detector and abnormal wordline detection method | Kwak Donghun |
10528443 | Validation of multiprocessor hardware component | Park Sung-Boem |
10528466 | Storage devices including logical to physical address mapping and methods of operating | Lee Jong-Won |
10528491 | Storage system and method for performing and authenticating write-protection thereof | Lee Jaegyu |
10528619 | Image display method and device | Oh Hun-Cheol |
10528622 | Display apparatus, background music providing method thereof and background music providing system | Park Ji-hun |
10528666 | Method and apparatus for determining domain of sentence | Min Yunhong |
10528707 | Enabling content protection over broadcast channels | Bouazizi Imed |
10528711 | Method for processing fingerprint and electronic device therefor | Lee Seung-Eun |
10528714 | Method and apparatus for authenticating user using electrocardiogram signal | Zhang Chao |
10528786 | Apparatus and method for receiving fingerprint information through guide | Lee Hee Kuk |
10528799 | Electronic apparatus and operation method thereof | Han Heung-woo |
10528810 | Detecting user viewing difficulty from facial parameters | Cho Young Eun |
10528835 | Image processing apparatus and control method thereof | Shin Yong-wook |
10528846 | Method and apparatus for analyzing facial image | Kim Youngsung |
10529060 | Time-of-flight measuring apparatus and image processing method for reducing blur of depth image therein | Kwon Namseop |
10529074 | Camera pose and plane estimation using active markers and a dynamic vision sensor | Fontanel Fannie |
10529085 | Hardware disparity evaluation for stereo matching | Bitan Gal |
10529098 | Method and apparatus for performing tile binning for path rendering | Lee Jaedon |
10529225 | Electronic device, audio output device, and operating method of electronic device | Yoon Suk-un |
10529301 | Display device for adjusting color temperature of image and display method for the same | Yun Sang Un |
10529317 | Neural network training apparatus and method, and speech recognition apparatus and method | Lee Ho Shik |
10529319 | User adaptive speech recognition method and apparatus | Song Inchul |
10529329 | Method and apparatus for speech recognition using device usage pattern of user | Kim Kyu-hong |
10529360 | Speech enhancement method and apparatus for same | Cho Jae-youn |
10529393 | Semiconductor device and method of operating and controlling a semiconductor device | Shin Seungjun |
10529395 | Memory system for access concentration decrease management and access concentration decrease method | Sohn Kyo Min |
10529406 | Memory device and refresh method thereof | Choi Won Jun |
10529407 | Memory device including a plurality of power rails and method of operating the same | Kim Young-Hwa |
10529431 | Nonvolatile memory device for performing a partial read operation and a method of reading the same | Cho Yongsung |
10529432 | Data storage device including read voltage search unit | Park Hyunkook |
10529555 | Methods of fabricating a SiOCN layer using a first and second carbon precursor, the first carbon precursor being different from the second carbon precursor | Tak Yong-suk |
10529618 | Methods of manufacturing a semiconductor device | Lu Siqing |
10529676 | Semiconductor assembly with package on package structure and electronic device including the same | Bae Ki Cheol |
10529692 | Semiconductor module including package and heat transfer structure | Kim Ilsoo |
10529699 | Light source module, method of manufacturing the module, and backlight unit including the light source module | Bang Jae-jun |
10529712 | Semiconductor device | Kim Sung Min |
10529714 | Semiconductor device having contact plug and method of forming the same | Moon Hyerim |
10529727 | Nonvolatile memory device compensating for voltage drop of target gate line | Park June-Hong |
10529734 | Semiconductor devices including flared source structures | Ku Lee Eun |
10529736 | Semiconductor device | Song Min Sung |
10529754 | Omnidirectional image sensor and manufacturing method thereof | Nam Dong Kyung |
10529755 | Image sensor having a photoelectric conversion layer coupled to a storage node through a pinning layer with P-type impurities | Lee Tae Yon |
10529779 | Methods and systems for manufacturing image sensors | Kim Yo-han |
10529801 | Semiconductor device including isolation regions | Sun Min-Chul |
10529816 | Semiconductor device | Lee Chan-hyeong |
10529817 | Semiconductor devices having multi-threshold voltage | Song Jae-yeol |
10529859 | Multi-channel transistor including an asymmetrical source/drain contact | Ryu Byung Chan |
10529865 | Vertical semiconductor devices | Kwon Joon-Young |
10529877 | Semiconductor devices including two-dimensional materials and methods of manufacturing the semiconductor devices | Heo Jinseong |
10529879 | Photoelectric conversion device including quantum dot layers | Cho Kyungsang |
10529919 | Method of manufacturing a magnetoresistive random access memory device using hard masks and spacers | Cho Han-Na |
10529935 | Organometallic compound, organic light emitting device, and composition for diagnosing including organometallic compound | Lee Jiyoun |
10529983 | Composite electrode active material, lithium battery including the same, and method of preparing the composite electrode active material | Lee Kanghee |
10530041 | Electronic device comprising antenna | Jung Sang Min |
10530066 | Antenna device and electronic device having the same | Lee Young-Ju |
10530165 | Battery management method, apparatus, and system | Lee Sun-Jae |
10530167 | Circuit for controlling power output from battery pack and battery pack | Yi Yong-Seung |
10530317 | Electronic apparatus and control method thereof | Son Sang-mo |
10530371 | Delay locked loop to cancel offset and memory device including the same | Jeon Juho |
10530404 | Signal filtering and signal processing apparatus and method | Kwon Ui Kun |
10530443 | Electronic device and method for compensating for signal phase | Lee Han-Yeop |
10530456 | Methods of radio front-end beam management for 5G terminals | Ng Boon Loong |
10530469 | Apparatus and method for gating transmission of a data rate control channel in an HDR mobile communication system | Yun Yu-Suk |
10530530 | Method and apparatus for transmitting and receiving data in mobile communication system | Kim Jae-Won |
10530537 | Method and apparatus for retransmission in communication system | Baek Sangkyu |
10530550 | Method and apparatus for transmitting and receiving channel-related information | Lee Hyojin |
10530571 | Secure communication method and apparatus and multimedia device employing the same | Moon Han-gil |
10530609 | Wireless communication devices and channel estimation methods thereof | Kim Jin-ho |
10530664 | View sensor, home control system including view sensor, and method of controlling home control system | Park Sang-bae |
10530715 | Method for synchronizing messages among plurality of terminals, machine-readable storage medium, and server | Cho Eun-Ah |
10530728 | Electronic device and notification method in internet service | Cho Ik-Hwan |
10530732 | Method for using a content subscription list and electronic device supporting the same | Cho Kwang Hyun |
10530737 | Electronic device and operation method thereof | Cho Bong-chan |
10530739 | Method and apparatus for address resolution of multicast/broadcast resources using domain name systems | Bouazizi Imed |
10530817 | Method for communication using plurality of protocols and electronic device thereof | Jeon Jae-Woong |
10530827 | Accelerator control method and accelerator using the same | Lee Sung-ho |
10530828 | Method and apparatus for signaling and operation of low delay consumption of media data in MMT | Lim Youngkwon |
10530830 | Apparatus and method for accessing web in network system | Lee Ji-Cheol |
10530912 | Electronic device including display with bent area | Moon Hee-Cheul |
10530913 | Flexible electronic device | Park Seonkeun |
10530979 | Panoramic digital photographic apparatus including a user interface indicating in real time a suggested direction of movement for the apparatus based on a central portion of a current image | Jeong Young-Min |
10531031 | Image processing device configured to regenerate timestamp and electronic device including the same | Park Keun Joo |
10531032 | Image sensor chip that feeds back voltage and temperature information, and an image processing system having the same | Cho Sang Hyun |
10531063 | Method and apparatus for processing stereoscopic video | Wang Zairan |
10531066 | Method for displaying 3D image and device for same | Sun Zengzeng |
10531073 | Method and apparatus for automatic calibration of RGBZ sensors utilizing epipolar geometry and scanning beam projector | Ovsiannikov Ilia |
10531102 | Encoding device, decoding device, and encoding and decoding method thereof | Piao Yin-ji |
10531113 | Method and device for encoding/decoding motion vector | Lee Jin-young |
10531214 | Device and method for controlling microphone according to connection of external accessory | Chun Young Soo |
10531215 | 3D sound reproducing method and apparatus | Kim Sun-min |
10531243 | Method and apparatus for transmitting signals having temporal correlation | Park Yong-Seok |
10531248 | Method of forwarding communication service based on vehicle and system thereof, and terminal supporting the same | Jin Young Kyu |
10531258 | Location detection apparatus and method in communication system supporting device-to-device scheme | Ryu Hyun-Seok |
10531264 | Method and apparatus for efficiently controlling access for system load adjustment in mobile communication systems | Kim Sang Bum |
10531276 | Method and apparatus for intelligent communication selection | Wang Yifei |
10531280 | Operating method for communication profile and electronic device supporting the same | Cha Soon Hyun |
10531282 | Logical address assignment in a cloud cell | Agiwal Anil |
10531285 | Method of changing profile using identification module and electronic device implementing same | Jung Euichang |
10531291 | Apparatus and method for generating secure key | Bae Beomsik |
10531329 | Method and apparatus for controlling relay traffic in wireless communication system supporting D2D communication | Hwang June |
10531333 | Structure of MAC sub-header for supporting next generation mobile communication system and method and apparatus using the same | Kim Donggun |
10531349 | Method and apparatus for controlling inter-PLMN handover to CSG cell | Cho Songyean |
10531350 | Method and device for determining configuration of connection between terminal and base station and performing handover in wireless communication system supporting dual connectivity | Moon Jungmin |
10531392 | Method and apparatus for adjusting beam width in wireless communication system | Lee Byung-Hwan |
10531396 | Method and apparatus for controlling uplink power in wireless communication system | Ryoo Sun-Heui |
10531409 | Method and apparatus for communicating in a MMwave system via auxiliary low frequency carrier | Zhang Shichang |
10531414 | Method and apparatus for transmitting/receiving synchronization signal in device-to-device communication system | Ryu Hyunseok |
10531431 | Apparatus and method for allocating resources in device-to-device communication in wireless network | Ryu Hyun-Seok |
10531440 | Apparatus for transmitting and receiving downlink data in wireless communications system supporting cooperation between transmission points and method therefor | Lee Hyojin |
10531469 | Apparatus and method for supporting mixed numerology in wireless communication system | Yun Yeohun |
10531475 | Communication method on basis of semi-persistent scheduling in wireless communication system | Lee Nam-Jeong |
10531484 | Method and apparatus for allocating resource in communication system | Kwon Sang-Wook |
10531485 | Apparatus and method for allocating resource and transmitting/receiving resource allocation information in communication system supporting device to device scheme | Agiwal Anil |
10531496 | Apparatus and method for signaling system information | Agiwal Anil |
10531580 | Electronic device including display equipped with force sensor | Kim Jung Won |
10531586 | Electronic device including water repellent structure | Yoon Doosun |
10531599 | Electromagnetic interference shielding structure | Mun Il-ju |
10531847 | X-ray detector and X-ray imaging apparatus having the same | Jang Kyoung Choul |
10531852 | Display for converting medical images and method of use | Kwon Jae Hyun |
10531967 | Walking assistance apparatus and operation method of the same | Lim Bokman |
10532896 | Grip apparatus and substrate inspection system including the same, and method of manufacturing semiconductor device using the substrate inspection system | Yoon Kuihyun |
10533127 | Compositions, quantum dot polymer composite and layered structure produced therefrom, and electronic device including the same | Park Shang Hyeun |
10533274 | Washing machine | Prushinskiy Valeriy |
10533697 | Refrigerator and manufacturing method therefor | Song Myung Seob |
10533857 | User terminal and control method thereof | Jang Ji-hoon |
10534324 | Electronic device | Chung In-Sik |
10534405 | Support structure including conductive paths and electronic device having the same | Kim Min-Soo |
10534434 | Apparatus and method for using blank area in screen | Yoo Nagyeom |
10534442 | Method and wearable device for providing a virtual input interface | Yun In-kuk |
10534458 | Reversible display module including transparent display panel and display system including the display module | Lee Joo-yeon |
10534509 | Electronic device having touchscreen and input processing method thereof | Kwon Hyukjoon |
10534519 | Display device and operation method thereof | Sung Eun-sook |
10534524 | Method and device for controlling reproduction speed of multimedia content | Yang Pil-seung |
10534531 | Portable device comprising a touch-screen display, and method for controlling same | Seo Joon-kyu |
10534532 | Electronic device and method for processing letter input in electronic device | Rhee Taik-Heon |
10534534 | Method for controlling display, storage medium, and electronic device | Cheong Yu-Sun |
10534560 | Data storage device and data processing system having the same | Kang Nam Wook |
10534563 | Method and system for handling an asynchronous event request command in a solid-state drive | Singh Vikram |
10534638 | Method and apparatus for switching tasks using a displayed task stack | Park Youngjoo |
10534754 | Method and apparatus for providing search function in touch-sensitive device | Park Sehwan |
10534837 | Apparatus and method of low complexity optimization solver for path smoothing with constraint variation | Zhuang Binnan |
10534900 | Electronic device | Cheong Cheol-Ho |
10534901 | User authentication method and apparatus using infrared ray (IR) image | Kim Jihye |
10534953 | Electronic apparatus and control method thereof | Jeong Jin-gu |
10534980 | Method and apparatus for recognizing object based on vocabulary tree | Lee Huijin |
10534999 | Apparatus for classifying data using boost pooling neural network, and neural network training method therefor | Kwon Keun Joo |
10535015 | Walking assistance apparatus and method of controlling same | Ahn Sunghwan |
10535126 | Method of correcting color fringe and method of processing image data using the same | Park Rae-Hong |
10535147 | Electronic apparatus and method for processing image thereof | Kim Sung-hyun |
10535161 | Point cloud compression using non-orthogonal projection | Budagavi Madhukar |
10535184 | Ultrasonic imaging apparatus and control method thereof | Kho Young Ihn |
10535273 | Unmanned aerial vehicle and method for reconfiguring geofence region thereof using electronic device | Namgoong Boram |
10535320 | Head-mounted display apparatus | Namkung Joo |
10535358 | Method and apparatus for encoding/decoding speech signal using coding mode | Sung Ho Sang |
10535392 | Integrated circuit memory device with write driver and method of operating same | Antonyan Artur |
10535394 | Memory device including dynamic voltage and frequency scaling switch and method of operating the same | Kim Young-Hwa |
10535395 | Memory device with improved latency and operating method thereof | Cha Soo-Ho |
10535406 | Storage device and copy-back method thereof | Hwang Intae |
10535407 | Adaptive parallel writing to nonvolatile memory cells | Wong Sau Ching |
10535533 | Semiconductor device | Lee Yanghee |
10535534 | Method of fabricating an interposer | Kang Un-Byoung |
10535575 | Interposer, method of manufacturing interposer, and method of manufacturing semiconductor package | Ding Shaofeng |
10535599 | Vertical memory device including common source line structure | Kim Kwang-Soo |
10535600 | Semiconductor device | Seo Hoon Seok |
10535605 | Semiconductor memory device | Kim Eunjung |
10535643 | Connection system of semiconductor packages using a printed circuit board | Lee Yun Tae |
10535659 | Semiconductor memory devices | Kim Jiyoung |
10535663 | Methods of fabricating semiconductor devices | Ma Jinwon |
10535666 | Integrated circuit devices including fin shapes | Chung Jae-yup |
10535679 | Semiconductor device including stack structures having R-type pad and P-type pad of different thickness | Baek Seok Cheon |
10535715 | Image sensors and methods of forming image sensors | Lee Kwang-min |
10535797 | Light emitting diode apparatus and method of manufacturing the same | Kim Jae-seok |
10535829 | Quantum dot device and display device | Kim Chan Su |
10535908 | Lithium ion conducting protective film and method of use | Khiterer Mariya |
10536010 | Method of transmitting and receiving power and electronic device using the same | Lim Kyungwoo |
10536018 | Battery charging method and apparatus | Jung Daeryong |
10536021 | Method for controlling charge for improving receive sensitivity and electronic device for the same | Jung Ku-Chul |
10536058 | Motor driving apparatus, method for controlling motor driving apparatus, inverter apparatus, and power apparatus | Kim Sun Jin |
10536127 | Signal channel for reducing crosstalk noise, module substrate and memory module including the same | Kim Dong-Yeop |
10536170 | Transmitting apparatus and interleaving method thereof | Myung Se-ho |
10536173 | Transmitting apparatus and mapping method thereof | Myung Se-ho |
10536205 | Method and apparatus to CSI reporting using multiple antenna panels in advanced wireless communication systems | Rahman Md Saifur |
10536215 | Device and method for time division duplex and frequency duplex carrier aggregation in wireless communication system | Lee Hee-Kwang |
10536229 | Method and device for providing coordinated communication of plurality of base stations in communication system to which beamforming is applied | Kim Yung-Soo |
10536239 | Soft channel tracking using detection output | Cheng Hongbing |
10536242 | Method and apparatus for performing repetitive transmission of information in time division duplex based cell in wireless communication system | Choi Seung-Hoon |
10536248 | Method and apparatus for transmitting information related to a reference signal | Shin Cheolkyu |
10536256 | Method and device for transmitting uplink control signal in wireless communication system | Ji Hyoung-Ju |
10536260 | Baseband integrated circuit for performing digital communication with radio frequency integrated circuit and device including the same | Lee Jae Chol |
10536317 | Automatic frequency controllers for adjusting digital loop filter gain based on wireless channel classification, wireless communication devices including the same, automatic frequency control methods. and wireless communication methods | Kang Young-yun |
10536331 | Method and apparatus for configuration uplink and downlink carriers | Wang Yi |
10536562 | Display apparatus and the controlling method thereof | Song Myung-guen |
10536637 | Method for controlling camera system, electronic device, and storage medium | Baek Woo-Hyun |
10536662 | Method for zapping contents and display apparatus for implementing the same | Lee Hyeon-ji |
10536697 | Method for re-encoding image and apparatus therefor | Choi Dai-woong |
10536713 | Method and apparatus for determining motion vector in video | Ahn Tae-gyoung |
10536739 | Display apparatus and control method thereof | Seo Je-hwan |
10536742 | Display apparatus and display method | Lee Seung-hwan |
10536844 | Method and device for downloading profile in mobile communication system | Park Jonghan |
10536868 | Method and apparatus for performing measurement in wireless communication system | Hong Jin-Kyu |
10536881 | Method and apparatus for improving quality of service that a user experiences when media is transmitted through WLAN | Jeong Sangsoo |
10536882 | Method and apparatus for transmitting/receiving signal in mobile communication system supporting a plurality of carriers | Kim Soeng-Hun |
10536905 | Uplink transmissions for dual connectivity | Papasakellariou Aris |
10536922 | Terminal device, electronic device and control method for determining the position of searching object device | Yoon Chang-bae |
10536924 | Apparatus and method for positioning terminal in wireless communication system | Hwang Wonjun |
10536936 | Control information transmission method and apparatus for use in mobile communication system | Park Suyoung |
10536938 | Apparatus and method for transmitting/receiving downlink data channel signal transmission information in cellular radio communication cooperative multi-point scheme | Lee Hyo-Jin |
10536939 | Apparatus and method of cooperating with multiple base stations in wireless communication system | Chang Young-Bin |
10536952 | Method and apparatus for transmitting and receiving feedback for cooperative communication system | Lee Hyojin |
10536969 | Partial port hybrid CSI feedback for MIMO wireless communication systems | Rahman Md. Saifur |
10537003 | Methods of operation of smart lighting systems | Cho Ho-Chan |
10537220 | Cyclone dust collector and vacuum cleaner having the same | Cho Dong Jin |
10537253 | Detecting live tissues using signal analysis | Jain Jawahar |
10537307 | Ultrasound apparatus and information providing method of the ultrasound apparatus | Yang Eun-ho |
10537796 | Collapsible virtual reality headset | Manuel Steven |
10538666 | Compound, polymer, film, and optical device | Androsov Dmitry |
10538843 | Vaporizer and thin film deposition apparatus including the same | Sim Hyun-Sik |
10538871 | Washing machine | Shimada Yoshinari |
10539355 | Ice maker and refrigerator having the same | Jeong Jin |
10539525 | Apparatus and method for preventing malfunction in an electronic device | Roh Hyun-Jong |
10539616 | Scan data control apparatus and electronic system having the same | Jeong Kyoung-Heon |
10539664 | Distance sensor, and calibration method performed by device and system including the distance sensor | Baik A-ron |
10539690 | X-ray detector, X-ray photographing apparatus including the same, and method of manufacturing the same | Kim Dong-hyuk |
10539725 | Optical filter and camera module and electronic device | Won Jong Hoon |
10539734 | Directional backlight unit, method for manufacturing the same, and 3D image display apparatus having the same | Shim Dongsik |
10539817 | Display device | In Woo Sung |
10539868 | Pellicle for photomask, reticle including the same, and exposure apparatus for lithography | Shin Hyeonjin |
10539979 | Electronic device and method of controlling the same | Ha Dohyung |
10539995 | Performance boosting method and system of semiconductor device | Park Jong Lae |
10539999 | Semiconductor device and method of operating the same | Kim Je Kook |
10540013 | Method of performing function of device and device for performing the method | Ryu Jong-hyun |
10540041 | Touch processor, touch display driver integrated circuit chip including touch processor, and method of operating touch processor | Kim Jin-Bong |
10540068 | Method of processing content and electronic device thereof | Choi Hyun-Chul |
10540090 | Configuration of application execution spaces and sub-spaces for sharing data on a mobile touch screen device | Kim Tae-Soo |
10540134 | Display apparatus and controlling method thereof | Lee Seung-hun |
10540137 | Method for reproducing music patterns and electronic device thereof | Kim Hangyul |
10540145 | Method and processing apparatus for performing arithmetic operation | Yu Hyeongseok |
10540287 | Spatial memory streaming confidence mechanism | Brekelbaum Edward A |
10540311 | System and method for supporting multi-path and/or multi-mode NMVE over fabrics devices | Olarig Sompong Paul |
10540415 | Apparatus and method for managing history information in an electronic device | Choi Yoonjeong |
10540428 | Method and apparatus for providing calendar displaying work history of document | Kim Young-jae |
10540429 | Method and apparatus for providing calendar displaying work history of document | Kim Young-jae |
10540441 | Device and method for providing recommended words for character input | Song Hee-jun |
10540471 | Layout design system and semiconductor device fabricated using the same | Chae Kyoung Kuk |
10540540 | Method and device to determine landmark from region of interest of image | Rhee Seonmin |
10540647 | Method and apparatus for performing payment function in limited state | Park Yong Man |
10540768 | Apparatus and method to segment object from image | Yoo Byungin |
10540922 | Transparent display apparatus and display method thereof | Kim Jong-ok |
10540934 | Liquid crystal display device and driving method thereof | Choi Doo-seop |
10540958 | Neural network training method and apparatus using experience replay sets for recognition | Min Yunhong |
10540964 | Method and apparatus for processing natural language, method and apparatus for training natural language processing model | Lee Sangho |
10540973 | Electronic device for performing operation corresponding to voice input | Jaygarl Ho Jun |
10540995 | Electronic device and method for recognizing speech | Shin Ki-hoon |
10541022 | Sense amplifier having offset cancellation | Kim Young-Wook |
10541033 | Non-volatile memory device and memory system including the same and program method thereof | Jung Won-Taeck |
10541127 | Material layers, semiconductor devices including the same, and methods of fabricating material layers and semiconductor devices | Tak Yong-suk |
10541178 | Method and device for evaluating quality of thin film layer | Wenxu Xianyu |
10541182 | Method of inspecting semiconductor substrate and method of manufacturing semiconductor device | Kim Yeon-tae |
10541187 | Semiconductor package including organic interposer | Myung Jun Woo |
10541201 | Semiconductor package, package-on-package device, and method of fabricating the same | Lee Seokhyun |
10541221 | Fan-out semiconductor package | Seol Yong Jin |
10541237 | System on chip | Baek Sang-Hoon |
10541243 | Semiconductor device including a gate electrode and a conductive structure | Do Jung-Ho |
10541263 | Image sensor package having multi-level stack structure | Kim Yong-hoon |
10541302 | Integrated circuit device and method of manufacturing the same | Yoon Chan-sic |
10541350 | Light emitting device including light reflection pattern and wavelength converting layer | Yoon Ju Heon |
10541358 | Hybrid generator using thermoelectric generation and piezoelectric generation | Park Young-jun |
10541415 | Negative electrode for lithium secondary battery and lithium secondary battery including the same | Yang Jeeeun |
10541456 | Metal-air battery apparatus and method of operating the same | Choi Kyounghwan |
10541466 | Antenna apparatus of mobile terminal | Yun Ju Hwan |
10541473 | Method and device for calibrating antenna phase in wireless communication systems using unlicensed band | Shim Seijoon |
10541539 | Converter, inverter, AC motor driving apparatus, and air conditioner using the same | Miyake Hiroyuki |
10541564 | Method and apparatus for protecting wireless power receiver from excessive charging temperature | Lee Woo-Ram |
10541670 | Micromechanical resonator and resonator system including the same | Yoon Yongseop |
10541690 | Method and device to align phases of clock signals | Lee Sangheon |
10541728 | Near field communication devices, systems, and methods using Q factor adjustments | Cho Jong-Pil |
10541729 | Communication system using wireless power | Kwon Ui Kun |
10541776 | Method and apparatus for performing communication by D2D communication terminal | Ryu Hyunseok |
10541785 | Carrier aggregation with variable transmission durations | Papasakellariou Aris |
10541797 | Method and apparatus for controlling transmission power in transmitter of wireless communication system | Choi Yonghae |
10541837 | Method and apparatus for channel estimation in wireless communication system | Oh Jongho |
10541838 | Method and apparatus for channel estimation in wireless communication system | Lee Dongjae |
10541853 | Transmitting apparatus and mapping method thereof | Myung Se-ho |
10542040 | Method and apparatus for preventing injection-type attack in web-based operating system | Beskrovny Evgeny |
10542052 | Multi-area grouping | Law David H. |
10542063 | Method and device for processing encoded video data, and method and device for generating encoded video data | Lee Tammy |
10542065 | Method and apparatus for transmitting/receiving media contents in multimedia system | Park Kyung-Mo |
10542067 | Method and apparatus for distributed bottleneck coordination in dash with resource pricing | Li Zhu |
10542144 | Electronic apparatus for providing voice recognition control and operating method therefor | Kim Kwang-Youn |
10542216 | Apparatus and method for storing moving image portions | Hamada Masataka |
10542218 | Image photographing apparatus and photographing method thereof | Lee Tae-hee |
10542242 | Display device and method for controlling same | Lim Tae-gyu |
10542273 | Video encoding method using offset adjustments according to pixel classification and apparatus therefor, video decoding method and apparatus therefor | Alshina Elena |
10542309 | Electronic device and operation method thereof | Yakishyn Yevgen |
10542361 | Nonlinear control of loudspeaker systems with current source amplifier | Lazar James F. |
10542375 | System and method for transmitting information about task to external device | Oh Hyung-rai |
10542392 | System and method for managing audio cut-in policy in MCPTT communication | Gupta Nishant |
10542406 | Tethering distribution apparatus, control method thereof, and central mediator | Won Sung-Joon |
10542425 | Method and apparatus for reducing overhead for integrity check of data in wireless communication system | Baek Young-Kyo |
10542449 | Apparatus and method for transmitting or receiving signal in mobile communication network | Jung Ha-Kyung |
10542469 | Apparatus and method for supporting handover with multi-connectivity in wireless communication system | Kang Hyun-Jeong |
10542479 | Two-step search procedure for D2D communication | Park Seung-Hoon |
10542490 | Method and apparatus for controlling communication of a portable terminal in a wireless communication system | Ryoo Sunheui |
10542505 | Enhanced synchronization signals for coverage enhancements of low cost user equipment | Si Hongbo |
10542531 | Method and apparatus for transmitting and receiving downlink control channel in wireless communication system | Kim Tae-Hyoung |
10542542 | Method and apparatus for communication using a plurality of cells in a wireless communication system | Jeon Joseph |
10542554 | Terminal and communication method to provide communication services | Kim Sangbum |
10542562 | Apparatus and method for managing random access channel configuration in wireless communication system | Amuru Saidhiraj |
10542600 | LED driving apparatus and lighting apparatus | Lee Bong Jin |
10542617 | Electronic device and method for manufacturing the same | Jung Hwa-Joong |
10542632 | Printed circuit board and electronic device including the same | Kim Min Soo |
10542646 | Surface mount metal unit and electric device including same | Lee Chang-Joon |
10542857 | Vacuum cleaner | Yun Min Ro |
10542859 | Cleaning robot and controlling method thereof | Lee Dong Hyun |
10542945 | X-ray imaging apparatus and control method for the same | Yoon Hyung-Won |
10542948 | Mobile X-ray imaging apparatus | Nam Jae-won |
10542949 | X-ray apparatus and system | Lee Byeong-won |
10542955 | Method and apparatus for medical image registration | Kim Jung-bae |
10544957 | Air conditioner and control method therefor | Takeichi Hisashi |
10544983 | Refrigerator | Lee Jeong Hyun |
10544985 | Refrigerator and method of controlling the same | Lee Sang Hoon |
10545050 | Optical signal processing method and apparatus | Yoon Youngzoon |
10545224 | Time-resolving sensor using SPAD + PPD or capacitors in pixel for range measurement | Wang Yibing Michelle |
10545237 | Method and device for acquiring distance information | Cho Yongchul |
10545280 | Method of generating directional rays and apparatuses performing the method | Lee Jinho |
10545282 | Display apparatus | Kim Hyung-ki |
10545303 | Optical cable and optical cable assembly having the same | Choi Jung-hwa |
10545328 | Method and apparatus for processing image | Kim Hojung |
10545337 | See-through holographic display apparatus | Sung Geeyoung |
10545360 | Liquid crystal module assembly and display device including the same | Lee Kyoung Youn |
10545375 | White light emitting device and display device using the same | Jeon Jong Pil |
10545537 | Display device and electronic device having same | Ahn Sung-Ho |
10545555 | Electronic device for managing power and method of controlling same | Han Yun-Hui |
10545562 | Electronic device and method for operating the same | Jang Kyoungdon |
10545570 | Method for providing content and apparatus therefor | Woo Ji-Hwan |
10545585 | Electronic pen including waterproof structure and electronic device including the same | Park Daehyeong |
10545605 | Electronic device having input sensing panels and method | Kim Jin-Man |
10545628 | Method of and device for managing applications | Ryu Jong-hyun |
10545633 | Image output method and apparatus for providing graphical user interface for providing service | Kim Ki-tae |
10545645 | Method for realizing user interface using camera and mobile communication terminal for the same | Kim Soon-Ok |
10545662 | Method for controlling touch sensing module of electronic device, electronic device, method for operating touch sensing module provided in electronic device, and touch sensing module | Kim Byeong-Jae |
10545663 | Method for changing an input mode in an electronic device | Yoon Sung-Jin |
10545664 | System and method for identifying SSDs with lowest tail latencies | Kachare Ramdas P. |
10545696 | Data deduplication using KVSSD | Kanteti Kumar |
10545714 | Dual screen head mounted display | Rochford Ciaran |
10545749 | System for cloud computing using web components | Gibbs Simon J. |
10545820 | Memory device, memory system and method of operating the same | Park Jong-Wook |
10545830 | Storage device for processing corrupted metadata and method of operating the same | Kim Jong-Won |
10545860 | Intelligent high bandwidth memory appliance | Malladi Krishna T. |
10545880 | Memory device and memory system performing an unmapped read | Heo Young-Hoi |
10545898 | Shared resource access arbitration method, and shared resource access arbitration device and shared resource access arbitration system for performing same | Choi Yong-seok |
10546093 | Computer-implemented method and computing system for designing integrated circuit by considering process variations of wire | Kim Moon-Su |
10546126 | Method for detecting the tampering of application code and electronic device supporting the same | Kim Jung Yoon |
10546177 | Fingerprint verification method and apparatus | Lee Hana |
10546178 | Fingerprint verification method and electronic device for performing the same | Roh Wan Ho |
10546201 | Method and apparatus for determining abnormal object | Kang Hyoa |
10546291 | Method and apparatus for performing payment | Kim Eun Jik |
10546338 | System, method and medium for recommending place | Kang Sang-wook |
10546392 | Image processing method and apparatus | Son Minjung |
10546551 | Electronic device and control method thereof | Park Seong Woong |
10546574 | Voice recognition apparatus and method | Kim Jung-Hoe |
10546578 | Method and device for transmitting and receiving audio data | Kim Jae-deok |
10546587 | Electronic device and method for spoken interaction thereof | Chakladar Subhojit |
10546615 | Digital image processing apparatus and method of controlling the same | Shimokawa Shuichi |
10546623 | Resistive memory device having memory cell array and system including the same | Lee Jaeho |
10546637 | Method of operating resistive memory device reducing read disturbance | Kim Hee-Won |
10546663 | Electrical conductors, production methods thereof, and electronic devices including the same | Hwang Sungwoo |
10546765 | Wafer perforating device | Oh Kyoung Whan |
10546794 | Method of reducing warpage of semiconductor package substrate and device for reducing warpage | Kim Seung Wan |
10546810 | Integrated circuit devices and methods of manufacturing the same | Park Seok-han |
10546829 | Method of fabricating semiconductor package | Min Youn Ji |
10546844 | Stack package and method of manufacturing the stack package | Kim Jae-Choon |
10546855 | Integrated circuit (IC) devices including cross gate contacts | Ranjan Rajeev |
10546869 | Semiconductor device | Cho Taekeun |
10546872 | Nonvolatile memory device and method for fabricating the same | Chae Soodoo |
10546874 | Semiconductor memory device having a channel structure vertically passing through a plurality of memory layers and having memory cell blocks and dummy memory cell blocks | Kim Jong Won |
10546875 | Semiconductor memory device including a capacitor | Kim Chanho |
10546876 | Semiconductor devices including stacked electrodes | Lee Hyun-Min |
10546894 | Memory device | Wu Zhe |
10546897 | Photoelectric device and image sensor and electronic device | Yun Sung Young |
10546999 | Variable resistance memory devices and methods of manufacturing the same | Terai Masayuki |
10547000 | Variable resistance memory device and method of manufacturing the same | Jeong Ji-Hyun |
10547018 | Electroluminescent device, and display device comprising the same | Chung Dae Young |
10547085 | Lithium secondary battery including electrolyte containing monofluorosilane compound | Roy Basab |
10547099 | Antenna structure and electronic device including the same | Park Gyu Bok |
10547101 | Antenna of electronic device | Hwang Soon Ho |
10547108 | Antenna device and electronic device including the same | Jeon Sin-Hyung |
10547119 | Antenna Module | Kim Doo Il |
10547140 | Connecting component with waterproof device and electronic device including the same | Lee Sung-Ho |
10547187 | Electronic device and external device charging method | Yoon Sung Geun |
10547192 | Wireless power transmitter, wireless power receiver, and control methods thereof | Kwon Hyuk-Choon |
10547196 | Electronic apparatus, charge controlling method, and computer-readable recording medium | Jung Ji-hoon |
10547221 | Compressor motor and method for magnetizing rotor thereof | Lee Dong-bum |
10547315 | Frequency divider and a transceiver including the same | Choi Jae-won |
10547322 | Analog-digital converter having multiple feedback, and communication device including the analog-digital converter | Lee Sang-Heon |
10547329 | Transmitter and puncturing method thereof | Myung Se-ho |
10547361 | Feedback apparatus and method in multi-antenna system | Noh Hoon-Dong |
10547366 | Method and apparatus for CSI reporting on PUCCH | Si Hongbo |
10547368 | Method and apparatus for codebook subset restriction for CSI reporting in advanced wireless communication systems | Rahman Md. Saifur |
10547417 | Method and apparatus for transmitting and receiving signal in communication system using scalable frame structure | Kim Chanhong |
10547426 | Transmission of sounding reference signals in communication systems with carrier aggregation | Papasakellariou Aris |
10547550 | Method and apparatus for inter-cell load balance in wireless communication system | Kim Eunyong |
10547686 | Display apparatus and control method thereof | Kim Seung-eon |
10547705 | Caching proxy method and apparatus | Xu Jiangwei |
10547716 | Electronic device for detecting opening and closing of cover device and method of operating same | Jeon Jae-Woong |
10547729 | Electronic device and method of executing function of electronic device | Choi Il-Hwan |
10547731 | Method for managing and controlling external IoT device and electronic device supporting the same | Kim Nam Jin |
10547767 | Electronic device and method for providing adsorption information of foreign substance adsorbed by camera | Lee Jaesung |
10547788 | Electronic device including camera and acoustic component with limited interference therebetween | Park Young-Bae |
10547798 | Apparatus and method for superimposing a virtual object on a lens | Douris Stephan |
10547800 | Pixel circuit and image sensor including thereof | Hwang Min-ji |
10547810 | Display apparatus and control method thereof | Koo Ja Sung |
10547825 | Transmission of three-dimensional video | Sadi Sajid |
10547829 | Image detecting device and image detecting method using the same | Bae Kwanghyuk |
10547830 | Apparatus for and method of illumination control for acquiring image information and depth information simultaneously | Ovsiannikov Ilia |
10547842 | Method and apparatus for coding video and method and apparatus for decoding video accompanied with arithmetic coding | Seregin Vadim |
10547865 | Inter-layer video encoding method for compensating for luminance difference and device therefor, and video decoding method and device therefor | Park Min-woo |
10547868 | Method and device for encoding and decoding information indicating intra skip mode prediction method | Chen Jie |
10547872 | Encoding device, decoding device, and encoding method and decoding method thereof | Won Kwang-hyun |
10547884 | Technique for transmitting and receiving system time information in broadcasting system | Hwang Sung-Oh |
10547890 | Apparatus and method for displaying user interface | Kwon Eun Seon |
10547942 | Control of electrodynamic speaker driver using a low-order non-linear model | Brunet Pascal M. |
10548003 | Electronic device for controlling an external device using a number and method thereof | Lee Ho-Jun |
10548067 | Apparatus and method for transmitting and receiving in mobile communication system | Kim Soeng-Hun |
10548096 | Information type multiplexing and power control | Papasakellariou Aris |
10548178 | Method and device for establishing communication connection | Jung Bu-Seop |
10548500 | Apparatus for measuring bioelectrical signals | Lim Se-hoon |
10548517 | Spectroscopic apparatus for biological material and spectroscopic method using the same | Cho Seongho |
10548536 | Device and method for monitoring health state of user, and device for managing health state of user | Nam Jung Yong |
10548556 | Method for registering medical images | Kim Jungbae |
10548557 | X-ray apparatus and X-ray imaging method | Lim Jae-guyn |
10548560 | X-ray apparatus and X-ray detector | Park Hye-suk |
10548799 | Torque pattern adjustment apparatus and method for adjusting torque pattern using the same | Seo Keehong |
10548801 | Motion assistance apparatus | Park Youngjin |
10548803 | Method and device for outputting torque of walking assistance device | Choi Byung-Kwon |
10549257 | Gas adsorbing material particle, gas adsorbing material body, making method of the same and vacuum insulation material including the same | Nagayama Kenichi |
10550505 | Pump motor and washing machine having the same | Song Hyun-woo |
10551068 | Oven | Kim Kyoung Mok |
10551069 | Oven | Je Ji-Woon |
10551070 | Home appliance | Park Wan Gi |
10551100 | Refrigerator and method for controlling the same | Park Jung Won |
10551101 | Air conditioner and control method thereof for determining an amount of refrigerant | Takeichi Hisashi |
10551103 | Cooling device and method for controlling same | Cheong Young Min |
10551112 | Valve assembly and refrigerator having the same | Jeong Jin |
10551312 | Optical sensor, and apparatus and method for measuring absorbance using the same | Jang Hyeong Seok |
10551326 | Method for measuring semiconductor device | Kang Hyo Hyeong |
10551434 | Rechargeable power module and test system including the same | Yoo Jong-Woon |
10551537 | Composition for optical film, and films and display device | Lee Eun Sung |
10551632 | Panel device and display device | Han Seung Ryong |
10551674 | Optical film and liquid crystal display | Gam Sangah |
10551735 | Pellicle composition for photomask, pellicle for photomask formed from the pellicle composition, method of forming the pellicle, reticle including the pellicle, and exposure apparatus for lithography including the reticle | Jeong Seongjun |
10551738 | Photoresist compositions, intermediate products, and methods of manufacturing patterned devices and semiconductor devices | Park Jin |
10551795 | Spatial light modulator providing improved image quality and holographic display apparatus including the same | Sung Geeyoung |
10551829 | Context recognition apparatus and method | Hong Hyun-Su |
10551833 | Method for image capturing using unmanned image capturing device and electronic device supporting the same | Kim Tae Kyun |
10551834 | Method and electronic device for controlling unmanned aerial vehicle | Lee Wu-Seong |
10551839 | Mobile electronic device and navigation method thereof | Jeong Jinhong |
10551861 | Gateway for managing energy use at a site | Imes Kevin R. |
10551873 | Docking station for electronic device | Han Yong-Hwa |
10551883 | Electronic device with detachable storage medium | Jang Hyuk-Jae |
10551885 | Solid state drive apparatus | Kim Jung-Hoon |
10551920 | Wearable device and method of operating the same | Joo Ga-hyun |
10551922 | Electronic device and method for providing haptic feedback thereof | Park Seongwoong |
10551928 | GUI transitions on wearable electronic device | Mistry Pranav |
10551960 | Input processing method and device | Rhee Taik Heon |
10551980 | Electronic device and method for determining touch coordinate thereof | Jung Dae Kwang |
10551998 | Method of displaying screen in electronic device, and electronic device therefor | Lee Min-Kyung |
10552004 | Method for providing application, and electronic device therefor | Hu Ping |
10552011 | Data processing method and electronic device supporting the same | Choi Bo Kun |
10552012 | Method and apparatus for editing touch display | Cha Sang-ok |
10552019 | Portable device and method for controlling brightness of the same | Agarwal Pankaj |
10552042 | Effective transaction table with page bitmap | Jiang Dongyan |
10552062 | System and method for storing very large key value objects | Ki Yang Seok |
10552073 | Storage system including non-volatile memory device | Lee Jupyung |
10552074 | Summarized data storage management system for streaming data | Agrawal Nitin |
10552084 | Method of operating data storage device | Han Il Su |
10552113 | Electronic device and method for controlling operation thereof | Lee Namil |
10552157 | System and method to clear and rebuild dependencies | Iyengar Ravi |
10552182 | Multiple display device and method of operating the same | Kim Seongeun |
10552256 | Morphable ECC encoder/decoder for NVDIMM over DDR channel | Niu Dimin |
10552362 | Storage device and operating method thereof | Hong Boram |
10552494 | Content providing method of content providing system and electronic apparatus | Jwa Chang Hyup |
10552566 | Method of designing semiconductor device | Park Jin Young |
10552592 | Multi-modal fusion method for user authentication and user authentication method | Hwang Wonjun |
10552598 | Wearable device and method of operating the same | Yun In-kuk |
10552696 | Electronic device having a biometric sensor | Cho Joungmin |
10552890 | Refrigerator and method of controlling the same | Lee Kyung Hoon |
10552972 | Apparatus and method with stereo image processing | Ahn Minsu |
10552973 | Image vision processing method, device and equipment | Zou Dongqing |
10552990 | Electronic apparatus and control method thereof | Lee Sang-young |
10553004 | Method and apparatus for processing image and recording medium storing program therefor | Dabrowski Piotr Henryk |
10553024 | Tile-based rendering method and apparatus | Jeong Min-Kyu |
10553105 | Remote controller and control method thereof | Yoon Suk-hoon |
10553106 | Remote control system and method for portable terminals | Jeon Yeong-Hyo |
10553149 | Method and electronic device for switching operating mode of display | Bae Jong Kon |
10553175 | Source driver circuit and display device for reducing power consumed by non-display area of display panel | Choi Chulho |
10553210 | System, apparatus, and method for processing natural language, and non-transitory computer readable recording medium | Chung Ji-hye |
10553219 | Voice recognition apparatus, voice recognition method of user device, and non-transitory computer readable recording medium | Jung Chi-sang |
10553260 | Stacked memory device, a system including the same and an associated method | Shin Hyun-Sung |
10553264 | Clock synchronizing method of a multiple clock domain memory device | Kim Hye-Ran |
10553273 | Semiconductor memory device and data path configuration method thereof | Ryu Jang-Woo |
10553291 | Nonvolatile memory device and operating method thereof | Lee Jae-yun |
10553401 | Antenna, microwave plasma source including the same, plasma processing apparatus, and method of manufacturing semiconductor device | Lee Yeongkwang |
10553429 | Method of forming pattern of semiconductor device | Ham Boo Hyun |
10553434 | Methods for manufacturing semiconductor devices | Lee Jung Han |
10553438 | Semiconductor device and method for fabricating the same | Jang Yun Kyeong |
10553449 | Methods of forming a silicon layer, methods of forming patterns, and methods of manufacturing semiconductor devices using the same | Hwang Sun-Hye |
10553464 | System and method for controlling semiconductor manufacturing facility, method of manufacturing integrated circuit using the system and method, and method of manufacturing processor using the system and method | Jeong Jae Won |
10553484 | Semiconductor devices including contact plugs | Gwak Min Chan |
10553513 | Chip structure including heating element | Park Woo Hyun |
10553514 | Substrate strip including conductive plane around periphery of chip mounting regions and method of manufacturing semiconductor package using the same | Kim Keung Beum |
10553529 | Semiconductor device having flexible interconnection and method for fabricating the same | Im Hohyeuk |
10553546 | Semiconductor package and semiconductor module | Lee Joungphil |
10553574 | Standard cell for removing routing interference between adjacent pins and device including the same | Seo Jae-Woo |
10553578 | Electronic device and method for operating the same | Baek Jongjin |
10553582 | Semiconductor device and method of manufacturing the same | Kim Yoonjae |
10553585 | Semiconductor device | Lee Dae Seong |
10553593 | Semiconductor devices | Bae Deokhan |
10553598 | Three-dimensional semiconductor devices including vertical structures | Jung Young Jin |
10553605 | Semiconductor device including gates | Gu Ji Mo |
10553606 | Vertical-type memory device | Kim Jong Won |
10553609 | Semiconductor device | Lee Woong Seop |
10553610 | Three-dimensional semiconductor memory devices | Lee Taehee |
10553635 | Image sensor, stacked image sensor, image processing apparatus, and method of fabricating image sensor chip package | Choi Min-jun |
10553641 | Light emitting device package and display device using the same | Yeon Ji Hye |
10553642 | Method and system for providing magnetic junctions utilizing metal oxide layer(s) | Lee Don Koun |
10553653 | Display panel and display apparatus having the same | Lee Kye Hoon |
10553677 | Semiconductor wafer having bevel portion | Kim Yeon-sook |
10553684 | Optical sensor and image sensor including graphene quantum dots | Lee Jaeho |
10553693 | Semiconductor device | Hong Se Ki |
10553730 | Broadband multi-purpose optical device and methods of manufacturing and operating the same | Lee Kiyoung |
10553750 | Semiconductor nanocrystals, methods for making same, compositions, and products | Liu Wenhao |
10553752 | Light-emitting device and display device including the same | Cha Nam-goo |
10553790 | Method of manufacuring a magnetic memory device | Lee Joonmyoung |
10553798 | Fused polycyclic heteroaromatic compound, organic thin film including compound and electronic device including organic thin film | Lee Eun Kyung |
10553933 | Electronic device including NFC antenna | Lee Youngki |
10554051 | Apparatus and method for transmitting wireless power | Lee Kyung-Woo |
10554053 | Apparatus and method for transmitting wireless power | Lee Kyung-Woo |
10554056 | Faulty cell detection device and faulty cell detection method | Jeon Jin Yong |
10554071 | Portable device and apparatus for wirelessly charging the portable device from energy transmitted by a transmitter | Kim Nam Yun |
10554222 | Transmitter and parity permutation method thereof | Myung Se-ho |
10554229 | Transmitter apparatus of mobile device and operation method thereof | Han Kyu Sung |
10554260 | User terminal device and information providing method using the same | Moon Bo-seok |
10554261 | Card detection device having a magnetic field monitor, system including the device, and method of operating the device | Kim Jun Ho |
10554274 | Method and system for regulating electronic magnetic radiation from wireless equipment | Sharma Diwakar |
10554282 | Method and device for determining rank-related information in wireless communication system | Kim Sangbum |
10554285 | Method for detecting blockage and electronic device thereof | Son Dongil |
10554336 | Transmitting apparatus and non-uniform constellation mapping method thereof | Mouhouche Belkacem |
10554337 | Apparatus and method of transmission using HARQ in communication or broadcasting system | Jeong Hongsil |
10554378 | Method and apparatus for multi-user reception in wireless communication system | Kim Chanhong |
10554457 | Receiver and reception method based on pulse | Yun Seok Ju |
10554602 | User terminal apparatus, server, and control method thereof | Park Gun |
10554627 | Proximity communication method and apparatus | Jeong Jin-Hong |
10554643 | Method and system to provide additional security mechanism for packaged web applications | Venkataramana Balaji Nerella |
10554656 | Authentication processing method and electronic device supporting the same | Chang Moon Soo |
10554710 | Method and device for switching media renderers during streaming playback of content | Cho Joon-ho |
10554725 | System, method and apparatus for providing access to services | Kumar Prashant |
10554727 | Method and apparatus for controlling multi-connection for data transmission rate improvement | Choi Jeong-seok |
10554780 | System and method for automated personalization of an environment | Ahuja Himani |
10554801 | Message transfer system including display device and mobile device and message transfer method thereof | Chang Il-ku |
10554807 | Mobile terminal and method of operating the same | Kim Yun-jung |
10554865 | Display controller for generating video sync signal using external clock, an application processor including the controller, and an electronic system including the controller | Lee Jong Hyup |
10554890 | Apparatus and method for generating low-light images with improved bokeh using mobile electronic device | Le Long N. |
10554900 | Display apparatus and method of processing image thereof | Kim Dong-yoon |
10554942 | Display apparatus and recording medium | Park Seung-ho |
10554962 | Multi-layer high transparency display for light field generation | Perdices-Gonzalez Sergio |
10554966 | Multi-view image encoding/decoding method and apparatus | Lee Jin-young |
10554994 | Logic devices, digital filters and video codecs including logic devices, and methods of controlling logic devices | Kim Ho-jung |
10555030 | Method and apparatus for reproducing multimedia data | Hwang Sung-Oh |
10555040 | Machine learning based packet service classification methods for experience-centric cellular scheduling | Chandrasekhar Vikram |
10555041 | Voice recognition system, voice recognition server and control method of display apparatus for providing voice recognition function based on usage status | Sim Jeong-min |
10555104 | Binaural decoder to output spatial stereo sound and a decoding method thereof | Moon Han-gil |
10555194 | Method and apparatus for channel state information reporting | Fu Jingxing |
10555196 | Measurement in MBMS | Van Lieshout Gert-Jan |
10555201 | Method and apparatus of transmitting RLC status report in next generation mobile communication system | Kim Donggun |
10555295 | Base station and operation method thereof in wireless communication system | Yang Hayoung |
10555299 | Method and apparatus for transmitting signal in beam forming-based communication system | Jung Jung-Soo |
10555327 | Method and apparatus for transmitting data in wireless communication system supporting carrier aggregation | Jang Chulhee |
10555416 | Printed circuit board device and electronic device comprising same | Ryu Wan Sang |
10555652 | Cyclone dust collector and vacuum cleaner having the same | Han Jung Gyun |
10556232 | Fluid analysis cartridge and fluid analysis cartridge assembly having the same | Kim Hong-Geun |
10556241 | Scroll type electrostatic precipitator and air conditioning apparatus having the same | Yoon Ji-won |
10556335 | Wearable robot and method for controlling the same | Roh Chang Hyun |
10556412 | Composition for adhesion layer of gas barrier adhesive sheet, gas barrier adhesive sheet, and optical sheet having gas barrier adhesive sheet | Koh Haeng Deog |
10557198 | Gas distribution apparatus and substrate processing apparatus including the same | Lee Heon Bok |
10557636 | Oven | Oh Dong Jin |
10557639 | Dehumidifier | Ahn Jong Chul |
10557757 | Structure for detecting temperature of electronic device | Ju Wanjae |
10557882 | Apparatus and method for sensing event in smart plug device | Seo Sung-Mok |
10557925 | Time-of-flight (TOF) image sensor using amplitude modulation for range measurement | Wang Yibing Michelle |
10558014 | Optical lens assembly and method of forming image using the same | Kwak Ho-keun |
10558079 | Display apparatus | Jung Moon Il |
10558098 | Display apparatus | Cho Byoung Jin |
10558239 | Layered accessory case for electronic device and cradling device thereof | Lee Jae-Wook |
10558273 | Electronic device and method for controlling the electronic device | Park Joon-ah |
10558290 | Electronic device and method for recognizing touch input therefor | Kim Kiwon |
10558316 | Electronic device and method for controlling screen | Choi Kyung-Lim |
10558322 | Method and apparatus for displaying objects and a background image on a display screen | Kim Myeong-ho |
10558350 | Method and apparatus for changing user interface based on user motion information | Ban Dae-hyun |
10558353 | System and method for 360-degree video navigation | Dickerson Andrew J. |
10558354 | Method for providing content search interface and electronic device for supporting the same | Lee Gi Yong |
10558388 | Memory system and method of controlling the same | Niu Dimin |
10558427 | Electronic device for reproducing audio signal via external device and operating method thereof | Jo Jae-Hyun |
10558597 | Application processor and integrated circuit including interrupt controller | Park Jeongwoo |
10558605 | Electronic device and method for controlling a mode of connection interface | Hong Minwhoa |
10558826 | Method and apparatus for providing security mode to user device | Yau Arnold |
10558835 | Electronic device and method for acquiring fingerprint information | Kim Hyeonho |
10558870 | Electronic apparatus and control method thereof | Lim Tae-gyu |
10558896 | Device and method for processing metadata | Kim Woo-Chan |
10558912 | Method and apparatus to recognize object based on attribute of object and train | Kwak Youngjun |
10559063 | Image generating apparatus and method for generation of 3D panorama image | Kim Do-wan |
10559101 | Method and apparatus for generating x-ray tomographic image data | Lee Jong Ha |
10559125 | System and method of constructing bounding volume hierarchy tree | Deshwal Ankur |
10559126 | 6DoF media consumption architecture using 2D video decoder | Bouazizi Imed |
10559194 | System and method for providing customized connected device functionality and for operating a connected device via an alternate object | Jiang Long |
10559280 | Operating method using gamma voltage corresponding to display configuration and electronic device supporting the same | Bae Jong Kon |
10559334 | Data output circuit, memory device including the data output circuit, and operating method of the memory device | Park Hyunsoo |
10559335 | Method of training drive strength, ODT of memory device, computing system performing the same and system-on-chip performing the same | Kim Yong-Seob |
10559336 | Storage device including multi data rate memory device and memory controller | Kim Hyeon-Wu |
10559362 | Non-volatile memory device and a read method thereof | Shin Dong Jin |
10559373 | Nonvolatile memory including on-die-termination circuit and storage device including the nonvolatile memory | Kim Eun-Ji |
10559506 | Method of inspecting semiconductor device | Kim Min Kook |
10559540 | Fan-out semiconductor package | Lee Chang Bo |
10559541 | Semiconductor package | Park Mi Jin |
10559543 | Semiconductor device having a protection trench, semiconductor wafer including the same, and semiconductor package | Kim Sundae |
10559550 | Memory device including heterogeneous volatile memory chips and electronic device including the same | Lee Jungbae |
10559565 | Integrated circuit device and method of manufacturing the same | Lee Jung-han |
10559571 | Methods of fabricating semiconductor memory devices | Kim Eunjung |
10559577 | Non-volatile memory devices and methods of fabricating the same | Yun Dong-kil |
10559580 | Semiconductor memory device | Son Yong-Hoon |
10559583 | Memory device | Park Su Jin |
10559584 | Semiconductor device including a dielectric layer | Choi Eun Yeoung |
10559585 | Vertical memory devices with conductive pads supported by dummy channels with varying dimensions | Kim Kyoung-Hoon |
10559590 | Three-dimensional semiconductor devices | Lee Changhyun |
10559591 | Vertical memory devices and methods of manufacturing the same | Kanamori Kohji |
10559613 | Semiconductor device and method for fabricating the same | Kim Sung In |
10559660 | Semiconductor device including metal-2 dimensional material-semiconductor contact | Lee Minhyun |
10559673 | Semiconductor devices having vertical transistors with aligned gate electrodes | Park Sungil |
10559687 | Semiconductor device | Song Jae Yeol |
10559712 | Quantum dots and devices including the same | Park Garam |
10559726 | Layered structures and quantum dot sheets and electronic devices including the same | Kang Hyun A |
10559746 | Magnetoresistive random access memory devices and methods of manufacturing the same | Park Yong-Sung |
10559752 | Semiconductor device and method for fabricating the same | Park Il Mok |
10559764 | Fused polycyclic heteroaromatic compound, organic thin film including compound and electronic device including organic thin film | Park Jeong Il |
10559811 | Graphene-enhanced anode particulates for lithium ion batteries | Zhamu Aruna |
10559873 | Electronic device including grip sensor and antenna | Kang Kyung Kyun |
10559874 | Electronic device including loop-shaped antenna | Tzanidis Ioannis |
10560123 | Method for generating a sequence for a pola code and medium therefor and method and apparatus for transmitting data using thereof | Jeong Hongsil |
10560128 | Carrier aggregated signal transmission and reception | Oh Seung-hyun |
10560172 | Method and apparatus for transmitting/receiving CSI in cellular communication system supporting carrier aggregation | Kim Young Bum |
10560216 | Method and apparatus for transmitting/receiving signal in communication system | Lim Chi-Woo |
10560246 | Method and device for transmitting and receiving data by using multiple carriers in mobile communication system | Kim Soeng Hun |
10560288 | Apparatus and method of non-iterative singular-value decomposition | Lee Wook Bong |
10560389 | Method and apparatus for controlling send buffer of transmission control protocol in communication system | Lee Jin-Sung |
10560409 | Electronic device and method for image control thereof | Ryu Young-Hwan |
10560512 | Method for file management and an electronic device thereof | Lee Okseon |
10560514 | Apparatus and method for transmitting and receiving information related to multimedia data in a hybrid network and structure thereof | So Young-Wan |
10560515 | Method and apparatus for transmitting and receiving information between servers in contents transmission network system | Kim Hanseok |
10560565 | Electronic device and operating method thereof | Jung Junwon |
10560576 | Electronic device for performing task including call in response to user utterance and operation method thereof | Kim Kwangyoun |
10560673 | Method and apparatus for recovering image | Ryu Inhwan |
10560693 | Video encoding method and apparatus, and video decoding method and apparatus | Won Kwang-hyun |
10560730 | Electronic apparatus and operating method thereof | Jeong Da-hee |
10560816 | Electronic device and method for setting software in electronic device | Sohn Dong-Jin |
10560818 | Device and method for controlling charging in a mobile communication system | Cho Song Yean |
10560826 | Terminal device and method for controlling the same | Cho Hyung-rae |
10560832 | Method and apparatus for interworking between devices for short-range communication | Kang Hyeon-Jin |
10560843 | Method and system for supporting security and information for proximity based service in mobile communication system environment | Suh Kyungjoo |
10560851 | Method and apparatus for uplink beam management in next generation wireless systems | Guo Li |
10560859 | Channel state information measurement method and user equipment | Li Yingyang |
10560876 | Method and device for group communication, having robust mobility | Won Sung Hwan |
10560905 | Method and apparatus for transmitting power headroom information in a communication system | Ryoo Sunheui |
10560921 | Method and apparatus for radio resources management | Wang Hong |
10560937 | Method and equipment for determining transmitting resources in V2X communication | Zhang Shichang |
10560939 | User terminal device and control method therefor | Jung Kyung-hun |
10560948 | Method and apparatus for supporting vehicle to everything service | Mok Youngjoong |
10560953 | Antenna tuning devices and antenna tuning methods | Kim Dae-young |
10560958 | Interface device and method in wireless communication network | Noh Hoon-Dong |
10560971 | Wireless communication method and electronic device for providing same | Seo Jungkuk |
10560988 | Display module and method for coating the same | Kim Jung-min |
10561013 | Coupled via structure, circuit board having the coupled via structure | Seo Dong-Yoon |
10561027 | Electronic device including bent display and method of displaying image on bent display | Kim Yong-Hwa |
10561288 | Robot cleaner | Hong Seok Man |
10561334 | Portable apparatus and method of changing screen of content thereof | Moon Sun-ho |
10561348 | Device and method for spectrum analysis and device for blood glucose measurement | Park Yun S |
10561385 | X-ray imaging apparatus and control method thereof | Kim Myeong Je |
10561386 | X-ray input apparatus, X-ray imaging apparatus having the same, and method of controlling the X-ray input apparatus | Kim Myeong Je |
10562770 | Nanoparticles passivated with cationic metal-chalcogenide compound | Cho Kyung-sang |
10563340 | Door and clothes treating apparatus having the same | Kim Dong Won |
10563341 | Clothing dryer | Lee Dong Jun |
10563343 | Dryer and method for controlling the same | Chung Seung Eun |
10563657 | Turbofan for air conditioning apparatus | Kang Yong-hun |
10563871 | Cooking appliance | Jeong Ji Ho |
10563885 | Air conditioning device and method for calculating amount of dehumidification thereof | Ha Jong-kweon |
10563904 | Built-in refrigerator including wire cover unit | Kim Min-kyu |
10564177 | System and method for user activity recognition using accelerometer | Li Xue |
10564197 | Audio spectrum analyzer and method of arranging resonators included therein | Kim Cheheung |
10564199 | Electronic devices and methods for detecting foreign object on connector | Kim Je-kook |
10564356 | Heterogeneous integrated circuit for short wavelengths | Carothers Daniel N. |
10564389 | Electronic device and method of controlling same | Jeong Jin-Gu |
10564404 | Optical lens assembly and electronic apparatus including the same | Lee Tae-kun |
10564405 | Super wide-angle optical system | Kim Jin-Woo |
10564437 | Optical layer and display device including the same | Choi Kyuhwan |
10564677 | Mobile apparatus | Lee Seung-won |
10564686 | Method for controlling operation of electronic device and electronic device using the same | Lee Kwanho |
10564736 | Digital pen, touch system, and method for providing information thereof | Lee Jae-kwang |
10564738 | Electronic device including detachable input device | Lim Chang-Ok |
10564740 | Pen device—panel interaction based on electromagnetic signals output by the pen device | Attarian Vatche A. |
10564751 | Electronic device and input method of electronic device | Park Doo-Yong |
10564759 | Method and apparatus for providing touch interface | Lee Kwang-Yong |
10564771 | Touch display system including a power management integrated circuit providing modulated ground voltage to a display system and a touch system | Choi Yoon-Kyung |
10564792 | Display device and method of indicating an active region in a milti-window display | Kim Young-Jin |
10564803 | Selecting application that can handle data content | Guan Haihui |
10564813 | User terminal apparatus and management method of home network thereof | Shim Jung-hyun |
10564816 | Electronic device for inputting sleeping information and method of controlling the same | Cho Seong-Ho |
10564822 | Electronic device for reducing burn-in and computer-readable recording medium | Kim Hwi-Woong |
10564830 | Display apparatus and controlling method thereof | Kim Young-kook |
10564838 | Method and apparatus for providing POI information in portable terminal | Ban Ji-Hye |
10564840 | Method and apparatus for context-based user interaction on a touch-input enabled device | Khajuria Brij Bhushan |
10564843 | Display device and method of displaying screen on said display device | Choi Jung-hwan |
10564855 | Semiconductor device and operating method thereof | Seong Nak Hee |
10564869 | Memory controller and storage device including the same | Mun Kui-Yon |
10564876 | Controller and storage device including controller and nonvolatile memory devices | Woo Seonghoon |
10564911 | Electronic apparatus and method for displaying object | Lee Jae-Ik |
10564913 | Display device of multi-display system and control method thereof | Kim Dong-jin |
10564963 | Bit-masked variable-precision barrel shifter | Quinnell Eric C. |
10564971 | Method and apparatus for processing macro instruction using one or more shared operators | Kim Doo-hyun |
10564997 | Computing system for securely executing a secure application in a rich execution environment | Hong Sung-Min |
10565017 | Multi-thread processor and controlling method thereof | Suh Dong-kwan |
10565031 | Event notification apparatus and control method of the same | Yi Sang-ung |
10565050 | Memory controller, memory system and application processor comprising the memory controller | Kim Tae-hun |
10565100 | Hardware-based memory management apparatus and memory management method thereof | Lim Seyoun |
10565154 | Mobile device and interfacing method thereof that adjusts clock frequency based on access mode | Kwon Jiwoong |
10565328 | Method and apparatus for modeling based on particles for efficient constraints processing | Kang Nahyup |
10565368 | Electronic device and method of controlling same | Kwag Kyung-soo |
10565405 | Smart card device, system including the same and method of operating smart card system | Cho Hyuck-Jun |
10565447 | Image sensor and electronic apparatus including the same | Kang Se Hyeon |
10565577 | Guided positional tracking | Peri Christopher A. |
10565581 | Apparatus and method for managing mobile transaction coupon information in mobile terminal | Kong Byung-Kwon |
10565672 | Electronic device for composing graphic data and method thereof | Kim Seung Jin |
10565713 | Image processing apparatus and method | Ma Lin |
10565723 | Systems and methods for drift correction | Peri Christopher A. |
10565724 | System and methods for device tracking | Peri Christopher A. |
10565725 | Method and device for displaying virtual object | Kim Seung-Chan |
10565744 | Method and apparatus for processing a medical image to reduce motion artifacts | Lee Duhgoon |
10565748 | Medical imaging apparatus and method of operating the same | Yoon Hui-su |
10565754 | Method and device for playing multimedia | Zeng Chengbin |
10565778 | Electronic devices for and methods of implementing memory transfers for image warping in an electronic device | Goel Manish |
10565925 | Full color display with intrinsic transparency | Perdices-Gonzalez Sergio |
10565959 | Method and electronic device for generating new luminance level between two adjacent luminance levels | Park Kee Hyon |
10566011 | Auto voice trigger method and audio analyzer employing the same | Park Sangha |
10566038 | Method of controlling on-die termination and system performing the same | Son Young-Hoon |
10566039 | Memory device | Nam Sang Wan |
10566042 | Magnetic tunnel junction devices and magnetoresistive memory devices | Machida Ken |
10566066 | Memory controller for controlling memory device based on erase state information and method of operating the memory controller | So Hye-Jeong |
10566075 | Electronic device performing training on memory device by rank unit and training method thereof | Kim Yongseob |
10566176 | Microwave probe, plasma monitoring system including the microwave probe, and method for fabricating semiconductor device using the system | Oh Se-jin |
10566207 | Semiconductor manufacturing methods for patterning line patterns to have reduced length variation | Kim Gyeongseop |
10566221 | Apparatus for transferring substrate and apparatus for processing substrate including the same | Choi Hyun Sun |
10566233 | Semiconductor device having interconnection structure | Lee Dohyun |
10566245 | Method of fabricating gate all around semiconductor device | Kwon Tae Yong |
10566252 | Overlay-correction method and a control system using the same | Lee Seungyoon |
10566284 | Semiconductor device | Kim Jun Kwan |
10566289 | Fan-out semiconductor package and manufacturing method thereof | Lee Doo Hwan |
10566293 | Circuit element package, manufacturing method thereof, and manufacturing apparatus thereof | Kuk Keon |
10566318 | Light emitting device package and display device using the same | Lee Dong Gun |
10566326 | Semiconductor devices including a device isolation region in a substrate and/or fin | Kwak Dae Young |
10566330 | Dielectric separation of partial GAA FETs | Rodder Mark S. |
10566331 | Semiconductor devices | Yang Jung-gil |
10566333 | Methods of fabricating semiconductor device | Lee Seung-Heon |
10566338 | Three-dimensional semiconductor memory device and method of fabricating the same | Shin Miso |
10566342 | Semiconductor memory devices including a stress relief region | Hwang Sung-Min |
10566345 | Three-dimensional semiconductor memory device | Kanamori Kohji |
10566346 | Vertical-type memory device | Lee Tak |
10566370 | Image sensing apparatus | Yoon Sung Hyun |
10566379 | Image sensor with a gated storage node linked to transfer gate | Altice, Jr. Peter P. |
10566382 | Semiconductor light emitting device | Yeon Ji Hye |
10566385 | Semiconductor apparatus including magnetoresistive device | Lee Yong-kyu |
10566386 | Variable resistance memory device and method of manufacturing the same | Jeong Ji-Hyun |
10566433 | Semiconductor devices having transistors with different work function layers | Yim Jeong-Hyuk |
10566502 | Semiconductor light-emitting device | Hwang Kyung Wook |
10566529 | Memory cell and memory device comprising selection device layer, middle electrode layer and variable resistance layer | Jeong Ji-Hyun |
10566530 | Method of fabricating semiconductor devices | Park Changyup |
10566544 | Compound and organic photoelectric device, image sensor and electronic device including the same | Shibuya Hiromasa |
10566566 | Organometallic compound, organic light-emitting device including the organometallic compound, and diagnosis composition including the organometallic compound | Jeon Aram |
10566653 | Lithium sulfur nitrogen compound for anode barrier coating or solid electrolyte | Miara Lincoln J. |
10566670 | Electrochemical cell, electrochemical cell module comprising the electrochemical cell, and preparation method of the electrochemical cell | Choi Kyounghwan |
10566811 | Method and apparatus estimating and controlling battery state | Howey David A. |
10566813 | Method for controlling operation of battery on basis of state thereof, and electronic device for supporting same | Jo Nam-Min |
10566818 | Battery charging method, battery charging information generating method, and battery charging apparatus | Jung Daeryong |
10566959 | Sense amplifier flip-flop and method for fixing setup time violations in an integrated circuit | Mittal Sajal |
10566968 | Output driver, and semiconductor memory device and memory system having the same | Son Young Hoon |
10566977 | Clock gating circuit | Kim Ah-Reum |
10567000 | Transmitter and parity permutation method thereof | Jeong Hong-sil |
10567004 | Method and apparatus for constructing interleaving sequence in a wireless communication system | Qian Chen |
10567018 | Current-to-voltage converter providing variable bandwidth and apparatus including the same | Joo Seong-won |
10567038 | Wireless power transmission system and method based on transmission schedule | Kim Sang Joon |
10567058 | Method and apparatus for beam management | Guo Li |
10567060 | Efficient vector quantizer for FD-MIMO systems | Rahman Md. Saifur |
10567066 | Apparatus and method for performing precoding in wireless communication system using massive antenna | Jeon Young-Hyun |
10567091 | Base station for cancelling transmitter noise present in reception band and method for operating same | Kim Jaebum |
10567113 | Method and apparatus for channel quality indicator (CQI) and channel state information (CSI) reporting | Onggosanusi Eko |
10567114 | Method and apparatus for transmitting and receiving downlink control information in wireless communication system | Kim Taehyoung |
10567115 | Transmitter and additional parity generating method thereof | Jeong Hong-sil |
10567124 | Serial communication interface circuit performing external loopback test and electrical device including the same | Kim Sung-Ha |
10567133 | Method and apparatus for synchronization signals and PBCH block enhancement | Si Hongbo |
10567144 | Method and device for transmitting and receiving data by using multiple carriers in mobile communication system | Kim Soeng Hun |
10567183 | System and method for conference messaging between telephony devices in a first network and devices connected to a second network | Patil Mayuresh Madhukar |
10567189 | System and method of controlling external apparatus connected with device | Ko Jae-woo |
10567208 | Communication device and method in filter-bank based single carrier frequency division multiple access system | Kim Kyeongyeon |
10567296 | Server for performing low power communication and operation method thereof, and scheduling map generation method for performing low power communication | Choi Byung-Kwon |
10567338 | Method and apparatus for setting up relay link in wireless communication system | Ryu Hyunseok |
10567452 | Method and apparatus for improving quality of multimedia streaming service | Park Kyung-Mo |
10567490 | Dynamically reallocating resources for optimized job performance in distributed heterogeneous computer system | Hayavadana Sameera Bharadwaja |
10567498 | Terminal and server performing data synchronization | Oh Jong Taek |
10567545 | Method and apparatus for sharing data quota | Cha Jungyoon |
10567576 | Method and apparatus for performing network registration through a selected component for data service | Yeoum Tae Sun |
10567645 | Method and apparatus for capturing video data | Shukla Alok Shankarlal |
10567661 | Electronic apparatus and controlling method thereof | Kim Jin-su |
10567688 | Image sensor with test light shielding pattern, imaging device, and method of manufacturing image sensor chip package | Lee Jun-taek |
10567694 | Electronic apparatus and method for controlling sound of electronic apparatus | Park Da-hye |
10567696 | Broadcast receiving apparatus and control method thereof | Park Ji Yeon |
10567723 | System and method for detecting light sources in a multi-illuminated environment using a composite RGB-IR sensor | Nair Prajit Sivasankaran |
10567762 | Method, apparatus, and computer-readable recording medium for efficiently performing embedded compression of data | Park Chan-sik |
10567773 | Inter-layer video decoding method for performing subblock-based prediction and apparatus therefor, and inter-layer video encoding method for performing subblock-based prediction and apparatus therefor | Park Min-woo |
10567798 | Method and apparatus for encoding video based on scanning order of hierarchical data units, and method and apparatus for decoding video based on scanning order of hierarchical data units | Min Jung-hye |
10567877 | Method and electronic device for playing audio data using dual speaker | Nam Myoungwoo |
10567909 | Wireless dissemination of environment aware information | Singh Gurvinder |
10567957 | Dual connectivity mode of operation of a user equipment in a wireless communication network | Ingale Mangesh Abhimanyu |
10567978 | Method and apparatus for setting initial window value in wireless communication system | Lim Han-Na |
10567982 | Method and apparatus for communicating ISM prone frequency information to a base station | Baghel Sudhir Kumar |
10567990 | Method and apparatus for performing measurement using permission list by terminal in which multiple carriers are set | Kim Soenghun |
10568001 | Method and apparatus for controlling traffic offloading in a communication system | Jeong Sangsoo |
10568022 | Methods for enabling user equipment to access radio network, network equipments and user equipments | Ke Xiaowan |
10568024 | Method for internet of things communication and an electronic device thereof | Nam Changwon |
10568028 | Method and apparatus for applying discontinuous reception mode in wireless communication system | Kim Sangbum |
10568030 | Method for reducing battery power consumption of user equipment (UE) during manual CSG selection | Devarayanigari Pavan Kumar |
10568038 | Method and device for adjusting transmit power in wireless communication system | Kim Jongkyung |
10568039 | Electronic device supporting beamforming and method of operating electronic device | Park Sungchul |
10568061 | Method for supporting efficient PDU session activation and deactivation in cellular networks | Park Jungshin |
10568073 | Methods and dual SIM dual standby (DSDS) devices for managing data communication | Pathak Lalit Kumar |
10568077 | Method and apparatus for controlling uplink control information transmission in wireless communication system providing widebandwidth services via carrier aggregation | Kim Youngbum |
10568081 | Scheduling uplink transmissions | Papasakellariou Aris |
10568118 | Method and apparatus for transmitting control and data signals based on a short TTI in a wireless cellular communication system | Yeo Jeongho |
10568489 | Dish washing machine | Kim Jin Doo |
10568527 | Apparatus for and method of monitoring blood pressure and wearable device having function of monitoring blood pressure | Yoon Youngzoon |
10568584 | Apparatus for estimating blood component level using spectrum analysis | Lee Joon Hyung |
10569617 | Outdoor unit for air conditioner | Lim Chang-soo |
10570264 | Photocurable coating composition, method of forming coating layer using the same, and apparatus for forming coating layer using the same | Kim Kyung Rim |
10570549 | Washing machine and control method of the same | Kim Tae-kil |
10571151 | Duct type air conditioning device and method for assembling and disassembling the same | Kwon Hyung-jin |
10571176 | Air conditioner and method for controlling the same | Han Kwang-Sik |
10571332 | Light filter and spectrometer including the light filter | Cho Kyungsang |
10571609 | Image sensor including nanostructure color filter | Han Seunghoon |
10571648 | Camera device including aperture and electronic device including the same | Lee Kyung Bae |
10571713 | Camera module having guiding portion between fixed portion and movable portion | Yu Young-Bok |
10571944 | Semiconductor devices having voltage generators using weighted combination of feedback voltages | Park Min-sang |
10572012 | Electronic device for performing gestures and methods for determining orientation thereof | Gupta Gaurav |
10572074 | Electronic device with a flexible panel and method for manufacturing a flexible panel | Van Aerle Nicolaas Aldegonda Jan Maria |
10572104 | Electronic device for executing a plurality of applications and method for controlling the electronic device | Shin Dong-Jun |
10572106 | Method and apparatus for performing URL linkage function using the keypad | Park Sehwan |
10572131 | Method for setting date and time by electronic device and electronic device therefor | Kim Eun-Sun |
10572139 | Electronic device and method for displaying user interface thereof | Seo Youbi |
10572148 | Electronic device for displaying keypad and keypad displaying method thereof | Grupiński Andrzej |
10572158 | Method of operating storage device to recover performance degradation due to retention characteristic and method of operating data processing system including the same | Lee Sang Yong |
10572161 | Methods to configure and access scalable object stores using KV-SSDs and hybrid backend storage tiers of KV-SSDs, NVMe-SSDs and other flash devices | Subramanian Anand |
10572208 | Display device including plurality of modules and control method therefor | Park Ji-yong |
10572210 | Display apparatus, image playing apparatus, and display system | Park Ji Yong |
10572212 | Method and device for sharing content | Yang Pil-seung |
10572382 | Method of operating data storage device and method of operating data processing system including the same | Yun Hyun-Sik |
10572402 | Storage device communicating with host according to multicast communication protocol and communication method of host | Satish Kumar |
10572406 | Memory controller for receiving differential data strobe signals and application processor having the memory controller | Oh Ji-hun |
10572413 | Electronic device providing bypass path to indirectly connected storage device among serially connected storage devices, storage device included therein, computing system including the same, and method of communicating therewith | Park Hyuntae |
10572604 | Sentence generating method and apparatus | Lee Jihyun |
10572605 | Electronic device for providing translation service and method thereof for determining translation candidate text from a plurality of candidate texts | Han Young-ho |
10572609 | Method and apparatus to model objects including particles | Kang Nahyup |
10572616 | Test pattern, test method for semiconductor device, and computer-implemented designing integrated circuit layout | Hwang Sung-Wook |
10572637 | User authentication method and apparatus based on electrocardiogram (ECG) signal | Bae Chisung |
10572651 | Key generating method and apparatus using characteristic of memory | Kim Seung-Chan |
10572674 | Terminal device and method for protecting information thereof | Lee Choong-hoon |
10572732 | Method, storage medium and electronic apparatus for providing service associated with image | Park An-Na |
10572969 | Method and device for processing data | Ha Sang-won |
10572973 | Display device and method of controlling same | Kang Jin-sung |
10573063 | Content visualizing device and method | Cho Yang Ho |
10573218 | Display driving method according to display configuration and electronic device for supporting the same | Bae Jong Kon |
10573270 | Electronic device and method for preventing current consumption by electronic device | Jo Jeong-Gyu |
10573317 | Speech recognition method and device | Kim Yongwook |
10573356 | Semiconductor memory devices, memory systems and methods of operating semiconductor memory devices | Cha Sang-Uhn |
10573363 | Method and apparatus for performing self-referenced read in a magnetoresistive random access memory | Khvalkovskiy Alexey Vasilyevich |
10573378 | Methods of programming memory devices | Shim Young-Seop |
10573386 | Memory device including NAND strings and method of operating the same | Kim Wan-Dong |
10573389 | Storage device having parameter calibration function, and operating method thereof | Kim Chan-Ha |
10573390 | High-density storage system | Berman Amit |
10573401 | Memory devices and memory packages | Heo Jin Seok |
10573552 | Semiconductor device and method of fabricating the same | Wiseman Joseph W |
10573588 | Package substrate and semiconductor package including the same | Im Hohyeuk |
10573589 | Semiconductor package | Kim Eun Jin |
10573613 | Fan-out semiconductor package | Kim Da Hee |
10573628 | Light emitting device | Kim Yong Il |
10573632 | Method of manufacturing display module using LED | Yeon Ji Hye |
10573633 | Semiconductor device including overlay patterns | Kim Tae Sun |
10573634 | Semiconductor device | Jeong Jin Woo |
10573643 | Integrated circuit having vertical transistor and semiconductor device including the integrated circuit | Do Jung-ho |
10573651 | Semiconductor devices | Kim Nam-Gun |
10573652 | Semiconductor device and method for fabricating the same | Lee Myeong-Dong |
10573653 | Semiconductor devices having contact plugs overlapping associated bitline structures and contact holes | Kim Jun-Kyum |
10573657 | Non-volatile memory device and method for fabricating the same | Baek Seok Cheon |
10573676 | Image sensors | Yun Jung Bin |
10573679 | Stacked CMOS image sensor | Kwon Doo-won |
10573682 | Pixel array included in image sensor and image sensor including the same | Oh Young-Sun |
10573729 | Integrated circuit device including asymmetrical fin field-effect transistor | Cho Edward Namkyu |
10573786 | Semiconductor light emitting device | Yoon Ju Heon |
10573806 | Method of fabricating semiconductor device | Nam Kyungtae |
10573918 | Rechargeable battery including protrusion and depression with resilient support and battery module including the same | Kim Myunghoon |
10573933 | Lithium metal battery | Jeong Joonseon |
10573947 | Electrochemical battery maintaining oxygen concentration by air recirculation | Kwon Hyukjae |
10573951 | Split resonator and printed circuit board including the same | Lee Soon Yong |
10573955 | Display module and method of manufacturing the same | Baik Chul |
10573966 | Method and apparatus for efficiently transmitting beam in wireless communication system | Ko Seungtae |
10574074 | Electronic device, method of controlling charging by electronic device, and method of supplying power by power supply device | Jung Kuchul |
10574077 | Method for charging electronic device, electronic device, and storage medium | Choi Dae-Han |
10574080 | Method for determining cross connection in wireless charging | Lee Kyung-Woo |
10574299 | Internal antenna of display | Lim Sang-Ho |
10574358 | High-frequency signal transmission/reception device | Makurin Mikhail Nikolaevich |
10574385 | Apparatus and method for rate control in mobile communication system | Park Hyo-Yol |
10574389 | Method and apparatus for channel encoding/decoding in a communication or broadcasting system | Kim Kyung-Joong |
10574476 | Method and apparatus of managing guest room | Eom Chungyong |
10574603 | Method, electronic device, and storage medium for providing service | Choi Woo-Jun |
10574762 | Method and apparatus for communication connection service | Bahn Sahnghee |
10574780 | Method and apparatus for receiving profile by terminal in mobile communication system | Park Jonghan |
10574789 | Method for managing schedule and electronic device supporting the same | Yeon Jihyun |
10574807 | Hybrid secondary screen smart cover with e-ink | Penke Siva |
10574895 | Image capturing method and camera equipped electronic device | Lee Jeong-Won |
10574929 | Image sensor supporting various operating modes and operating method thereof | Hwang Minji |
10574957 | Source device and control method thereof, and sink device and image quality improvement processing method thereof | Oh Sung-bo |
10574958 | Display apparatus and recording medium | Lee Hyun-seung |
10574986 | Interlayer video decoding method for performing sub-block-based prediction and apparatus therefor, and interlayer video encoding method for performing sub-block-based prediction and apparatus therefor | Park Min-woo |
10575002 | Method for inter prediction and device therefor, and method for motion compensation and device therefor | Park Young-o |
10575049 | Broadcasting signal receiving apparatus and control method thereof | Seo Da-som |
10575067 | Context based augmented advertisement | Ravindran Sourabh |
10575166 | Method for reporting capability information and dual mode user equipment adapted thereto | Kim Soenghun |
10575168 | Method and electronic device for providing communication service | Park Jonghan |
10575265 | Power headroom report method and apparatus of UE | Kim Soeng Hun |
10575267 | System and method for providing weighted pattern demapper for Bluetooth® low energy long range | Kwon Hyukjoon |
10575298 | Method and apparatus for transmitting and receiving control channels by restricting a set of the control channels in a wireless communication system | Kwak Yong-Jun |
10575338 | Method and apparatus for UE signal transmission in 5G cellular communications | Park Seunghoon |
10575360 | Apparatus and method for providing multi-connection using different wireless connection technologies in wireless communication system | Jang Jaehyuk |
10575370 | Electrical conductors, electrically conductive structures, and electronic devices including the same | Kim Se Yun |
10575594 | Footwear internal space measuring device and method for providing service thereof | Kim Joo-hyung |
10575682 | Stirring and cooking assembly and cooking equipment including same | Lim Gyu Sik |
10575741 | Wearable biometric information measurement device | Kim Jae-Hong |
10575761 | Method and apparatus for recognizing gait motion | Lee Jusuk |
10575785 | Method and apparatus for obtaining biometric information | Jung Sun Ok |
10575798 | X-ray detecting apparatus | Kim Young Ik |
10576010 | Walking assistance apparatus and operating method thereof | Kim Kyung-Rock |
10576327 | Exercise information providing method and electronic device supporting the same | Kim Jeong Yun |
10576485 | Ejector having a curved guide to improve flow efficiency and cooling apparatus having the same | Jeong Hee Moon |
10576582 | Spot heater and device for cleaning wafer using the same | Kim Young-Hoo |
10576619 | Assisting torque setting method and apparatus | Shim Youngbo |
10577199 | Robot cleaner, refrigerator, container transfer system, and method of transferring and retrieving container using the robot cleaner | Lee Sang Tak |
10577484 | Compositions and polymer composites prepared from the same | Lee Jeong Hee |
10577716 | Multilayer nanocrystal structure and method for producing the same | Jang Eun Joo |
10578106 | Compressor | Cho Yang Hee |
10578310 | Cooking apparatus and method of controlling the same | Joo Young Woong |
10578319 | Air conditioner | Shin Moon Sun |
10578322 | Outdoor unit of air conditioner | Sato Seiji |
10578452 | Method and apparatus for providing service using a sensor and image recognition in a portable terminal | Lee Jae-Myeon |
10578481 | Water level detecting device and dehumidifier having the same | Myeong Seong-ryeol |
10578490 | Spectrometer and apparatus for measuring biological component using the same | Claes Tom |
10578492 | Polarimeter for detecting polarization rotation | Lee Duhyun |
10578621 | Biomarker PNCK for predicting effect of a dual-targeting agent | Lee Ji Min |
10578688 | Method and apparatus for filtering magnetic field induced in coil of MRI system | Kim Kyoungnam |
10578780 | Transparent panel and display system thereof | Lee Young-yoon |
10578787 | Hologram generating method and hologram generating apparatus | Lee Jin-sung |
10578899 | Display apparatus | In Woo Sung |
10578903 | Display apparatus | In Woo Sung |
10578909 | Display apparatus with dichroic filter | Lee Kye Hoon |
10579048 | EtherCAT control device and factory automation system having the same | Oh Kyoung-Whan |
10579058 | Apparatus and method for generating training data to train neural network determining information associated with road included in image | Oh Dokwan |
10579122 | Determining use context for a charging device and displaying a contextually appropriate user interface | Pierce Jeffrey S. |
10579127 | Apparatus for driving a touch pad and a portable terminal having the same | Jeon Jae-Hyoung |
10579131 | Apparatus and method for applying suitable voltage to a component of a system-on-chip | Kim Jaecheol |
10579137 | Method for controlling image display and apparatus supporting same | Yun Yongsang |
10579152 | Apparatus, method and recording medium for controlling user interface using input image | Kim Jin-Yong |
10579162 | Systems and methods to correct a vehicle induced change of direction | Peri Christopher A. |
10579206 | Display apparatus and method for controlling the display apparatus | Park Jee-hoon |
10579211 | Display apparatus and method | Sang Jun-gyun |
10579242 | Display apparatus, control apparatus, and operating methods thereof including controlling a display mode of the display apparatus based on a status signal and transmitting GUI to an external apparatus | Lee Joo-yoen |
10579248 | Method and device for displaying image by using scroll bar | Wang Huaxing |
10579256 | Display operating method and electronic device supporting the same | Kim So Young |
10579263 | Memory system | Shin Seung-Jun |
10579279 | Data storage device and data processing system having the same | Kang Nam Wook |
10579286 | Memory device and reclaiming method of the memory device | Oh Hee-Tai |
10579321 | Control method and control apparatus for apparatus including short range wireless communication module | Kim Hyun-young |
10579365 | Method and apparatus for auto installing application into different terminals | Sung Youl-woong |
10579528 | Electronic device and method for controlling shareable cache memory thereof | Hwang Chanyoung |
10579554 | System and method for routing bus including buffer | Kim Byung-yong |
10579564 | System on chip (SoC), mobile electronic device including the same, and method of operating the SoC | Yoo Jun Hee |
10579606 | Apparatus and method of data analytics in key-value solid state device (KVSSD) including data and analytics containers | Kanteti Kumar |
10579726 | Method and device for generating natural language expression by using framework | Lee Jae Yong |
10579771 | Integrated circuit including standard cells overlapping each other and method of generating layout of the integrated circuit | Do Jung-ho |
10579826 | Method of transmitting anonymous message and message transmission system using the same | Rhim Eun-hee |
10579847 | Electronic device including fingerprint sensor and operating method thereof | Cho Gyu Sang |
10579860 | Learning model for salient facial region detection | Wang Xiaolong |
10579865 | Facial verification method and apparatus | Yoo Byungin |
10579870 | Operating method for function of iris recognition and electronic device supporting the same | Jeong Su Ryong |
10579872 | Method and apparatus with iris region extraction | Kim Jihye |
10579902 | Method and electronic device for providing text-related image | Lim Yusun |
10580118 | Display driver and mobile electronic device | Cho Hwa Hyun |
10580193 | Method and apparatus for rendering using locations and sizes of primitives | Havran Vlastimil |
10580336 | Display driver device | Jang Minhwa |
10580425 | Determining weighting functions for line spectral frequency coefficients | Sung Ho Sang |
10580462 | Memory device, memory system and electronic device | Kim Chankyung |
10580469 | Semiconductor devices | Kim Sungwoo |
10580486 | Method of reading data about memory device, method of controlling memory controller, and storage device including memory device and memory controller | Kim Ji-su |
10580488 | Memory device for generating a compensation current based on a difference between a first read voltage and a second read voltage and a method of operating the same | Lim Chea Ouk |
10580617 | Method and apparatus for plasma etching | Park Kijong |
10580702 | Semiconductor device | Min Sunki |
10580719 | Semiconductor memory device providing analysis and correcting of soft data fail in stacked chips | Kang Donghun |
10580726 | Semiconductor devices and semiconductor packages including the same, and methods of manufacturing the semiconductor devices | Chun Jin-Ho |
10580728 | Fan-out semiconductor package | Kim Sung Han |
10580733 | Integrated circuit having heterogeneous source/drain and gate contacts | Kim Tae-hyung |
10580736 | Semiconductor device including conductive structure having nucleation structure and method of forming the same | Kim Tae Yeol |
10580742 | Wafer level fan-out package and method of manufacturing the same | Zhang Peng |
10580759 | Fan-out semiconductor package | Jo Eun Jung |
10580812 | Fan-out sensor package and camera module including the same | Jeong Tae Sung |
10580876 | Integrated circuit devices | Ahn Jun-hyeok |
10580891 | Semiconductor device and method for fabricating the same | Kim Sung-Soo |
10580939 | Semiconductor light-emitting device including a reflector layer having a multi-layered structure | Kim JungSung |
10580965 | Magnetic memory device | Bak Junghoon |
10580979 | Memory device and method of manufacturing the same | Park Il-mok |
10580997 | Condensed cyclic compound and organic light-emitting device including the same | Son Jhunmo |
10581000 | Condensed cyclic compound and organic light-emitting device including the same | Jeon Soonok |
10581013 | Display module and display apparatus having the same | Paek Byung Joo |
10581072 | Anode active material and a lithium secondary battery including the same | Jung Hee-chul |
10581074 | Method of fabricating cathode active material of lithium secondary battery | Son Youhwan |
10581080 | Cathode and lithium battery including the same | Lee Yonggun |
10581116 | Electrolyte membrane for energy storage device, energy storage device including the same, and method of preparing the electrolyte membrane for energy storage device | Choi Hongsoo |
10581140 | Antenna module having metal frame antenna segment and electronic device including the same | Lee Young-Ki |
10581169 | Antenna device and electronic device including the same | Kim Sung-Soo |
10581256 | Control method and electronic device based on battery leakage state | Jung Ku-Chul |
10581265 | Voltage generating method and apparatus | Jung Seungchul |
10581278 | Wireless power transceiver and display apparatus with the same | Choi Young-ho |
10581284 | Wireless charger and wireless power receiver | Khripkov Alexander |
10581410 | High speed domino-based flip flop | Berzins Matthew |
10581418 | System and method for fast converging reference clock duty cycle correction for digital to time converter (DTC)-based analog fractional-N phase-locked loop (PLL) | Wu Wanghua |
10581459 | Transmitter and shortening method thereof | Myung Se-ho |
10581465 | Special node (constituent code) processing for fast/simplified polar successive cancellation list (SCL) decoder | Lin Hsien-Ping |
10581535 | Method for providing chip-to-chip wireless communication and electronic device thereof | Rieh Jae-Sung |
10581573 | Apparatus, terminal and signal transmitting and receiving method thereof in a wireless communication system | Choi Chanho |
10581649 | Apparatus for supporting a plurality of wireless communication systems and operating method of the same | Yang Hayoung |
10581651 | Apparatus and method for transmitting/receiving signal in multi-carrier system | Kim Kyeong-Yeon |
10581833 | Electronic device and method for processing secure information | Kim Yu Seung |
10581870 | Proximity-based device authentication | Shen Yilin |
10581933 | Method and system for sharing an output device between multimedia devices to transmit and receive data | Verma Sagar Kumar |
10581951 | Method and apparatus for downloading a file | Lee Sangjeong |
10582011 | Application cards based on contextual data | Singh Brahm Kiran |
10582025 | Efficient signaling and addressing in wireless local area network systems | Josiam Kaushik M. |
10582033 | Method of providing information and mobile telecommunication terminal thereof | You Yong Ho |
10582156 | Electronic device for performing video call and computer-readable recording medium | Kim Soo-Yeon |
10582179 | Method and apparatus for processing binocular disparity image | Zou Dongqing |
10582192 | Display apparatus | Lee Jinho |
10582194 | Method and apparatus for encoding video and method and apparatus for decoding video by considering skip and split order | Kim Il-koo |
10582257 | Server, image display apparatus, and method of operating the image display apparatus | Lee Dong-gu |
10582287 | Electronic device including cover having open/close structure using magnetic force | Song Hyun-Do |
10582388 | Electronic apparatus and method of executing application program | Kim Joo-Hyun |
10582390 | Method and apparatus for cooperative communication in wireless communication system | Jeon Joseph |
10582403 | Communication method and apparatus in wireless communication system | Kim Soenghun |
10582428 | Method and apparatus for performing a handover in a wireless communication system | Xu Lixiang |
10582435 | Method and system for handling wireless communication in voice over wireless fidelity system | Srivastava Sandesh Kumar |
10582457 | Wireless communication device for controlling transmission power based on remaining power level in preceding time period | Kim Joo-han |
10582476 | Search process for physical downlink control channels in a communication system | Papasakellariou Aris |
10582480 | Method and apparatus of operating multiple time alignment timers in mobile communication system supporting carrier aggregation | Jang Jaehyuk |
10582486 | Method and apparatus for control resource set configuration for common control | Nam Young-Han |
10582505 | Method and apparatus for executing an application automatically according to the approach of wireless device | Lee Sung-Min |
10582546 | Method and device for configuring connection of wireless communication system | Shin Bong-Jhin |
10582548 | Network hub management method and device in wireless communication system | Kwag Heuisam |
10582624 | Display apparatus | Kim Byoung Jung |
10582644 | Solid state drive device and computer server system including the same | Hur Sung Chul |
10582827 | Dishwasher | Yoo Seung Wan |
10582855 | Compact spectrometer system for non-invasive measurement of absorption and transmission spectra in biological tissue samples | Anikanov Alexey Grigor'evich |
10583018 | Method of identifying parameter of characteristic of muscle, and walking assistance apparatuses and method based on the method | Hyung Seungyong |
10583025 | Wearing module and motion assistance apparatus including the same | Kim Jeonghun |
10583062 | Joint assembly and motion assistance device comprising the joint assembly | Shim Youngbo |
10583384 | Air cleaner and home appliance having air processing unit | Chu Euy Sung |
10584043 | Capacitive deionization apparatus and methods of treating fluid using the same | Jeong Joon Seon |
10584436 | Washing machine and method for controlling same | Lee Seung Hun |
10585035 | Spectrometer, method of controlling output gain of spectrometer, and apparatus and method for measuring bio-information | Shim Jae Wook |
10585115 | Scanning probe inspector | Oh Duck Mahn |
10585226 | Light conversion device, manufacturing method thereof, light source module including light conversion device and backlight unit including the same | Cho Oul |
10585228 | Quantum dots, production methods thereof, and electronic devices including the same | Kim Tae Hyung |
10585231 | Directional backlight unit and image display apparatus including the same | Kim Hyunjoon |
10585254 | Vertical optical via and method of fabrication | Carothers Daniel N. |
10585286 | System and method for displaying real or virtual scene | Kolchin Konstantin Viktorovich |
10585330 | Liquid crystal light deflector and holographic display having the liquid crystal light deflector | Kim Young |
10585453 | Electronic device and method for communicating with external electronic device | Jung Bu-Seop |
10585458 | Foldable device | Park Jin-hyoung |
10585467 | Electronic device for charging battery by using terminal exposed to outside and operation method thereof | Moon Jung-Pil |
10585484 | Apparatus, system, and method for transferring data from a terminal to an electromyography (EMG) device | Clausen William Stryker |
10585498 | Apparatus and method for identifying object | Lee Joo-Hoon |
10585499 | Device and method of detecting pointer | Lee Kyung-hoon |
10585513 | Electronic device including force sensor integrated with display | Park Junghoon |
10585553 | Display device and method of controlling the same | Kim Young-Jin |
10585569 | Method for displaying object on device and device therefor | Kim Yo-han |
10585586 | Electronic apparatus, method for controlling thereof and the computer-readable recording medium | Pham Van Tien |
10585630 | Selectorless 3D stackable memory | Rakshit Titash |
10585636 | Mobile device and method for operating mobile device | Nah Hyun-soo |
10585677 | System and method for identifying, indexing, and navigating to deep states of mobile applications | Desineni Kalyan |
10585709 | Job scheduling optimization based on ratio of stall to active cycles | Seo Woong |
10585749 | System and method for distributed erasure coding | Kachare Ramdas P. |
10585783 | Integrated circuit and application processor | Woo Hyung-Il |
10585819 | SSD architecture for FPGA based acceleration | Kachare Ramdas P. |
10585822 | Operation method of host system including storage device and operation method of storage device controller | Lee Chul-Woo |
10585843 | SSD architecture for FPGA based acceleration | Kachare Ramdas P. |
10585935 | Electronic device and method for producing video content by using the same | Seo Hyeongchan |
10586043 | System and method for detecting malicious software in NVME over fabrics devices | Olarig Sompong Paul |
10586063 | Method and apparatus for storing file by using a plurality of cloud storages | Chang Kyung-ah |
10586094 | Apparatus and method for recognizing fingerprint | Kim Jungwoo |
10586276 | Method and device for combining an avatar image with a portion of a user's image | Choi Sun |
10586365 | Server, user terminal, and service providing method, and control method thereof | Chang Woo-Yong |
10586367 | Interactive cinemagrams | Ravindran Sourabh |
10586390 | Virtual reality electronic device for displaying combined graphic object and image frame and corresponding computer-readable recording medium | Han Mansu |
10586554 | Display apparatus, voice acquiring apparatus and voice recognition method thereof | Jang Jong-hyuk |
10586575 | Interface circuit for multi rank memory | Chae Kwanyeob |
10586584 | Semiconductor semiconductor memory devices, memory systems and methods of operating memory devices | Cha Sang-Uhn |
10586709 | Methods of fabricating semiconductor devices | Ko Young-Min |
10586738 | Method of providing source and drain doping for CMOS architecture including FinFET and semiconductor devices so formed | Wang Wei-E |
10586748 | Printed circuit board and semiconductor package | Park Soo-Jae |
10586766 | Integrated circuit devices including a vertical memory device | Jung Young-jin |
10586775 | Memory packages and related semiconductor packages | Kim Dong-Uk |
10586797 | Vertical memory device and method of fabricating the same | Kim Kyoung-hoon |
10586798 | Semiconductor device and method of manufacturing the same | Kim Jin-A |
10586808 | Semiconductor device including a stack having a sidewall with recessed and protruding portions | Son Byoungkeun |
10586809 | Integrated circuit including complex logic cell | Kang Ju-Hyun |
10586824 | Image sensor | Kim Changhwa |
10586852 | Semiconductor device | Lee Jung Han |
10586893 | Light emitting diode having decreased effective area of active layer, and manufacturing method thereof | Kang Jin-mo |
10586978 | Negative electrode active material for secondary battery, conductive composition for secondary battery, negative electrode material comprising same, negative electrode structure and secondary battery comprising same, and method for manufacturing same | Kawakami Soichiro |
10586980 | Composite cathode active material, method of preparing the composite cathode active material, and cathode and lithium battery each including the composite cathode active material | Mun Jun-young |
10587032 | Electronic device and antenna device thereof | Lee Woosup |
10587095 | Vertical cavity surface emitting laser including meta structure reflector and optical device including the vertical cavity surface emitting laser | Na Byunghoon |
10587123 | Wireless power transmitter for excluding cross-connected wireless power receiver and method for controlling the same | Kwon Hyuk-Choon |
10587139 | Method and apparatus for controlling battery | Oh Duk Jin |
10587207 | Triboelectric generator using surface plasmon resonance | Jung Alum |
10587246 | Semiconductor circuit and method of operating the circuit | Kim Min-Su |
10587249 | Master-slave flip flop | Kim Min Su |
10587256 | Operation method of signal receiver, pulse width controller, and electronic device including the same | Lee Soomin |
10587265 | Semiconductor device and semiconductor system | Shon Yoo Seok |
10587357 | Transmitting apparatus and mapping method thereof | Myung Se-ho |
10587566 | Data transmission method and electronic device for supporting the same | Kim Sung Eun |
10587743 | Electronic device control system, and method for operating electronic device control system | Zhao Shidong |
10587745 | Method and apparatus for providing content | Kim Young-jae |
10587776 | Electronic device and method for controlling the electronic device | Kang Seong-min |
10587789 | Image sensor simultaneously generating image and proximity signals | Choi Jaehyuk |
10587799 | Electronic apparatus and method for controlling electronic apparatus thereof | Jung Jae-il |
10587815 | Image capturing device and method of driving the same | Song Il Seuk |
10587817 | Image processing method and electronic device implementing the same | Kim Dongsoo |
10587832 | Analog to digital converting circuit and an operation method thereof | Kim Yunhong |
10587869 | Image display apparatus and operating method thereof | Yoon Hyun-don |
10587903 | Display apparatus and method of displaying content | Park Han-jin |
10588006 | Method and device for controlling target device of host and client | Ko Chang-Gun |
10588079 | Apparatus and method for managing hot cell devices | Wang Hong |
10588080 | Digital signal processing device of base station and method for processing data thereof | Choi Yonghae |
10588085 | Method and device for providing a service for a terminal in a wireless communication system | Kim Sangbum |
10588193 | LED module and lighting apparatus | Lee Bong Jin |
10588209 | Mobile X-ray apparatus and method of operating the same | Kim Myeong-je |
10588226 | Electronic device including thin housing, and manufacturing method therefor | Baek Seung Chang |
10588472 | Vacuum cleaner | Choi Ji Won |
10588602 | Portable ultrasound apparatus and control method for the same | Kim Bae Hyung |
10588603 | Ultrasound apparatus and information providing method of the ultrasound apparatus | Yang Eun-ho |
10590340 | Quantum dots, a composition or composite including the same, and an electronic device including the same | Jang Hyo Sook |
10590583 | Washing and drying machine | Kitayama Naoki |
10590586 | Washing machine having an improved coupling structure | Lee Jin Ho |
10590590 | Washing machine and method of manufacturing door thereof | Lee Sang Bin |
10591170 | Air conditioner | Lee Kyeong Ae |
10591203 | Refrigerator | Kang Byeong Kook |
10591204 | Refrigerator | Seo Jun Won |
10591324 | Electronic device and hardware diagnosis result-based process execution method thereof | Jang Minsuk |
10591362 | Temperature sensor embedded in processor, method of operating the same, and devices including the same | Cho Sang Hyun |
10591543 | Test apparatus for semiconductor device and method of manufacturing semiconductor device | Yun Joo-sung |
10591589 | Apparatus and method for measuring wireless range | Han Kwang-Hoon |
10591597 | Ultrasound imaging apparatus and method for controlling the same | Kim Kyuhong |
10591761 | Flexible plastic substrate and display device including same | Jee Sang Soo |
10592062 | Control apparatus | Jeon Ji Hyun |
10592071 | Multi-display system, electronic device, and content output method | Park Sung Su |
10592081 | Multi-language input method and multi-language input apparatus using the same | Lee Gyoung Ryoul |
10592099 | Device and method of controlling the device | Gdala Tomasz |
10592114 | Coordinated in-module RAS features for synchronous DDR compatible memory | Chang Mu-Tien |
10592121 | Quasi-synchronous protocol for large bandwidth memory systems | Malladi Krishna T. |
10592130 | Computing systems including storage devices controlled by hosts | Ma Jin-Hee |
10592171 | Multi-stream SSD QoS management | Huen Hingkwan |
10592192 | Display apparatus configuring multi display system and method for controlling the same | Kim Dong-jin |
10592212 | System and method for software development based on procedures | Lee Woo-Yong |
10592301 | Method and apparatus for device-specific communication between application programs | Ryu Young-Sun |
10592303 | Method and apparatus for device-specific communication between application programs | Ryu Young-Sun |
10592443 | SSD architecture for FPGA based acceleration | Kachare Ramdas P. |
10592454 | System-on-chip, mobile terminal, and method for operating the system-on-chip | Kim Jae-Youl |
10592463 | SSD architecture for FPGA based acceleration | Kachare Ramdas P. |
10592467 | Semiconductor memory device and method of operating a semiconductor device in a processor mode or a normal mode | Ryu Je Min |
10592714 | Projection apparatus and operation method thereof | Jung Kil-soo |
10592729 | Face detection method and apparatus | Feng Hao |
10592759 | Object recognition apparatus and control method therefor | Kim Byoung-hyun |
10592803 | Neuromorphic chip and method and apparatus for detecting spike event | Kim Jun Seok |
10592893 | Electronic device including coil | Lee Hee-Dong |
10593019 | Method and apparatus for storing, processing and reconstructing full resolution image out of sub band encoded images | Jain Anurag Mithalal |
10593028 | Method and apparatus for view-dependent tone mapping of virtual reality images | Najaf-Zadeh Hossein |
10593032 | Defect inspection method and defect inspection apparatus | Ryu Sung-Yoon |
10593096 | Graphics processing employing cube map texturing | Kang Seok |
10593113 | Device and method to display object with visual effect | Lee KeeChang |
10593251 | Display panel and driving method of display panel | Shigeta Tetsuya |
10593266 | Display driving circuit and display device including the same | Cheon Seong Min |
10593327 | Voice recognition system, server, display apparatus and control methods thereof | Chae Ji-eun |
10593347 | Method and device for removing noise using neural network model | Baek Soon Ho |
10593382 | Memory systems and devices that support clock-to-clock alignment, training and methods of operating same | Kang Dong-Seok |
10593387 | Semiconductor memory device and detection clock pattern generating method thereof | Doo Su Yeon |
10593393 | Semiconductor memory device | Shin JoongShik |
10593402 | Nonvolatile memory device and operating method thereof | Pyo Suk-Soo |
10593408 | Nonvolatile memory device | Park June-Hong |
10593462 | Inductor device | Han Yun-Hui |
10593557 | Methods of manufacturing a semiconductor device | Choi Kyung-In |
10593596 | Semiconductor device, method of fabricating the same, and patterning method | Han Dongwoo |
10593597 | Methods of fabricating semiconductor devices including differing barrier layer structures | Cho Namgyu |
10593648 | Heart transfer label structure | Kim Ilsoo |
10593650 | Method and device for controlling operation using temperature deviation in multi-chip package | Park Min-Sang |
10593652 | Stacked semiconductor packages | Kwon Heung-Kyu |
10593670 | Methods of manufacturing integrated circuit devices having a fin-type active region | Song Jae-yeol |
10593671 | Integrated circuit device and method of manufacturing same | Bae Deok-Han |
10593689 | Methods for fabricating a semiconductor device and semiconductor devices fabricated by the same | Song Min-Sung |
10593701 | Semiconductor device including a gate pitch and an interconnection line pitch and a method for manufacturing the same | Seo Jae-Woo |
10593719 | Optical sensors including a light-impeding pattern | Park Jonghoon |
10593801 | Semiconductor devices and methods of fabricating the same | Lee Tae-Jong |
10593874 | Variable resistance memory devices and methods of manufacturing the same | Sim Kyu-Rie |
10593891 | Condensed cyclic compound and organic light-emitting device including the same | Chung Yeonsook |
10593935 | Positive active material including a shell including a metalcation, manufacturing method thereof, and positive electrode and lithium battery including the positive active material | Ahn Sungjin |
10593944 | Co-precipitation reactor and method of manufacturing material precursor for secondary battery using the same | Son Youhwan |
10593950 | Positive electrode for metal air battery, metal air battery including the same, and method of preparing the positive electrode for metal air battery | Lee Hyunpyo |
10594020 | Electronic device having antenna element and method for manufacturing the same | Min Young-hoon |
10594023 | Electronic device including conductive member electrically coupled to opening of bracket for adjusting resonance generated from the opening | Jeon Jaewoong |
10594026 | Antenna device and electronic device including the same | Choi Sanghoon |
10594161 | Magnetic field adjusting three-dimensional flexible resonator for wireless power transmission system | Park Jae Hyun |
10594278 | Pole-splitting and feedforward capacitors in common mode feedback of fully differential amplifier | Tripathi Vaibhav |
10594289 | Apparatus and method for controlling phase of signal | Vilenskiy Artem Rudolfovitch |
10594296 | Multi resonator system | Rhee Choongho |
10594344 | Antenna device and electronic device including same | Son Gunhee |
10594350 | Method of recognizing flip cover of electronic device, electronic device, and flip cover | Son Je-Hyun |
10594453 | Method and apparatus for transmitting and receiving preamble based reference signal | Sun Pengfei |
10594715 | Apparatus for detecting anomaly and operating method for the same | Fadlil Junaidillah |
10594797 | Method and apparatus for controlling peripheral device | Kang Hyeonjin |
10594832 | Method and device for establishing relay connections in D2D broadcast communication-based network | Zhang Shichang |
10594847 | Electronic device having curved window | Lee Yongseok |
10594924 | Electronic device and computer-readable recording medium for displaying images | Kang Dong-Goo |
10595004 | Electronic device for generating 360-degree three-dimensional image and method therefor | Kim Do-wan |
10595011 | Method and apparatus for configuring screen for virtual reality | Han Woo-Jung |
10595047 | Wireless display subsystem and system-on-chip | Roh Jong-Ho |
10595050 | Method and apparatus for post-processing intra or inter prediction block on basis of gradient of pixel | Jin Bo-ra |
10595065 | Method and apparatus for transmitting and receiving multi-media services | Hwang Sung-Oh |
10595080 | Method and apparatus for transmitting and receiving signal in multimedia system | Yang Hyun-Koo |
10595085 | Display apparatus, server, system and information-providing methods thereof | Park Dong-min |
10595108 | Speaker apparatus and electronic apparatus including same | Kim Sung-joo |
10595224 | Method and apparatus for transmitting and receiving status report comprising received status of packet data in a mobile communication system | Kim Soeng-Hun |
10595231 | Electronic device and method for controlling the electronic device for joint transmission thereof | Lee Min-gyu |
10595243 | Inter-MeNB handover method and device in a small cell system | Xu Lixiang |
10595248 | Method and device for identifying pseudo-base station by a mobile terminal | Han Shu |
10595268 | Network slice-available area information acquisition method | Lee Hoyeon |
10595271 | Method, apparatus, and system for terminal identification and paging signal transmission for terminal in power saving state | Jung Byounghoon |
10595283 | Method and apparatus for transmitting and receiving data of terminal | Kim Youngbum |
10595286 | Method and device for controlling transmission power of terminal in D2D communication | Ryu Hyunseok |
10595289 | Method and apparatus for detecting synchronization signal in wireless communication system | Kim Donghan |
10595294 | Method for supporting efficient PDU session activation and deactivation in cellular networks | Park Jungshin |
10595304 | Apparatus and method for performing random access in beam-formed system | Agiwal Anil |
10595305 | Method and apparatus for transmitting/receiving control information in a wireless communication system | Kwon Hwan-Joon |
10595312 | Transmission and reception method and apparatus for reducing transmission time interval in wireless cellular communication system | Yeo Jeongho |
10595330 | Method and apparatus for scheduling coordinated transmission in wireless communication system | Jeon Joseph |
10595351 | Technique for supporting initial setup between connection request device and connection acceptance device | Lee Soo-Yong |
10595743 | Wrist-wearable body composition measuring device and body composition measuring method using the same | Lee Yeolho |
10595757 | Apparatus and method for measuring biological component | Lee Joon Hyung |
10595783 | Electronic device for measuring information regarding human body and operating method thereof | Park Sang-Bae |
10595786 | Confidence indicator for physiological measurements using a wearable sensor platform | Penders Julien |
10595809 | Medical imaging apparatus and method of controlling the same | Mim Baeg Gi |
10595810 | Medical imaging apparatus and method of scanning thereof | Bae Geun-tae |
10595824 | Image processing apparatus, ultrasonic imaging apparatus, and imaging processing method for the same | Kim Yun Tae |
10595827 | Ultrasound apparatus and information providing method of the ultrasound apparatus | Yang Eun-ho |
10595828 | Probe, ultrasound imaging apparatus and controlling method of the ultrasound imaging apparatus | Liberman Alexander |
10596013 | Walking assistance apparatus and method of controlling the walking assistance apparatus | Seo Keehong |
10596060 | Frame assembly and motion assistance apparatus including the same | Lee Youn Baek |
10596799 | Sensing device capable of detecting hardness, mobile device having the same, and three-dimensional printing apparatus using the same | Kim Dong-ki |
10597403 | Condensed cyclic compound, composition including the condensed cyclic compound, organic light-emitting device including the condensed cyclic compound, and method of manufacturing the organic light-emitting device | Kato Fumiaki |
10597580 | Quantum dots, production methods thereof, and electronic devices including the same | Min Jihyun |
10598425 | Lifting apparatus and refrigerator having the same | Choi Jin Seung |
10598735 | Method and apparatus for estimating battery life corresponding to characteristic of usage based on pattern information | Lee Sun-Jae |
10598752 | Magnetic resonance imaging (MRI) apparatus and method of obtaining magnetic resonance image | Jeong Jin-hee |
10598784 | Home appliance, network connection system for home appliance and network connection method of home appliance | Yang Hee Kyung |
10598890 | Lens assembly and electronic device including the same | Kim Seung-Yong |
10598923 | Hybrid two-dimensional (2D) scanner system and method of operating the same | Jeong Byunggil |
10599019 | Electronic device having heat dissipation structure of camera | Kim Seongeun |
10599046 | Method, a non-transitory computer-readable medium, and/or an apparatus for determining whether to order a mask structure | Kim Seongjong |
10599130 | Method and system for manufacturing an integrated circuit in consideration of a local layout effect | Kim Wootae |
10599186 | Flexible housing and electronic device including the same | Cho Chang Sin |
10599210 | Processor, computing device including the same and method of selecting low power mode of the same | Park Jong-lae |
10599219 | Method of providing a haptic effect and electronic device supporting the same | Choi Hyunsuk |
10599306 | Electronic device and method for operating notification bar thereof | Hussain Tanvir |
10599323 | Electronic apparatus and control method thereof | Choi Jin-wook |
10599336 | Method of displaying content and electronic device adapted to the same | Yook Hyungyoo |
10599341 | Storage device operating to prevent data loss when communicating is interrupted | Park Jeong-Woo |
10599349 | Method and apparatus of dynamic parallelism for controlling power consumption of SSDs | Choi Inseok Stephen |
10599352 | Online flash resource allocation manager based on a TCO model | Yang Zhengyu |
10599363 | Nonvolatile memory capable of outputting data using wraparound scheme, computing system having the same, and read method thereof | Kim Jinwoo |
10599380 | Method and system for automatically managing content in an electronic device | Sarkar Amit |
10599383 | Method and apparatus for visualizing music information | Na Hae-Ree |
10599410 | Method and electronic device for updating configuration settings | Kim Young Sik |
10599439 | Method and device for allocating a VLIW instruction based on slot information stored in a database by a calculation allocation instruction | Suh Dong-kwan |
10599467 | Computing systems and methods of operating computing systems | Kim Dong-Gun |
10599562 | Nonvolatile memory device configured to be accessed without block address and method of operating the same | Kwon Ohchul |
10599591 | Storage device for interfacing with host and method of operating the host and the storage device | Lee Young-Min |
10599781 | Apparatus and method for evaluating quality of automatic translation and for constructing distributed representation model | Na Hwidong |
10599784 | Automated interpretation method and apparatus, and machine translation method | Yoo Sang Hyun |
10599904 | Electronic device for measuring biometric information and method of operating same | Kwon Soon-Hwan |
10599908 | Apparatus for reducing noise input to fingerprint sensor | Jung Dae Kwang |
10599930 | Method and apparatus of detecting object of interest | Lee Wonju |
10599974 | System and method for information highways in a hybrid feedforward-recurrent deep network | El-Khamy Mostafa |
10600145 | Image processor, for scaling image data in two directions. Computing system comprising same, and related method of operation | Yoon Sung-Chul |
10600197 | Electronic device and method for recognizing object by using plurality of sensors | Hong Hyun-seok |
10600330 | Method and apparatus for assessing cardiopulmonary fitness | Jang Dae-Geun |
10600346 | Display driving device | Kim Kyong Ho |
10600383 | Interpolation amplifier and source driver including the same | Song Yongjoo |
10600387 | Display apparatus and method for driving a backlight to prevent or reduce gradation overcompensation | Seong Hwa-seok |
10600405 | Speech signal processing method and speech signal processing apparatus | Kim Tae-yoon |
10600421 | Mobile terminal and control method thereof | Yang Do-Jun |
10600453 | Memory device | Lee Han Jun |
10600454 | Non-volatile memory device and storage device including the same | Jang Dong-su |
10600458 | Memory device and method of operating the same for latency control | Jeon Ju-Ho |
10600466 | Resistive memory device having reduced chip size and operation method thereof | Pyo Suk-Soo |
10600470 | Memory device and memory system performing a hammer refresh operation and associated operations | Bang Jong-Min |
10600487 | Methods of erasing data in nonvolatile memory devices and nonvolatile memory devices performing the same | Nam Sang-Wan |
10600488 | Non-volatile memory device including decoupling circuit | Song Jung-ho |
10600580 | Explosion-proof apparatus | Joo Sung Yong |
10600643 | Method of forming thin film and method of manufacturing integrated circuit device using the same | Park Gyu-hee |
10600645 | Manufacturing method of gallium nitride substrate | Kim Mi Hyun |
10600646 | Method of fabricating device including two-dimensional material | Park Tae-jin |
10600653 | Method for forming a fine pattern | Bai KeunHee |
10600679 | Fan-out semiconductor package | Kim Han |
10600702 | Test element group and semiconductor wafer including the same | Zhan Zhan |
10600706 | Semiconductor package including organic interposer | Lee Dong Hun |
10600729 | Semiconductor package | Cho Kyong Soon |
10600748 | Fan-out semiconductor package | Lee Doo Hwan |
10600789 | Micro-pattern forming method, capacitor and method of manufacturing the same, semiconductor device and method of manufacturing the same, and electronic system including semiconductor device | Ha Soon-mok |
10600791 | Semiconductor memory device | Kim Dong-Wan |
10600801 | Three-dimensional semiconductor memory devices | Lee Changhyun |
10600804 | Vertical memory devices | Kanamori Kohji |
10600805 | Vertical memory devices with common source including alternately repeated portions having different widths | Park Joo-Hee |
10600806 | Semiconductor device and method for fabricating the same | Kim Sung Gil |
10600892 | Integrated ferroelectric capacitor/ field effect transistor structure | Ando Takashi |
10600913 | Semiconductor device and method for fabricating the same | Chung Won Keun |
10600973 | Synthetic method of fused heteroaromatic compound and fused heteroaromatic compound, and intermediate thereof | Miyazaki Eigo |
10601085 | Apparatus and method for estimating temperature of battery, and apparatus and method for managing battery | Lim Ju Wan |
10601111 | Beam forming auxiliary unit for antenna and terminal including the same | Ko Seungtae |
10601113 | Electronic device comprising antenna | Bae Hong Pyo |
10601133 | Electronic device having loop antenna | Lee Woosup |
10601140 | Electromagnetic wave radiator | Baik Chanwook |
10601263 | Wireless charging apparatus and method | Park Sung-Bum |
10601555 | Multicarrier-based data transmission method and apparatus in mobile communication system | Kim Soenghun |
10601564 | Channel state information transmission/reception method and apparatus for use in wireless communication system | Kim Younsun |
10601709 | Method and device for hosting application by access node | Kang Seong-Ryong |
10601791 | Security key generation and management method of PDCP distributed structure for supporting dual connectivity | Ryoo Sun-Heui |
10601792 | Security key generation and management method of PDCP distributed structure for supporting dual connectivity | Ryoo Sun-Heui |
10601976 | Executing applications in conjunction with other devices | Heo Chang-Ryong |
10601979 | Method and apparatus for managing application data usage | Arif Tasleem |
10602001 | Method for identifying data usage and electronic device implementing the same | Moon Soohyun |
10602076 | Method for combining and providing image, obtained through a camera, electronic device, and storage medium | Lee Woo-Yong |
10602086 | Methods of operating image sensors | Chang Seung-Hyuk |
10602089 | Method of acquiring information about contents, image display apparatus using the method, and server system for providing information about contents | Park Gyu-tae |
10602120 | Method and apparatus for transmitting image data, and method and apparatus for generating 3D image | Cho Yang Ho |
10602171 | Video decoders and electronic systems including the same | Lee Kyung-Koo |
10602172 | Image encoding and decoding apparatus and method | Kim Hyun Mun |
10602179 | Video encoding device and video decoding device using high-precision skip encoding and method thereof | Jeong Seung-soo |
10602188 | Method and apparatus for encoding or decoding image using syntax signaling for adaptive weight prediction | Alshin Alexander |
10602230 | Apparatus and method for controlling media output level | Kang Woo Seok |
10602249 | Electronic device conduit structure and electronic device including same | Jung Minsu |
10602261 | Directional microphone | Kang Sungchan |
10602304 | Registration management method for terminal accessing 5G network on non-3GPP access | Kim Sunghoon |
10602311 | Apparatus and method for estimating location in a wireless communication system | Choi Seung-Won |
10602336 | Method for providing service using near field communication and electronic device for supporting the same | Yang Yi |
10602382 | Radio link failure processing method and apparatus therefor | Hwang June |
10602415 | Method of processing anchor user plane function (UPF) for local offloading in 5G cellular network | Bae Beomsik |
10602420 | Apparatus and method for providing handover support information in mobile communication system | Min Chan-Ho |
10602432 | Method and apparatus for searching networks | Kim Hye Jeong |
10602435 | Method of performing cell selection and re-selection using PMAX parameters and system adapted thereto | Kim Soenghun |
10602439 | Method for WiFi association and electronic device thereof | Park Youn-Ho |
10602443 | Method and device for saving power consumption of electronic device in machine type communication technology | Kim Sangbum |
10602472 | Method and system for regional data network configuration in wireless communication network | Lee Jicheol |
10602479 | System and method of paging in next generation wireless communication system | Agiwal Anil |
10602487 | HARQ-ACK signal transmission in response to detection of control channel type in case of multiple control channel types | Papasakellariou Aris |
10602492 | Method and apparatus of configuring downlink timing and transmitting random access response in mobile communication system using carrier aggregation | Jang Jaehyuk |
10602514 | Display apparatus and method of setting operating channel of the same | Kim Jinho |
10602516 | Method and apparatus of data transmission in next generation cellular networks | Xue Peng |
10602518 | Method and apparatus for transmitting reference signal in wireless communication system | Yoo Hyunil |
10602544 | Method and apparatus for determining carrier sense threshold in wireless communication system | Chang Sang-Hyun |
10602559 | Method for establishing connection between devices | Huh Mi-suk |
10602563 | Method and apparatus for supporting RLC UM mode operation in next generation mobile communication system | Kim Donggun |
10602610 | Printed circuit board and electronic device with the same | Kim Man Ho |
10602627 | Key device of electronic device | Lee Sungho |
10602908 | Dish washing machine | Hong Seung Gee |
10603015 | Ultrasonic apparatus and method for controlling the same | Choi Jae Young |
10603585 | Display apparatus and display bending method thereof | Choi Myoung-sang |
10604070 | Method and apparatus for informing pedestrian and occupant of vehicle of each other's approach | Seo YoungWan |
10605460 | Cooking apparatus | Moon Seong Cheol |
10605604 | Method and apparatus for calculating rotation angle of device | Lee Han-sung |
10605658 | Spectrometer | Yoon Youngzoon |
10605672 | Semiconductor device having temperature circuit that sets temperature ranges and is disabled upon power supply transition | Walker Darryl G. |
10605901 | Beam steering device and optical apparatus including the same | Lee Eunkyung |
10605922 | High resolution, high frame rate, low power image sensor | Deane Peter |
10605968 | Imaging system | Piskunov Dmitriy Evgenievich |
10606118 | Display apparatus and method of controlling the same | Sohn Sang Hyun |
10606217 | Phase locked loop circuits, clock signal generators comprising digital-to-time convert circuits, operating methods thereof and wireless communication devices | Kim Shin-woong |
10606226 | Method for controlling an external device and an electronic device therefor | Chun Jae-Woong |
10606350 | Deformable display device and image display method using same | Fisunenko Andriy |
10606398 | Method and apparatus for generating preview data | Kim Sung-Wang |
10606406 | Electronic device and operation method thereof | Park Sung-Chul |
10606440 | Image display apparatus and method of displaying and changing attributes of highlighted items | Lee Jin-ha |
10606456 | Method to provide user interface to display menu related to image to be photographed, and photographing apparatus applying the same | Shin Chang-beom |
10606460 | Electronic device and control method therefor | Bae Jong-Kon |
10606511 | Nonvolatile memory modules and electronic devices having the same | Lee Kwang-Jin |
10606544 | Display apparatus and method for controlling of display apparatus | Noh Dae-young |
10606594 | Method and apparatus for executing multi-thread using mask value | Lee Jin-seok |
10606602 | Electronic apparatus, processor and control method including a compiler scheduling instructions to reduce unused input ports | Lee Yeon-bok |
10606752 | Coordinated cache management policy for an exclusive cache hierarchy | Tian Yingying |
10606760 | Nonvolatile memory devices and methods of controlling the same | Erez Elona |
10606767 | Ethernet-attached SSD for automotive applications | Olarig Sompong Paul |
10606947 | Speech recognition apparatus and method | Lee Ji Hyun |
10606966 | Method and apparatus for modeling deformable body including particles | Kim Jiyeon |
10607057 | Electronic device including biometric sensor | Kwon Oh-Hyuck |
10607060 | Electronic device an operating method thereof | Lee Chaekyung |
10607109 | Method and apparatus to perform material recognition and training for material recognition | Sagong Donghoon |
10607226 | System and method for fraud detection in a mobile device | Patel Kunal M. |
10607323 | Head-mounted electronic device | Han Soon-Seob |
10607340 | Remote image transmission system, display apparatus, and guide displaying method thereof | Kim Min-soeng |
10607597 | Speech signal recognition system and method | Mun Minyoung |
10607603 | Speech recognition apparatus and method with acoustic modelling | Song In Chul |
10607605 | Apparatus and method for processing control command based on voice agent, and agent device | Jeon Joo Hyuk |
10607622 | Device and method for processing internal channel for low complexity format conversion | Kim Sun-min |
10607660 | Nonvolatile memory device and operating method of the same | Kim Young-Hwa |
10607672 | Storage device and operating method of storage device | Shin Soong-Man |
10607683 | Semiconductor memory device capable of performing a hammer refresh operation while performing a normal refresh operation and memory system having the same | Shin Hoon |
10607705 | Memory device including a deterioration level detection circuit | Lee Han Jun |
10607708 | Operation method of nonvolatile memory device and storage device | Oh Eun Chu |
10607820 | Monitoring units, plasma treatment devices including the same, and methods of fabricating semiconductor devices using the same | Yoon Junho |
10607832 | Method and apparatus for forming a thin layer | Lee Junyeong |
10607855 | Method for fabricating semiconductor device using a hybrid mask pattern | Yoon Jun Ho |
10607877 | Chip mounting apparatus and method using the same | Lee Jin Sub |
10607905 | Package substrate for a semiconductor package having landing pads extending toward a through-hole in a chip mounting region | Ki Baek |
10607914 | Semiconductor package | Lim Jae Hyun |
10607939 | Semiconductor packages and display devices including the same | Min Ji Ah |
10607945 | Semiconductor package | Lee Suk Ho |
10607965 | Stacked semiconductor device, system including the same and method of transferring signals in the same | Lee Haesuk |
10607971 | Semiconductor package | Im Yun Hyeok |
10607982 | Layout connection isolation technique for improving immunity to jitter and voltage drop in a standard cell | Berzins Matthew |
10607997 | Semiconductor device | Kim Ye Ram |
10608026 | Image sensors | Go Jonghyun |
10608031 | Image sensor | Jeon Kyung Ah |
10608032 | CMOS image sensors | Yun Jung Bin |
10608033 | Image sensor and manufacturing method thereof | Lee Yun-Ki |
10608037 | Image sensor and electronic apparatus including the same | Lee Ji-Won |
10608067 | Top emission device and organic light-emitting diode display device | Kim Hee Kyung |
10608091 | Semiconductor device and method for manufacturing the same | Lim Tae-Wan |
10608173 | Ion beam apparatus with continuously changing parameter control | Lee Yil-hyung |
10608176 | Memory device and method of fabricating the same | Terai Masayuki |
10608306 | Metal air battery system and method of operating the same | Lee Heungchan |
10608314 | Connection member and electronic device including the same | Park Sung Won |
10608323 | Electronic device including multi-band antenna | Choi Sang Hoon |
10608324 | Electronic device comprising antenna | Lee Sang Ha |
10608329 | Electronic device including antenna device | Jung Jinwoo |
10608336 | Antenna device and electronic device comprising antenna | Chen Kuo Cheng |
10608339 | Wireless device with shared coil | Lee Jaechun |
10608573 | Method and apparatus for protecting circuit | Roh ChangHyun |
10608615 | Semiconductor device including retention reset flip-flop | Kim Jong Woo |
10608664 | Electronic apparatus for compression and decompression of data and compression method thereof | Lee Dongsoo |
10608702 | Near field communication device | Lee Young Joo |
10608706 | Electronic device and method for providing feedback path of transmission signal | Na Hyo-Seok |
10608771 | Apparatus and method for encoding and decoding using short-length block code in wireless communication system | Kim Jaeyoel |
10608799 | Apparatus and method for effective PTRS operation and indication in wireless communication system | Nam Hyungju |
10608837 | Control apparatus and method for controlling the same | Jeon Ji Hyun |
10608845 | Method for transmitting data and electronic device therefor | Kim Shin-Ho |
10608856 | Transmission of reference signals in a communication system | Papasakellariou Aris |
10608938 | Method and apparatus for controlling traffic quality | Lee Chulki |
10609007 | Method and apparatus for controlling transmission of content data | Choi Sang-su |
10609022 | Method and apparatus for installing profile for EUICC | Park Jonghan |
10609023 | Authentication method and apparatus using biometric information and context information | Kim Soenghun |
10609026 | Data communication method using secure element and electronic system adopting the same | Han Jerome |
10609106 | Interface apparatus and method for transmitting and receiving media data | Hwang Sung-Oh |
10609191 | Electronic device including glass | Kim Jung Hyun |
10609227 | Electronic device shooting image and method for displaying the image | Oh Hae Wook |
10609276 | Electronic device and method for controlling operation of camera-related application based on memory status of the electronic device thereof | Lee Wooyong |
10609305 | Electronic apparatus and operating method thereof | Park Yonghoon |
10609375 | Sample adaptive offset (SAO) adjustment method and apparatus and SAO adjustment determination method and apparatus | Alshina Elena |
10609377 | Image encoding method and device for sample value compensation and image decoding method and device for sample value compensation | Choi Ki-ho |
10609378 | Method and apparatus for determining reference picture set of image | Kim Il-koo |
10609388 | Method and apparatus for encoding and decoding image | Jung Young Beom |
10609412 | Method for supporting VR content display in communication system | Yip Eric |
10609444 | System and method for operating electronic device supporting enhanced data processing, apparatus and terminal supporting the same | Cho Hyungrae |
10609470 | Speaker device and audio output device including the same | Lee Ho-sun |
10609480 | Method and electronic device for executing function using a plurality of microphones | Lee Daegi |
10609549 | Apparatus and method for profile installation in communication system | Park Jonghan |
10609581 | Method and apparatus for setup of wireless communication | Lee Min-Gyu |
10609603 | Method for supporting indication of a failure event to a source access system | Xu Lixiang |
10609612 | Method and apparatus for providing congestion control for application in wireless communication system | Won Sung Hwan |
10609640 | Scheme for performing a data session via a Wi-Fi access in a wireless communication system | Pattan Basavaraj Jayawant |
10609676 | System and method of paging in next generation wireless communication system | Agiwal Anil |
10609687 | Duplex communication method, base station and terminal | Yu Bin |
10609695 | Method and apparatus for allocating resources for multiple users in wireless LAN system | Jung Sungkyu |
10609716 | Method and apparatus for providing feedback between base transceiver stations through cooperative communication in wireless communication system | Kim Sunghoon |
10609717 | Downlink transmission method and user terminal equipment | Li Yingyang |
10610155 | Spectrum acquisition apparatus and method | Kim Sangkyu |
10610178 | X-ray imaging apparatus, method of controlling the same, and x-ray imaging system | Park Jong Seo |
10610190 | Portable medical device and method of controlling portable medical device | Hsieh Jong-jyh |
10610441 | Joint assembly and motion assistance apparatus including the same | Choi Byungjune |
10610515 | Composition including indoprofen and use thereof | Cho Sungchun |
10611322 | System and method of reducing interruptions for vehicle to vehicle communication | Agiwal Anil |
10611336 | Method and device for sharing functions of smart key | Kim Young-jae |
10611937 | Composition for adhesion, stacked structure using the same, and electronic device using the same | Lee Minchul |
10612563 | Blower and air conditioner having the same | Sato Seiji |
10612789 | Oven | Park Sang Jun |
10612814 | Air conditioner | Lee Dong Yoon |
10612832 | Refrigerator with defrost operation control | Iwamoto Tomoharu |
10613032 | Spectroscopy apparatus, spectroscopy method, and bio-signal measuring apparatus | Ahn Sung Mo |
10613141 | Method of measuring clock jitter, clock jitter measurement circuit, and semiconductor devices including the same | Choo Kang-Yeop |
10613144 | Semiconductor device | Lee Suh Ho |
10613270 | Display apparatus | Jeon Jong Pil |
10613409 | Amplification waveguide device and amplification beam steering apparatus including the same | Lee Eunkyung |
10613432 | Mask treating apparatus | Jeong Yunsong |
10613556 | Energy management system and method | Imes Kevin R. |
10613571 | Compensation circuit for generating read/program/erase voltage | Sarkar Surojit |
10613585 | Transparent display apparatus, group play system using transparent display apparatus and performance methods thereof | Park Sang-young |
10613644 | Device for sharing content and method thereof | Nguyen Van Canh |
10613705 | Method and apparatus for managing application package installed in mobile communication terminal | Kang Hyeon-Jin |
10613712 | Electronic device and method of processing information in electronic device | Jwa Chang-Hyup |
10613718 | Screen display method for mobile terminal | Jin Sang Hoon |
10613724 | Control method for selecting and pasting content | Eun Dong Jin |
10613742 | Method of providing user interaction with a wearable device and wearable device thereof | Nagaraju Samudrala |
10613765 | Storage device, method for operating the same, and storage system including storage devices | Kim Byoung Geun |
10613782 | Data storage system, data storage method of the data storage system, and method of manufacturing solid-state | Kim Min Uk |
10613795 | Pre-processing system, method processing characteristic data, and memory control system using same | Oh Hyun Ko |
10613813 | Method for providing content information and electronic device therefor | Park Sangho |
10613845 | System and method for providing service via application | An Dong-hyeok |
10613871 | Computing system and method employing processing of operation corresponding to offloading instructions from host processor by memory's internal processor | Choi Yoonseo |
10613881 | Storage device, a host system including the storage device, and a map table updating method of the host system | Lee TaeHack |
10613910 | Virtual architecture generating apparatus and method, and runtime system, multi-core system and methods of operating runtime system and multi-core system | Son Min Young |
10613931 | Memory devices | Cha Jeong Yun |
10613956 | Terminal device, system, and method for processing sensor data stream | Yeo Hae-dong |
10613959 | Apparatus and method for controlling external device | Lee Do-Hyung |
10613975 | Method of dynamic garbage collection for a memory device based on valid page count (VPC), garbage collection speed, and maximum and minimum operating speeds | Jung Sang Won |
10614120 | Information search method and device and computer readable recording medium thereof | Jin Sun-mi |
10614142 | Personalized search results | Klotz Leigh |
10614170 | Method of translating speech signal and electronic device employing the same | Kim Sang-ha |
10614172 | Method, apparatus, and system for providing translated content | Shin Hyun-jae |
10614186 | Apparatus for predicting yield of semiconductor integrated circuit and method for manufacturing semiconductor device using the same | Kim Seong Ryeol |
10614202 | Electronic device | Song Kyunghoon |
10614279 | Apparatus and method for driving fingerprint sensing array provided in touchscreen, and driver integrated circuit for driving the touchscreen including the fingerprint sensing array | Kim Sun-kwon |
10614583 | Image processing apparatus and method | Ha Inwoo |
10614744 | Display panel and a driving module of the display panel | Kim Jeehwal |
10614748 | Operating module for display and operating method, and electronic device supporting the same | Bae Jong Kon |
10614776 | Electronic device and operation method thereof | Kang Hyunjoo |
10614868 | Memory device with strong polarization coupling | Kittl Jorge A. |
10614886 | Nonvolatile memory device and a method of programming the nonvolatile memory device | Joe Sung-min |
10614887 | Nonvolatile memory device and method of programming the same | Choi Yoon-Hee |
10614889 | Nonvolatile memory device and method of performing an erase operation in the same | Ko Kui-Han |
10614891 | Methods of operating memory devices based on sub-block positions and related memory systems | Park Se-Hwan |
10614906 | Semiconductor memory devices, memory systems and methods of operating semiconductor memory devices | Ryu Ye-Sin |
10615080 | Methods of manufacturing semiconductor devices by etching active fins using etching masks | Sun Min-Chul |
10615118 | Anti-fuse device, memory device including the same and semiconductor device comprising an anti-fuse device | Lee Dong-hyun |
10615124 | Three-dimensional semiconductor device including a cell array region and a contact region | Park Hyun-mog |
10615162 | Semiconductor device and method for fabricating the same | Yu Hyun Kwan |
10615164 | Semiconductor memory devices | Kim Hui-Jung |
10615167 | Memory device including OTP memory cell and program method thereof | Lee Sang-Seok |
10615173 | Three dimensional semiconductor memory devices | Kim Chang-Bum |
10615200 | Image sensor and method of fabricating the same | Lee Changkeun |
10615213 | Methods of forming redistribution lines and methods of manufacturing semiconductor devices using the same | Cho Yonghoe |
10615216 | Complementary metal-oxide-semiconductor image sensors | Ihara Hisanori |
10615228 | Image sensor | Im Dong-Mo |
10615264 | Semiconductor devices | Chung Wonkeun |
10615341 | Semiconductor devices and methods of manufacturing the same | Lee Kwang-Woo |
10615348 | Organic light-emitting device | Miyazaki Hiroshi |
10615350 | Organic light-emitting element and display apparatus | Kamatani Jun |
10615354 | Organic photoelectronic device and image sensor | Ro Takkyun |
10615356 | Electric device with quantum dot emissive layer, and display device comprising the same | Chung Dae Young |
10615372 | Light emitting device and display apparatus including the same | Joo Wonjae |
10615390 | Electrode-composite separator assembly for lithium battery and battery including the same | Shon Jeongkuk |
10615409 | Electrode active material, electrode and secondary battery including the same, and method of preparing the electrode active material | Ogata Ken |
10615485 | Portable terminal with antenna device for display element or display assembly including flexible functional region | Chun Jae-Bong |
10615538 | Electronic device with unfoldable connector module | Cho Hyun-Je |
10615561 | Multi-wavelength laser apparatus | Joo Wonjae |
10615611 | Apparatus for charging and discharging battery | Jeon Jinyong |
10615696 | Electronic circuit performing buck-boost conversion using single inductive element | Jung Minyong |
10615760 | Audio output system and control method thereof | Kim Sung-yong |
10615816 | Method for cancelling echo and an electronic device thereof | Jang Sung-Woon |
10615912 | Apparatuses, computer-readable media, and methods for single dimension maximal likelihood symbol detection | Tong Fei |
10615917 | Apparatus and method for transmitting acknowledgement information in a TDD communication system | Papasakellariou Aris |
10615922 | Method and apparatus for partial retransmission in wireless cellular communication system | Yeo Jeongho |
10615927 | Uplink control information transmission method and apparatus for use in cellular mobile communication system | Kim Youngbum |
10615948 | Apparatus and method for managing interference in wireless communication system | Park Sangkyu |
10616005 | Robust noise power estimation | Penna Federico |
10616107 | Transmitting apparatus, receiving apparatus and controlling method thereof | Hwang Sung-hee |
10616358 | Method for providing push notification and electronic device thereof | Choi Jinwan |
10616364 | Electronic apparatus and method of operating the same | Jeong Da-hee |
10616381 | Method and apparatus for performing hybrid automatic repeat request in wireless communication system | Moon Jung-Min |
10616386 | Mobile device wirelessly receiving power from an auxiliary battery | Lee Kyung-Jin |
10616397 | Method for performing function and electronic device supporting the same | Song Hyun Jung |
10616407 | Electronic device for displaying call-related information and operation method thereof | Kim Jihyun |
10616451 | Image processing devices and methods for operating the same | Dagani Nir |
10616474 | Electronic device including iris recognition sensor and method of operating the same | Kang Seung Goo |
10616478 | Method and system for providing recommendation information related to photography | Jung Jae-ho |
10616513 | Image sensors including shielding structures | Jung Yunhwan |
10616518 | Amplifier, and analog-to-digital conversion circuit and image sensor including the same | Jung Yun-Hwan |
10616549 | Application processor for disparity compensation between images of two cameras in digital photographing apparatus | Park Dong Jin |
10616571 | Image sensor with test circuit | Chae Hee-sung |
10616580 | Apparatus and method for encoding video | Jung Young-Beom |
10616595 | Display apparatus and control method therefor | Choi Yoon-hee |
10616631 | Electronic apparatus and method of operating the same | Choi Yoon-hee |
10616639 | Display apparatus, content recognizing method thereof, and non-transitory computer readable recording medium | Yeo Hae-dong |
10616711 | Method and apparatus for identifying location of terminal in vehicle | Yang Hye-jung |
10616718 | Method and system for providing information about time zone of a wireless communication device | Kim Yun-jung |
10616762 | Method for controlling according to state and electronic device thereof | Kang Jeong Gwan |
10616783 | Device grouping based on reported channel information and communication performance | Lee Kyu-haeng |
10616793 | Method and device for processing buffer state report in wireless communication system using inter-ENB carrier aggregation technology | Jang Jae Hyuk |
10616809 | Method and apparatus for selecting network and distributing traffic in heterogeneous communication environment | Jang Jaehyuk |
10616898 | Apparatus and method for mitigating interference in wireless communication system | Je Hui-Won |
10616913 | Method for supporting multiple scheduling requests in next-generation mobile communication system | Kim Soenghun |
10616918 | Apparatus and method for performing network entry procedure in cloud cell communication system | Kang Hyun-Jeong |
10616922 | Method and apparatus for transmitting data | Wang Yi |
10616943 | Terminal for supporting device to device communication and method for operating same | Jeong Kyeongin |
10616995 | RF cable connection device and electronic device having same | Kim Yongyoun |
10616998 | Circuit board and semiconductor package using the same | Yang Seung Yeol |
10617033 | Heat-radiating structure and electronic device including the same | Min Seong-Jae |
10617311 | System and method for real-time heartbeat events detection using low-power motion sensor | Li Yelei |
10617316 | Detachable biosignal complex sensor and method of detecting biosignal information using the same | Kang Jaemin |
10617364 | System and method for snoring detection using low power motion sensor | Li Yelei |
10617370 | X-ray apparatus and control method for the same | Kim Myeong Je |
10617391 | Ultrasound apparatus and information providing method of the ultrasound apparatus | Yang Eun-ho |
10617535 | Supporting module, motion assistance apparatus including the supporting module, and method of controlling the motion assistance apparatus | Roh Chang Hyun |
10617590 | Motion assistance apparatus | Park Youngjin |
10619045 | Poly(amide-imide) copolymer, article including poly(amide-imide) copolymer, and display device including the same | Ahn Chanjae |
10619096 | Population of quantum dots and a composition including the same | Park Garam |
10619282 | Washing machine and control method for same | Kim Hyun Sook |
10619648 | Air conditioner | Park Hyun Uk |
10619861 | Cooking device and method for preventing cooking device from overheating | Son Chang-hyun |
10619911 | Refrigerator | Park Wan Su |
10620041 | Electronic device and method for providing information of UV dose thereof | Tiwari Vijay Narayan |
10620258 | Method of testing semiconductor device and method of manufacturing a semiconductor device including the testing method | Kim Jae-hoon |
10620306 | Vehicle radar apparatus for providing three-dimensional information | Kim Jongseok |
10620354 | Phase difference film and display device | Kong Hye Young |
10620357 | Backlight unit including input coupler, holographic display apparatus including the backlight unit, and method of manufacturing the input coupler | Lee Sunghoon |
10620528 | Method of fabricating phase shift mask and method of fabricating semiconductor device | Kim Jae-hee |
10620529 | Photomasks | Kwon Sungwon |
10620547 | Method for correcting a mask layout and method of fabricating a semiconductor device using the same | Moon Sung-Yong |
10620593 | Electronic device and control method thereof | Kim Mi-young |
10620669 | Electronic device including multiband antenna | Kim Tae Young |
10620774 | Method and apparatus for providing multiple applications | Jeong Hyesoon |
10620782 | Apparatus and method for remotely controlling peripheral devices in mobile communication terminal | Kim Du-Seok |
10620819 | Display apparatus and controlling method thereof | Lee Hye-won |
10620828 | Electronic device having combined button | Kim Minsik |
10620833 | Memory controller and control method thereof | Lee Kyung-duk |
10620855 | System and method for authenticating critical operations on solid-state drives | Marripudi Gunneswara |
10621017 | Method and device for sharing a disk image between operating systems | Shim Hyo-taek |
10621081 | Storage device and global garbage collection method of data storage system including the same | Lee Sang-Geol |
10621093 | Heterogeneous computing system configured to adaptively control cache coherency | Jang Hyunjun |
10621098 | Computing device and non-volatile dual in-line memory module that evict and prefetch data with respect to memories having different operating speeds | Jo In Soon |
10621119 | Asynchronous communication protocol compatible with synchronous DDR protocol | Niu Dimin |
10621136 | System on chip for packetizing multiple bytes and data processing system including the same | Kim Min-Chul |
10621171 | Method for searching for data in storage device | Jo Insoon |
10621201 | Method and apparatus for storing and retrieving profile data for electronic devices | Osborne John |
10621300 | Computing system for performing colorless routing for quadruple patterning lithography | Won Hyo-Sig |
10621308 | Electronic device and method for linking exercise schedule thereof | Kang Seung Seok |
10621321 | Storage device having fingerprint recognition sensor and operating method thereof | Park Young-Jin |
10621407 | Electronic device and method of registering fingerprint in electronic device | Lee Seung-Yun |
10621422 | Method and apparatus for generating facial expression and training method for generating facial expression | Kim Youngsung |
10621494 | System and method for circuit simulation based on recurrent neural networks | Xu Nuo |
10621555 | Schedule management method and electronic device adapted to the same | Park Hyosun |
10621710 | Display device and display method therefor | Gim Gi-yeong |
10621783 | Image processing method and apparatus using depth value estimation | Park Seungin |
10621899 | Display apparatus and method of controlling thereof | Kim Ju Yong |
10622029 | Memory module, memory system having the same and arrangement method of a board | Kim Dong Yeop |
10622066 | Resistive memory device including reference cell and operating method thereof | Antonyan Artur |
10622085 | Testing a semiconductor device including a voltage detection circuit and temperature detection circuit that can be used to generate read assist and/or write assist in an SRAM circuit portion and method therefor | Walker Darryl G. |
10622088 | Stacked memory devices, memory systems and methods of operating stacked memory devices | Choi Ahn |
10622091 | Nonvolatile memory device and memory system including the same | Lee Ho-Jun |
10622108 | Medical imaging apparatus for displaying x-ray images of different types | Oh Hyun Hwa |
10622217 | Method of plasma etching and method of fabricating semiconductor device using the same | Park Hoyong |
10622231 | Method of manufacturing semiconductor package | Ahn Jin-chan |
10622232 | Semiconductor manufacturing apparatus and method of manufacturing semiconductor device using the same | Ha Kyung Ho |
10622256 | Method of manufacturing semiconductor device using multiple patterning techniques | Mun Seung-Jin |
10622258 | Semiconductor device and method of manufacturing the same | Heo Yeon-Cheol |
10622265 | Method of detecting failure of a semiconductor device | Choi Ji-Young |
10622273 | Semiconductor package with improved flatness of an insulating layer formed on patterns | Choi Joo Young |
10622305 | Interconnection structures for semiconductor devices and methods of fabricating the same | Kang Minsung |
10622307 | Semiconductor device including switch cells | Kim Jong-Hyeok |
10622312 | Semiconductor chips and semiconductor packages including the same | Kim Myoung-soo |
10622320 | Semiconductor package and method of manufacturing the same | Kim Jong-youn |
10622322 | Fan-out semiconductor package and method of manufacturing the fan-out semiconductor | Kim Hyoung Joon |
10622335 | Semiconductor package having a high reliability | Hwang Ji-Hwan |
10622340 | Semiconductor package | Jeong Chanhee |
10622360 | Method of manufacturing a semiconductor device | Kim Nam-gun |
10622364 | Method of fabricating semiconductor device | Park Jonghyuk |
10622395 | Image sensing device | Park Sang Su |
10622444 | FinFET semiconductor device with a dummy gate, first gate spacer and second gate spacer | Lee Jung-Han |
10622476 | Vertical field effect transistor having two-dimensional channel structure | Park Yong Hee |
10622513 | Light emitting device | Lee Dong Gun |
10622520 | Semiconductor light emitting device with increased reflectance and light emission efficiency, and suppressed peeling or migration of the reflective metal | Yoon Ju Heon |
10622546 | Magnetic memory device and method for fabricating the same | Lee Sung Chul |
10622568 | Condensed cyclic compound and organic light-emitting device including the same | Hwang Kyu-young |
10622614 | Cell structure for secondary battery and secondary battery having the cell structure | Ham Dongjin |
10622623 | Composite cathode active material, cathode and lithium battery including the composite cathode active material and method of preparing the composite cathode active material | Son Youhwan |
10622624 | Porous silicon composite cluster and carbon composite thereof, and electrode, lithium battery, field emission device, biosensor and semiconductor device each including the same | Son Inhyuk |
10622631 | Negative active material, lithium secondary battery including the material, and method of manufacturing the material | Jo Sungnim |
10622677 | Lithium secondary battery comprising disulfonate additive and method of preparing the same | Koh Myongchun |
10622703 | Antenna device and electronic device having the antenna device | Hong Won-Bin |
10622840 | Electronic device for receiving power wirelessly and method for controlling the electronic device | Chung Myung-Kyoon |
10622889 | Voltage converting apparatus and method of controlling voltage converting apparatus | Jung Seungchul |
10622910 | Semiconductor device and method of operating the same | Nomiyama Takahiro |
10622999 | Semiconductor device | Song Taejoong |
10623010 | System and method of calibrating input signal to successive approximation register (SAR) analog-to-digital converter (ADC) in ADC-assisted time-to- digital converter (TDC) | Loke Wing-Fai |
10623019 | Method of decoding low density parity check (LDPC) code, decoder and system performing the same | Lee Myung-Kyu |
10623028 | Antenna system for communicating in plurality of frequency bands and electronic device including antenna system | Kim Hyun Soo |
10623029 | Method and electronic device for dynamically changing ground points of a plurality of antennas of the electronic device | Seo Min Cheol |
10623050 | Method and apparatus for transmitting and receiving signals using open-loop multi-input/output technology | Chae Sungho |
10623060 | Method for preventing abnormality during wireless charging | Lee Kyung-Woo |
10623124 | Receiver and decoding method thereof | Jeong Hong-sil |
10623139 | Method and apparatus for channel encoding and decoding in communication or broadcasting system | Myung Seho |
10623144 | Device and method for communicating channel state information reference signal (CSI-RS) in wireless communication system | Kim Youn Sun |
10623152 | Method and device for multi-user multiplexing transmission | Li Yingyang |
10623197 | Method and apparatus for giving monopoly of call in call transmission/reception system using UPnP | Maeng Je-Young |
10623477 | Method and device for performing remote task using guest device | Ahn Jin-hyeong |
10623550 | Device capable of notifying operation state change thereof through network and communication method of the device | Yu Seung-dong |
10623630 | Method of applying a specified effect to an area of an image and electronic device supporting the same | Lee Hwa Jun |
10623661 | Image composition method with image sensors having different angles of view and electronic device for supporting the same | Oh Seung Won |
10623675 | Image sensor including at least one autofocusing pixel and at least one normal pixel and driving method thereof | Lee Kyung Ho |
10623677 | Image sensor for improving nonlinearity of row code region, and device including the same | Koh Kyoung Min |
10623766 | Method and apparatus for encoding motion information and method and apparatus for decoding same | Lee Tammy |
10623773 | Method and device for encoding and decoding intra-frame skip mode information | Chen Jie |
10623777 | Image encoding method and apparatus, and image decoding method and apparatus | Choi Na-rae |
10623779 | Method for processing image using dynamic range of color component, and device therefor | Dsouza Amith |
10623798 | Processor for creating instruction for channel change, display device, and display method | Kim Byuk Sun |
10623799 | Apparatus and control method for displaying content of peripheral device | Lee Hae-Kwang |
10623915 | Method of operating function and resource of electronic device | Park Ji Hyun |
10623944 | Method and apparatus for profile download of group devices | Park Jonghan |
10623991 | Method and apparatus for communication in wireless communication system | Jin Seungri |
10624014 | Method for random access based on mobility and apparatus thereof | Bae Yun Gyu |
10624022 | Method for establishing wireless LAN communication connection and electronic device therefor | Lee Tae-Young |
10624025 | Method and apparatus for controlling scan period in wireless communication system | Park Seong-Hee |
10624047 | Method and device for transmitting uplink information | Li Yingyang |
10624071 | Method and apparatus for indicating resources for uplink control channel in a mobile communication system | Choi Seunghoon |
10624074 | Channel state information request/feedback method and apparatus | Choi Seung Hoon |
10624077 | System and method of scheduling and power saving in beam-formed system | Agiwal Anil |
10624116 | Method and apparatus for scheduling terminal in wireless communication system | Lee Dongwoo |
10624248 | EMI shielding structure and manufacturing method therefor | Kim Kyong-il |
10624541 | Optical measuring device and electronic device including the same | Lee Seung Jun |
10624597 | Medical imaging device and medical image processing method | Nam Woo-hyun |
10624600 | Method and apparatus for managing X-ray accumulation amount | Park Jun-young |
10624603 | X-ray input apparatus, x-ray imaging apparatus including the same, and method of controlling the x-ray input apparatus | Kim Myeong Je |
10625043 | Electronic apparatus and method for managing sleep | Lee Keumkoo |
10626328 | Nanocrystal, method of preparing the same, and optoelectronic device including the nanocrystal | Park Sungjun |
10627130 | Air conditioning system, indoor unit of air conditioning system and method for controlling the same | Cho Sung-min |
10627165 | Heat exchanger | Seo Kang Tae |
10627206 | Sensor module for detecting a process environment in semiconductor equipment, a semiconductor manufacturing apparatus, and a method of manufacturing a semiconductor device | Hwang Young-ho |
10627290 | Spectral image correcting apparatus and spectral image correcting method, and object component analyzing apparatus | Park Yun S |
10627362 | Blood glucose measuring device and method, and electronic device including blood glucose measuring module | Cho Seong-Je |
10627441 | Apparatus for testing semiconductor package | Yang Dong-sin |
10627446 | Importance sampling method for multiple failure regions | Xu Nuo |
10627452 | Apparatus and method for state of charge (SOC) determination for battery | Kim Jin Ho |
10627561 | Lighting systems and devices including same | Coe-Sullivan Seth |
10627578 | Cable device | Kim Jin Sub |
10627607 | Optical lens assembly and electronic apparatus including the same | Lee Hwan-seon |
10627642 | Directional backlight unit, three-dimensional (3D) image display apparatus, and 3D image displaying method | Kim Hyunjoon |
10627672 | LED package, backlight unit and illumination device including same, and liquid crystal display including backlight unit | Jang Eun Joo |
10627695 | Fourier-transform interferometer using meta surface | Park Yeonsang |
10627789 | User device, driving method of user device, apparatus for providing service and driving method of apparatus for providing service | Oh Joon-seop |
10627858 | Electronic device and method for manufacturing housing of same | Seo Jae-Il |
10627893 | HSIC communication system and method | Ma Dong Chul |
10627919 | Display device and method thereof | Kim Hyun-Ho |
10627926 | User interfacing method and electronic device for performing the same | Han Sang Jin |
10627987 | Method for launching a second application using a first application icon in an electronic device | Aggarwal Rahul |
10627994 | Semantic zoom preview method and electronic device | Seo Kyung Hwa |
10628006 | Electronic device and method for managing applications on an electronic device | Mysore Veera Ragava |
10628007 | Page operating method and electronic device thereof | Jong In-Won |
10628018 | Method and user interface (UI) for customized user access to application functionalities | Chilmulwar Avinash Sudhakar |
10628019 | Electronic device and method for rendering 360-degree multimedia content | Parmar Monil |
10628032 | Apparatus and method for application peel | Clausen William Stryker |
10628034 | User terminal device and method for controlling user terminal device thereof | Yoon Yeo-jun |
10628072 | Scalable architecture enabling large memory system for in-memory computations | Jiang Dongyan |
10628121 | Electronic device and method for controlling the same | Park An-na |
10628214 | Method for scheduling entity in multicore processor system | Banerjee Aniruddha |
10628233 | Rack-level scheduling for reducing the long tail latency using high performance SSDS | Xu Qiumin |
10628265 | Data backup method for performing post package repair (repair on system) operation | Kim Dae-Jeong |
10628295 | Computing mechanisms using lookup tables stored on memory | Gu Peng |
10628364 | Dual port storage device performing peer-to-peer communication with external device without intervention of host | Shim Hojun |
10628508 | Method and device for providing user-customized information | Kim Hang-kyu |
10628517 | Method, electronic apparatus and storage medium for configuring a screen using a substitute font | Kim Goo-Hyun |
10628550 | Method for designing an integrated circuit, and method of manufacturing the integrated circuit | Kim Min-su |
10628670 | User terminal apparatus and iris recognition method thereof | Son Byung-jun |
10628699 | Event-based image feature extraction | Zamir Lior |
10628947 | Method and apparatus for tracking eyes of user and method of generating inverse-transform image | Kang Dongwoo |
10628949 | Image processing with iterative closest point (ICP) technique | Aflalo Yonathan |
10628972 | Diagnostic imaging method and apparatus, and recording medium thereof | Lee Kyoung-yong |
10628999 | Method and apparatus with grid-based plane estimation | Kim Jiyeon |
10629001 | Method for navigation in an interactive virtual tour of a property | Reddy Gottam Dinesh |
10629132 | Display device and electronic device including a plurality of separately driven display areas and display control method for controlling the same | Kim Taesung |
10629157 | Display device and interface operation thereof | Kim Kyongho |
10629167 | Display apparatus and control method thereof | Lee Dae-bong |
10629196 | Apparatus, system, and method for generating voice recognition guide by transmitting voice signal data to a voice recognition server which contains voice recognition guide information to send back to the voice recognition apparatus | Park Jong-cheol |
10629254 | Nonvolatile memory devices and memory systems | Kwak Dong-Hun |
10629259 | Non-volatile memory device and on-chip valley search (OCVS) read method thereof | Jang Joon Suc |
10629262 | Method of operating resistive memory device capable of reducing write latency | Ryu Hye-Young |
10629267 | Nonvolatile memory device and method of programming in the same | Lee Kang-Bin |
10629279 | Memory device including massbit counter and method of operating the same | Yun Sung-won |
10629286 | Memory devices, memory systems and methods of operating memory devices | Lee Yong-Jun |
10629461 | Apparatuses for bonding semiconductor chips | Hwang Yisung |
10629467 | Electrostatic chuck and plasma apparatus for processing substrates having the same | Kwon Ohyung |
10629544 | Semiconductor packages | Yoo JaeWook |
10629546 | Semiconductor device | Roh Jung Hyun |
10629564 | Removal apparatuses for semiconductor chips | Park JaeYong |
10629582 | Semiconductor device and method for manufacturing the same | Jeong Lakgyo |
10629597 | Semiconductor device | You Jung-Gun |
10629600 | Integrated circuit device including a support pattern, a lower electrode pattern, a dielectric structure, and an upper electrode structure | Kim Hui-jung |
10629604 | Method of manufacturing semiconductor device having stressor | Bai Keun-hee |
10629609 | Three dimensional semiconductor device and method of forming the same | Lee Chang Sup |
10629641 | Fan-out sensor package and optical-type fingerprint sensor module including the same | Lee Jae Kul |
10629642 | Stacked image sensor and system including the same | Sugihara Hiroyuki |
10629643 | Integrated circuit devices having through-silicon via structures | Kim Sun-hyun |
10629682 | Cell architecture based on multi-gate vertical field effect transistor | Do Jungho |
10629729 | Vertical field effect transistor and semiconductor device including the same | You Jung-Gun |
10629740 | Semiconductor devices | Yang Jung-Gil |
10629742 | Semiconductor device and method of fabricating the same | You Jung Gun |
10629782 | Light emitting device package | Kim Yong Il |
10629807 | Process control method and process control system for manufacturing semiconductor device | Park Jeong-Heon |
10629829 | Organometallic compound and organic light-emitting device including the same | Hwang Kyuyoung |
10629861 | Stretchable battery and method of manufacturing the same | Song Minsang |
10629982 | Electronic device with multi-slot antenna | Kim Hosaeng |
10629991 | Antenna device including mutually coupled antenna elements | Lee JaeChun |
10630107 | Portable terminal having a wireless charger coil and antenna element | Park Jin-Hyoung |
10630112 | Method for transmitting signal by wireless power transmitter in wireless charging system, wireless power transmitter and wireless power receiver | Lee Kyung-Woo |
10630347 | Method and apparatus for providing optimal transmission and reception beams in beamforming system | Jung Jung-Soo |
10630351 | Method and apparatus for transmitting and receiving channel state information in wireless communication system | Kim Younsun |
10630394 | Wireless communication apparatuses performing selective noise filtering and methods of operating the same | Lee Hae-chul |
10630406 | Method for providing mobile coupon and mobile electronic device supporting the same | Lee Ha Na |
10630422 | Method and apparatus for channel encoding an channel decoding in a wireless communication system | Ahn Seok-Ki |
10630429 | Method and apparatus for data retransmission in wireless communication system | Jung Doyoung |
10630452 | Control and data multiplexing in communication systems | Papasakellariou Aris |
10630516 | System and method for providing filter/mixer structure for OFDM signal separation | Feygin Gennady |
10630521 | OFDM transmitting and receiving systems and methods thereof | Choo Kyo-shin |
10630603 | Method and apparatus for transmitting a multimedia data packet using cross-layer optimization | Hwang Sung-Oh |
10630619 | Electronic device and method for extracting and using semantic entity in text message of electronic device | Lee Muwoong |
10630688 | Method for controlling contents and electronic device thereof | Lee Okseon |
10630744 | Method, device, and system for scheduling transmission and reception of media contents | Hong Soon-gi |
10630750 | Electronic device and content reproduction method controlled by the electronic device | Lee Jong-hyuk |
10630759 | Method and apparatus for generating and reproducing adaptive stream based on file format, and recording medium thereof | Xu Yiling |
10630778 | System and method of controlling data transmission of external apparatus connected to gateway | Lee Jae-keun |
10630786 | Method for maintaining a persistent miracast session over wireless link | Srinivasa Gopalan Karthik |
10630809 | Information processing apparatus, image processing apparatus and control methods thereof | Ahn Young-joon |
10630827 | Electronic device and control method thereof | Choi Changhwan |
10630867 | Perceptual hue preserved color-gamut transferring in non-uniform CIE-1931 color space | Su Chang |
10630887 | Wearable device for changing focal point of camera and method thereof | Choi Hyun-soo |
10630888 | Method and apparatus for selecting capture configuration based on scene analysis | Parameswaran Sankaranarayanan |
10630985 | Method for scanning coding blocks inside a video frame by video codecs | Johar Sumit |
10630986 | Method and device for encoding intra prediction mode for image prediction unit, and method and device for decoding intra prediction mode for image prediction unit | Seregin Vadim |
10630992 | Method, application processor, and mobile terminal for processing reference image | Seo Youngil |
10631006 | Encoding apparatus and decoding apparatus for depth image, and encoding method and decoding method | Lim Il Soon |
10631011 | Image processing method and apparatus for performing sample adaptive offset processing | Jung Young-beom |
10631030 | Method for providing video streaming service and mobile device for same | Lee Hyung-Ho |
10631045 | Method and apparatus for filtering video | Yun Ji-hwan |
10631125 | Terminal and communication method of the same | Baek Youngkyo |
10631158 | Server, user terminal apparatus, electronic apparatus, and control method thereof | Chung Seongwook |
10631162 | Method and apparatus to perform device to device communication in wireless communication network | Rajadurai Rajavelsamy |
10631199 | Method for allowing user equipment to detach from network entity | Kumar Lalith |
10631205 | Structure of MAC sub-header for supporting next generation mobile communication system and method and apparatus using the same | Kim Donggun |
10631212 | Resource management method and apparatus for use in wireless communication system | Won Sung Hwan |
10631215 | Method and apparatus for communicating with a wireless local area network in a mobile communication system | Van Lieshout Gerardus Johannes Petrus |
10631218 | Apparatus and method for handover in wireless communication system | Baek Young Kyo |
10631247 | Method and apparatus for reducing power consumption in mobile device | Ahn Byeong Do |
10631250 | Method and user equipment for allocating uplink power in a mobile communication system | Wang Yi |
10631286 | Apparatus and method for transmitting/receiving downlink data channel signal transmission information in cellular radio communication system using cooperative multi-point scheme | Lee Hyo-Jin |
10631287 | Method and apparatus for supporting multi-radio access technology | Ryoo Sunheui |
10631312 | Apparatus and method for transmitting and receiving data in wireless communication system | Hong Sungnam |
10631361 | Method and apparatus for providing user with information received by electronic device | Kim Seoktae |
10631408 | Apparatus including polymer layer and method of manufacturing same | Koo Won-Kyu |
10631626 | Method for determining tooth brushing section, and smart toothbrush and electronic device therefor | Lee Yeon Joo |
10631796 | Brake system and medical apparatus including the same | Oh Pil Yong |
10631817 | Mobile X-ray apparatus and method of operating the same | Kim Myeong-je |
10631825 | Method of providing copy image and ultrasound apparatus therefor | Lee Jae-ho |
10631827 | Method and apparatus for processing medical image | Choi Ji-young |
10631834 | Ultrasound diagnosis apparatus and communication connecting method performed in the ultrasound diagnosis apparatus | Kim Hang-chan |
10632405 | Water purifying filter | Park Sang Min |
10632623 | Robot apparatus and method for expressing emotions thereof | Shim Jaekyu |
10632722 | Composite material, method of forming the same, and apparatus including composite material | Koh Haengdeog |
10633296 | Electronic device and method for generating thumbnails based on captured images | Baek Woo-Hyun |
10633582 | Compositions, optical component, system including an optical component, and other products | Breen Craig |
10634262 | Refrigeration cycle device and three-way flow rate control valve | Matsuzaki Takashi |
10634368 | Control box, and outdoor unit of air conditioner comprising same | Kim Jong Tae |
10634394 | Air conditioner outdoor unit including heat exchange apparatus | Shimodahira Nobukazu |
10634408 | Refrigerator having a rotatable door for the ice making compartment forming the exterior appearance | Jeong Jin |
10634418 | Refrigerator | Bae Il Sung |
10634759 | Method for estimating location, and electronic device and server thereof | Kim Jin-Woo |
10634763 | Apparatus, system and method of estimating a location of a station using orthogonal response signals | Guy Donald G. |
10635006 | Chuck-driving device and substrate-processing apparatus | Hong Sang-joon |
10635136 | Foldable device and method of controlling the same | La Jin |
10635137 | Foldable electronic device comprising flexible display | Park Hyunsub |
10635143 | Method and electronic device for controlling external electronic device through electromagnetic signal | Kim Hankon |
10635151 | Method and system of universal serial bus power-delivery which stops clock signal generation until attach event occurs | Kim Je Kook |
10635204 | Device for displaying user interface based on grip sensor and stop displaying user interface absent gripping | Park Soo Pyoung |
10635222 | Touch pad and electronic apparatus using the same, and method of producing touch pad | Oh Seung-jin |
10635223 | Electronic apparatus and operating method thereof | Lee Hye-Jin |
10635232 | Mobile apparatus displaying end effect and control method thereof | Lee Ho-Young |
10635245 | Method and electronic device for processing touch input | Lee Young-Dae |
10635270 | Method and apparatus for configuring home screen of device | Choi Kwang-pyo |
10635295 | Device including plurality of touch screens and screen change method for the device | Seo Joon-Kyu |
10635304 | Display mode switching device and method for mobile terminal | Kim Byoung Ju |
10635313 | Operating methods of semiconductor device and memory system each including multi-connection port, and communication method of storage system | Park Hyun-Tae |
10635317 | Operation method of storage system and host | Kim Dong-Min |
10635322 | Storage device, computing device including the same, and operation method of the computing device | Choi Chang-eun |
10635349 | Storage device previously managing physical address to be allocated for write data | Lee Younggeun |
10635371 | Method and apparatus for providing lock-screen | Hyun Juho |
10635372 | Display device having a transparent display and a method for controlling the display device to render content on a surface of the transparent display that a user faces | Min Chan-hong |
10635373 | Display apparatus and method of controlling the same | Kim Soo-hong |
10635379 | Method for sharing screen between devices and device using the same | Choi Seung-hwan |
10635391 | Electronic device and method for controlling an operation thereof | Shin Hyunseok |
10635421 | Electronic device, compiling method and computer-readable recording medium | Park Jae-man |
10635439 | Efficient interface and transport mechanism for binding bindless shader programs to run-time specified graphics pipeline configurations and objects | Alsup Mitchell K. |
10635450 | Electronic device performing booting operation based on boot-up instruction provided from endpoint device | Lee Kwanggu |
10635530 | Memory system performing error correction of address mapping table | Kim Hyunsik |
10635531 | Semiconductor memory device error correction circuit, semiconductor memory device including the same, and memory system including the same | Cha Sang-Uhn |
10635532 | Method of controlling error check and correction (ECC) of non-volatile memory device and memory system performing the same | Kim Ji-Suk |
10635535 | Semiconductor memory devices, memory systems, and methods of operating the semiconductor memory devices | Cha Sang-Uhn |
10635606 | Method and apparatus for maintaining continuity of on-going session over wired or wireless interface | Patil Mayuresh Madhukar |
10635609 | Method for supporting erasure code data protection with embedded PCIE switch inside FPGA+SSD | Olarig Sompong Paul |
10635654 | Data journaling for large solid state storage devices with low DRAM/SRAM | Hof Eran |
10635775 | Integrated circuit including filler cell | Lee Hoi-jin |
10635797 | Method and electronic device for determining whether to allow user access | Vilenskii Maksim Alexeevich |
10635868 | Sensor system using stretchable antenna | Yun Youngjun |
10635879 | Method of displaying user interface related to user authentication and electronic device for implementing same | Lee Hyemi |
10635891 | System and method for a unified architecture multi-task deep learning machine for object recognition | El-Khamy Mostafa |
10635902 | Electronic apparatus and operating method thereof | Lee Wu Seong |
10636164 | Object detection method and apparatus based on dynamic vision sensor | Li Jia |
10636167 | Method and device for determining distance | Kang Seok-myong |
10636234 | Method for lock device control and electronic device thereof | Lee Jayoun |
10636366 | Display device operating in impulse mode and image display method therefor | Kang Jin-sung |
10636385 | Display apparatus and controlling method thereof | Jung Min-joon |
10636398 | Wearable electronic device and method for controlling application being executed in electronic device | Lee Min Hee |
10636417 | Method and apparatus for performing voice recognition on basis of device information | Park Chi-youn |
10636420 | Method of responding to input voice of electronic device and electronic device therefor | Kang Shin-Jae |
10636430 | Voice inputting method, and electronic device and system for supporting the same | Park Jun Hyung |
10636465 | Magnetic memory device and method of fabricating the same | Song Yoonjong |
10636475 | Memory device for receiving operation codes through dq pins, a memory module including the same, and a setting method of the memory module | Jun In-Woo |
10636491 | Flash memory device and method of programming the same | Shin Hoyoung |
10636547 | Electronic device and noise control method thereof | Song Minwoo |
10636743 | Electronic component package and manufacturing method of the same | Baek Yong Ho |
10636760 | Semiconductor packages | Yeon Seunghoon |
10636785 | Semiconductor device | Jun Hwichan |
10636790 | Semiconductor devices and methods for manufacturing the same | Oh Min-chul |
10636793 | FINFETs having electrically insulating diffusion break regions therein and methods of forming same | Na Hyung Joo |
10636795 | Semiconductor device and method of manufacturing the same | Ahn Se-hyoung |
10636808 | Vertical memory device having an epitaxial layer in contact with a channel layer | Lee Kyung Hwan |
10636843 | Memory device and method of manufacturing the same | Jeong Ji-hyun |
10636844 | Organic photoelectronic device and image sensor including selective light transmittance layer | Kim Kyu Sik |
10636886 | Semiconductor device | Jo Min Seok |
10636940 | Semiconductor light-emitting device | Lim Wan Tae |
10636968 | Variable resistance memory device and method of manufacturing the same | Horii Hideki |
10636973 | Polymer material, material for electroluminescence device, composition, thin film, and electroluminescence device comprising the same | Takahiro Fujiyama |
10637073 | Positive electrode for metal-air battery and metal-air battery including the same | Park Jungock |
10637114 | Lithium air battery and method of preparing the same | Kim Taeyoung |
10637128 | Electronic device for grip sensing and method for operating thereof | Heo Young |
10637140 | Apparatus and method for controlling adaptive beamforming gain in wireless communication system | Chang Young-Bin |
10637268 | System and method for fast charging of batteries based on dynamic cutoff voltage | Khandelwal Ashish |
10637275 | Method and power transmitter for controlling power transmission | Byun Kang-Ho |
10637299 | Power transmitting method and power transmitter for communication with power receiver | Byun Kang-Ho |
10637302 | Wireless power transmission device and wireless charging system, and method of controlling the same | Hoque Mohammad Anwarul |
10637502 | Storage device and operating method of storage device | Cho Yongwon |
10637506 | Apparatus and method for transmitting/receiving forward error correction packet in mobile communication system | Hwang Sung-Hee |
10637611 | Apparatus and method for sending/receiving packet in multimedia communication system | Hwang Sung-Hee |
10637613 | Method and apparatus for managing hybrid automatic repeat request process in mobile communication system | Noh Hoondong |
10637619 | Method and apparatus for channel access for LTE on unlicensed spectrum | Ng Boon Loong |
10637627 | Method and apparatus for transmitting and receiving data in a communication system using beamforming | Yu Hyun-Kyu |
10637804 | User terminal apparatus, communication system, and method of controlling user terminal apparatus which support a messenger service with additional functionality | Park Kyung-eun |
10637822 | Method and device for managing identifier of EUICC | Seo Myoung Hee |
10637827 | Security network system and data processing method therefor | Kim Yu-sun |
10637934 | Electronic device and method of providing service in electronic device | Seo Ji-Hwan |
10637982 | Method for managing notification relating to application and electronic device therefor | Choi Yujin |
10637983 | Electronic device and location-based information service method therewith | Lee Sijun |
10638052 | Method and apparatus for generating HDR images | Dhiman Ankit |
10638057 | Photographing device and control method thereof | Kang Tae-hoon |
10638131 | Content providing apparatus, display apparatus, and control method therefor | Kang Woo-seok |
10638195 | Electronic apparatus and control method thereof | Kim Tae-soo |
10638267 | Method and apparatus for processing location information of terminal operating in beacon | Kim Junhyung |
10638312 | Method for securing discovery information and device therefor | Agiwal Anil |
10638314 | Method and apparatus for downloading a profile in a wireless communication system | Park Jonghan |
10638315 | Mobile communication terminal having password notify function and method for notifying password in mobile communication terminal | Kim Kyong-Su |
10638331 | Channel accessing method and device in wireless communication system | Ryoo Sunheui |
10638355 | Method and apparatus for operating PDCP layer processing QoS in wireless communication system | Kim Donggun |
10638368 | Methods and devices for allocating resources for communications with base stations | Lee Sang-hyun |
10638375 | Video telephony service quality enhancement method and apparatus | Jeong Sangsoo |
10638385 | Method and apparatus for transmitting and receiving data in wireless communication system | Baek Sang-Kyu |
10638392 | Method and apparatus for offload operation of the idle mode in a cellular device | Ingale Mangesh Abhimanyu |
10638393 | Method and device for determining configuration of connection between terminal and base station and performing handover in wireless communication system supporting dual connectivity | Moon Jungmin |
10638415 | Method and apparatus for selecting an access and mobility management function in a mobile communication system | Baek Youngkyo |
10638427 | Signal transmitting/receiving device and method in mesh network | Choi Dae-Kyu |
10638428 | Electronic device using low-power wide area communication technology and method for operating the same | Choi Young-Joon |
10638432 | Method of efficiently reporting user equipment transmission power and apparatus thereof | Kim Sang Bum |
10638448 | Method and apparatus for inactive mode operation in wireless communication system | Kim Soenghun |
10638451 | Method and apparatus for providing notification | Ryu Jong-hyun |
10638452 | Method and apparatus for providing notification | Ryu Jong-hyun |
10638455 | System and method of logical channel prioritization for D2D communication | Agiwal Anil |
10638489 | Method and apparatus for managing UE-to-UE interference in wireless communication system | Noh Jeehwan |
10638523 | Method and apparatus for Wi-Fi connection using Wi-Fi protected setup in portable terminal | Jung Bu-Seop |
10638524 | Method and system for providing mission critical service (MCX) in wireless communication network | Kapatralla Irshad Ahamed |
10638537 | Apparatus and method for managing radio resource in wireless communication system | Jangid Alok Kumar |
10638546 | Planar heating device and method of manufacturing the same | Kim Doyoon |
10638614 | Semiconductor package module | Lee Hyuk-jin |
10638625 | Solid state drive apparatus and data storage system having the same | Jang Myung-ryul |
10638628 | Network communication device enclosure made of different materials | Yu Sangsoo |
10638632 | Electronic device including cable fixing apparatus | Park Jung Min |
10638993 | Computed tomography apparatus and control method for the same | Yun Seung Man |
10639170 | Torque output timing adjustment method and apparatus | Seo Keehong |
10639415 | Medical imaging apparatus and controlling method thereof | Yi Jong Hyon |
10639875 | Wafer bonding apparatus and wafer bonding system including the same | Kim Tae-yeong |
10640865 | Substrate processing apparatus and method for manufacturing semiconductor device using the same | Lee Joon-Myoung |
10641280 | Turbo fan and air conditioner including same | Sato Seiji |
10641811 | Method for detecting leakage current and electronic device supporting the same | Kim Du-Hyun |
10642024 | Fluid for electrowetting device and electrowetting device using the same | Choi Kyuhwan |
10642041 | Direction based electronic device for displaying object and method thereof | Han Woo Jung |
10642305 | High-accuracy CMOS temperature sensor and operating method | Lee Joo Sung |
10642339 | System on chip for reducing wake-up time, method of operating same, and computer system including same | Shin Hee Dong |
10642359 | Wearable biosignal interface and method thereof | Choi Chang Mok |
10642380 | Input device, method, and system for electronic device | Lee Younggyun |
10642410 | Touchscreen controller, touchscreen system including the same, and operating method of the touchscreen controller | Lee Kyung-Hoon |
10642437 | Electronic device and method for controlling display in electronic device | Park Sun-Young |
10642446 | User input processing method and electronic device performing the same | Kim So Young |
10642466 | Method and system for context based tab management | Dipin Kollencheri Puthenveetil |
10642477 | Electronic device and method for controlling input in electronic device | Lee Young-Gyun |
10642485 | Portable device comprising a touch-screen display, and method for controlling same | Seo Joon-kyu |
10642493 | Mobile device and data management method of the same | Yang Seung-soo |
10642531 | Atomic write method for multi-transaction | Song In Sung |
10642590 | Method and electronic device for rendering scalable vector graphics content | Siraparapu Yamuna |
10642612 | Memory device performing parallel arithmetic processing and memory module including the same | Kim Jin-hyun |
10642749 | Electronic device and method for managing memory thereof | Hwang Chanyoung |
10642777 | System and method for maximizing bandwidth of PCI express peer-to-peer (P2P) connection | Kim Dong-Uk |
10642983 | Method and apparatus for protecting application | Shin Jun-Bum |
10642989 | Method for masking content displayed on electronic device | Sathish Sailesh Kumar |
10643051 | Optics-based fingerprint sensor, electric device including optics-based fingerprint sensor, and operation method of electric device | Lee Minchul |
10643134 | Schedule management method, schedule management server, and mobile terminal using the method | Ko Jae-woo |
10643252 | Banner display method of electronic device and electronic device thereof | Jeong Sang-Heon |
10643316 | Image processing apparatus, method for processing image and computer-readable recording medium | Kim Young-geol |
10643339 | Motion based adaptive rendering | Golas Abhinav |
10643527 | Lens and display apparatus having the same | Kim Sung Tae |
10643545 | Method and apparatus for merging images by electronic device | Bae Jong Kon |
10643571 | Display device and method for operating in a plurality of modes and displaying contents corresponding to the modes | Whang Se Jung |
10643579 | HMD device and method for controlling same | Han Seong-won |
10643613 | Operating method for microphones and electronic device supporting the same | Lee Sang Hoon |
10643620 | Speech recognition method and apparatus using device information | Kim Tae-yoon |
10643621 | Speech recognition using electronic device and server | Jung Seok Yeong |
10643675 | Memory device determining operation mode based on external voltage and method of operating the same | Heo Jin-Seok |
10643791 | Dielectric material, multi-layered capacitors and electronic devices comprising the same | Kim Hyun Sik |
10643857 | Method of generating layout and method of manufacturing semiconductor devices using same | Oh In Wook |
10643858 | Method of etching substrate | Lee Eunwoo |
10643888 | Overlay marks, methods of forming the same, and methods of fabricating semiconductor devices using the same | Kim Jong-Su |
10643898 | Semiconductor devices and methods of forming the same | Kim Sung-Min |
10643919 | Fan-out semiconductor package | Oh Hwa Sub |
10643926 | Semiconductor device having a structure for insulating layer under metal line | Choi Min Jun |
10643935 | Semiconductor device | Yoon Sung Young |
10643948 | Film package and package module including the same | Jung Jae-Min |
10643956 | Semiconductor package | Kim Hyung Joon |
10643958 | Semiconductor device, semiconductor chip and method of manufacturing the semiconductor device | Kim Sun-dae |
10643966 | Electrical interconnections for semiconductor devices and methods for forming the same | Koo Hyosung |
10643995 | Semiconductor device | Yoon Changseop |
10643998 | Semiconductor device having first and second fin-type patterns and method of fabricating the same | Kang Myoung Ho |
10644003 | Semiconductor memory devices having bit line node contact between bit line and active region | Cho Min Hee |
10644006 | Micro-pattern forming method, capacitor and method of manufacturing the same, semiconductor device and method of manufacturing the same, and electronic system including semiconductor device | Ha Soon-mok |
10644008 | Semiconductor device | Lee Jun-won |
10644019 | Semiconductor device | Shin Yoo-cheol |
10644023 | Three-dimensional semiconductor memory device including stacked electrodes having pad portions | Lee Chang-Sup |
10644028 | Vertical memory device | Lee Kwang Ho |
10644031 | Method for selectively increasing silicon fin area for vertical field effect transistors | Hong Joon Goo |
10644046 | Fan-out sensor package and optical fingerprint sensor module including the same | Baek Yong Ho |
10644051 | Image sensor | Nah Seung Joo |
10644053 | Image sensor | Kim Han-seok |
10644058 | Image sensor including splitter | Kim Jung-Hun |
10644069 | Memory devices having crosspoint memory arrays therein with multi-level word line and bit line structures | Jeong Ji-Hyun |
10644072 | Image sensor including a plurality of pixel regions and an isolation region including device isolation structures | Lee Gwi-deok Ryan |
10644073 | Image sensors and electronic devices including the same | Lee Kwang Hee |
10644106 | Semiconductor device | Lee Choeun |
10644158 | Semiconductor device including fin field effect transistor and method of manufacturing the same | Jung Su Jin |
10644191 | Semiconductor package separating device | Lim Jong Ho |
10644205 | Light-emitting diode package and method of manufacturing the same | Lee Dong-kuk |
10644226 | Method and system for engineering the secondary barrier layer in dual magnetic junctions | Duan Zheng |
10644602 | Adaptor, power supply system and power supply method thereof | Jang Duhee |
10644651 | Power supply modulator and wireless communication apparatus including the same | Kim Dong-su |
10644658 | Apparatus and method for transmitting signal in wireless communication system | Lee Munwoo |
10644762 | Apparatus having multiple RF chains coupled to multiple antennas and operating method thereof in wireless communication system | Seol Daeyoung |
10644776 | Method and device for providing feedback signal in wireless communication system using two-dimensional antenna | Lee Keonkook |
10644783 | Method and apparatus for transmitting control channel in wireless communication system | Kim Yohan |
10644828 | Method and apparatus for wideband CSI reporting in an advanced wireless communication system | Rahman Md Saifur |
10644835 | System and method for interleaving distributed CRC in polar codes for early termination | Abotabl Ahmed A. |
10644898 | Vision-based object recognition device and method for controlling the same | Yu Yong-Ju |
10644903 | Method and apparatus for measuring channel in mobile communication system | Shin Cheol-Kyu |
10644915 | Device and method for reducing peak-to-average power ratio in wireless communication system | Yun Yeohun |
10645089 | Terminal for internet of things and operation method of the same | Chung Ji-min |
10645168 | Electronic device and controlling method thereof | Choi Pil Sik |
10645211 | Text input method and electronic device supporting the same | Son Ki Hyoung |
10645282 | Electronic apparatus for providing panorama image and control method thereof | An Jin-hyoung |
10645292 | User terminal apparatus and control method thereof | Park Hyun-sub |
10645306 | Method for producing media file and electronic device thereof | Lokhande Vishal |
10645315 | Image sensor | Shim Eun Sub |
10645317 | Devices and methods for lens shading correction of an image | Kim Se Yun |
10645355 | Electronic apparatus and controlling method thereof | Koo Ja-sung |
10645373 | 3-dimensional displaying apparatus and method for driving 3-dimensional displaying apparatus | Kim Sung Kyu |
10645393 | Image encoding method and device for sample value compensation and image decoding method and device for sample value compensation | Choi Ki-ho |
10645414 | Method for encoding/decoding image, and device therefor | Alshin Alexander |
10645416 | Method and apparatus for encoding and decoding an image using a modified distribution of neighboring reference pixels | Min Jung-hye |
10645425 | Method and device for managing multimedia data | Park Kyung-Mo |
10645432 | Method and apparatus for transmitting and receiving media information in communication system | So Young-Wan |
10645453 | Electronic device, image processing method thereof, and non-transitory computer readable recording medium | Kim Byoung-chul |
10645480 | Electronic apparatus and method for controlling time measurement | Jo Jae-Hyun |
10645488 | Ring radiator driver features | Devantier Allan |
10645493 | Sound direction detection sensor and electronic apparatus including the same | Kang Sungchan |
10645506 | Electronic device and music visualization method thereof | Jin Jang-ho |
10645513 | Stereophonic sound reproduction method and apparatus | Chon Sang-bae |
10645553 | Method and apparatus for processing signal in a mobile device | Lee Dae Young |
10645564 | Method and apparatus for managing packet data network connection on basis of local area in wireless communication system | Lee Ji-Cheol |
10645566 | Method and apparatus for accessing cellular network for SIM profile | Baek Youngkyo |
10645609 | Method and apparatus for transmitting TCP ACK in communication system | Jung Hakyung |
10645613 | Method and apparatus for generating packet in mobile communication system | Lim Han-Na |
10645627 | Methods and apparatuses for exchanging information regarding a determined coverage extension (CE) level | Fasil Abdul Latheef |
10645669 | Method and apparatus for inactive mode operation in wireless communication system | Kim Soenghun |
10645671 | Light connection control method and apparatus | Ke Xiaowan |
10645691 | Method and device for carrier activation in carrier aggregation system | Jeong Kyeong In |
10645711 | Multi-user data transmission method and device | Li Yingyang |
10645718 | Method and apparatus for scheduling for wireless packet network | Kim Yohan |
10645722 | Scheduling method and device in wireless communication system providing broadband service | Kim Youngbum |
10645749 | Method and apparatus for providing cellular IoT service in mobile communication system | Kim Sunghoon |
10645828 | Display apparatus | Kim Hyung Kuk |
10645831 | Electric device with seal member | Park Jinyoung |
10646022 | System and method for object modification using mixed reality | Yoganandan Arun Rakesh |
10646083 | Vacuum cleaner with angled wheels | Kim Dong Jun |
10646086 | Cleaning robot and method of controlling the same | Ryu Min Woo |
10646162 | Wearable device capable of having sensor for detecting biological signal attached thereto or detached therefrom and method of controlling the wearable device | Jeong Sanghwa |
10646177 | Mobile X-ray apparatus and method of operating the same | Kim Myeong-je |
10646193 | X-ray detector, mobile device and host device | Park Jong Seo |
10646204 | Image processing apparatus, ultrasonic apparatus including the same and method of controlling the same | Kang Joo Young |
10647107 | Ultraviolet curing apparatus | Yu Ho |
10647252 | Portable electronic device and operating method therefor | Sun Changwei |
10647917 | Semiconductor nanocrystal particles, production methods thereof, and devices including the same | Lee Jeong Hee |
10648676 | Oven | Lee Dong Ho |
10648824 | Electronic device and method for providing movement path | Han Jonghyun |
10649098 | Light converting nanoparticle, method of making the light converting nanoparticle, and composition and optical film comprising the same | Kim Kwanghee |
10649135 | Display apparatus | Kim Hyung-ki |
10649255 | Display apparatus | Kim Boum-Sik |
10649256 | Panel module and display apparatus having the same | Cho Min Jae |
10649303 | Optical device and optical system including the same | Joo Wonjae |
10649479 | Regulator and method of operating regulator | Heo Donghun |
10649502 | Electronic apparatus and control method thereof | Shin Jong Min |
10649534 | Apparatus and method for providing haptic feedback through wearable device | Lee Yong Gu |
10649542 | Display apparatus and method for controlling the same | Kim Shin A |
10649543 | Apparatus and method for arranging a keypad in wireless terminal | Goo Ja-Min |
10649544 | Data output device | Suh Yunjae |
10649549 | Device, method, and system to recognize motion using gripped object | Kim Sang Joon |
10649552 | Input method and electronic device using pen input device | Ji Daehyun |
10649553 | Input device, electronic device for receiving signal from input device, and control method thereof | Kim Kang-nam |
10649576 | Electronic device with protective case and operating method thereof | Moon Hee-Cheul |
10649591 | Touch controller having increased sensing sensitivity, and display driving circuit and display device and system having the touch controller | Kim Hyoung-rae |
10649599 | Electronic device for detecting proximity of user and operation method thereof | Kim Seon-Jun |
10649621 | Facilitating performing searches and accessing search results using different devices | Kagan Tomer |
10649627 | Electronic device and method for displaying history of executed application thereof | Ko Jeong Won |
10649639 | Method and device for executing object on display | Han Se-hee |
10649647 | Device and method of providing handwritten content in the same | Lee Ki-bok |
10649652 | Method of displaying interface of mobile device and mobile device | Sun Weiwei |
10649667 | Mitigating GC effect in a RAID configuration | Pinto Oscar |
10649681 | Dynamic garbage collection P/E policies for redundant storage blocks and distributed software stacks | Suhas |
10649692 | Storage device, system including the same and method of operating the same | Park Hyun-Chul |
10649771 | Semiconductor device | Kim Hyun Pil |
10649791 | Method for an initial setup and electronic device thereof | Han Insil |
10649849 | Memory device including detection clock pattern generator for generating detection clock output signal including random data pattern | Kim Yong-Hun |
10649894 | Nonvolatile memory module and operation method thereof | Lee Han-Ju |
10649896 | Storage device and data processing system including the same | Kim Dong Woo |
10649898 | Memory system, memory controller for memory system, operation method of memory controller, and operation method of user device including memory device | Kim Jinwoo |
10649900 | Method to avoid cache access conflict between load and fill | Nakra Tarun |
10649904 | System and method for store streaming detection and handling | Wang Hao |
10649940 | Modular system architecture for supporting multiple solid-state drives | Olarig Sompong Paul |
10650067 | Cloud-enabled architecture for on-demand native application crawling | Desineni Kalyan |
10650219 | Electronic device with sensor module | Noh Hwanmyung |
10650283 | Electronic apparatus and control method thereof | Lee Hyun-seung |
10650485 | Electronic apparatus, external apparatus and method of controlling the same | Koo Ja-goun |
10650518 | Computer aided diagnosis (CAD) apparatus and method | Ryu Seung Woo |
10650525 | Interactive image segmenting apparatus and method | Kim Won Sik |
10650529 | Lane detection method and apparatus | Jang Cheolhun |
10650596 | Electronic device for providing VR image based on polyhedron and image providing method thereof | Lim Jin Ho |
10650779 | Image processing apparatus and recording medium | Yoo Seok-bong |
10650817 | Method and electronic device for providing contents based on natural language understanding | Choi Ha Young |
10650822 | Server and method for controlling external device | Choi Dong-hyun |
10650827 | Communication method, and electronic device therefor | Zuo Xiang |
10650871 | Read margin control circuit determining data valid window, memory controller including the same, and electronic device | Chae Kwanyeob |
10650889 | Energy efficient phase change random access memory cell array write via controller-side aggregation management | Berman Amit |
10650903 | Non-volatile memory devices, operating methods thereof and memory systems including the same | Shim Sun-Il |
10650910 | Semiconductor fault analysis device and fault analysis method thereof | Jeong Changwook |
10650977 | Ceramic electronic component and method of manufacturing the same and electronic device | Son Yoon Chul |
10651031 | Tantalum compound | Ryu Seung-min |
10651074 | Substrate processing apparatus and method of manufacture using the same | Kim Kyoung Hwan |
10651105 | Semiconductor chip that includes a cover protection layer covering a portion of a passivation layer | Kim Yun-Hee |
10651133 | Semiconductor package | Lee Su Chang |
10651154 | Semiconductor packages | Park Sang-Sick |
10651156 | Memory package and memory device utilizing an intermediate chip | Yu Hye Seung |
10651172 | Semiconductor device and method of manufacturing the same | Ha Taewon |
10651179 | Integrated circuit device and method of manufacturing the same | Park Hong-bae |
10651191 | Semiconductor device and method of fabricating the same | Choi Ji-Hoon |
10651194 | Semiconductor device including dielectric layer | Choi Ji Hoon |
10651195 | Three-dimensional semiconductor memory device | Lee Kyunghwan |
10651197 | 3D semiconductor devices including a supporter and methods of forming the same | Hong Sang Jun |
10651198 | Semiconductor devices and methods of manufacturing the same | Baek Seok-cheon |
10651201 | Integrated circuit including interconnection and method of fabricating the same, the interconnection including a pattern shaped and/or a via disposed for mitigating electromigration | Kim Ha-young |
10651219 | Image sensor | Choi Sung Soo |
10651224 | Semiconductor package including a redistribution line | Kim Ji Hwang |
10651226 | Image sensor and method of manufacturing the same | Lee Kwang-Min |
10651234 | Templating layers for forming highly textured thin films of heusler compounds switchable by application of spin transfer torque | Jeong Jaewoo |
10651236 | Semiconductor device including variable resistance memory device | Lee Kil-ho |
10651255 | Thin film transistor and method of manufacturing the same | Choi Ajeong |
10651264 | Display device having intersecting initialization power line portions | An Jun Yong |
10651392 | Organic light-emitting device | Chae Hyun Sik |
10651402 | Organometallic compound and organic light-emitting device including the same | Lee Kum Hee |
10651505 | Secondary battery and method of manufacturing secondary battery | Jeong Huisu |
10651526 | Flexible flat cable comprising stacked insulating layers covered by a conductive outer skin and method for manufacturing | Bae Bum-hee |
10651542 | Antenna for wireless communication and electronic device including the same | Choi Nak Chung |
10651570 | Electronic device having antenna unit | Lukyanov Anton Sergeevich |
10651668 | Apparatus and method for controlling power | Choi Youngjun |
10651696 | Motor rotor | Kim Won-ho |
10651828 | Flip-flop and semiconductor system including the same | Hwang Hyun-Chul |
10651850 | Low voltage tolerant ultra-low power edge triggered flip-flop for standard cell library | Mittal Sajal |
10651882 | Case for electronic device | Cho Chul In |
10651896 | Method and device for wirelessly transmitting power | Lee Sang-Wook |
10651897 | Near field communication package and portable device including the same | Lee Sang-Hyo |
10651905 | Eigenvalue decomposition precoding matrix index selection | Zhan Qi |
10651963 | DMRS port grouping method and apparatus for use in wireless cellular communication system | Shin Cheolkyu |
10651989 | Method and apparatus for transmitting and receiving reference signal in communication system | Noh Jee-Hwan |
10652002 | Method and apparatus for re mapping and rate matching for 5G next radio system | Nam Young-Han |
10652060 | Method and apparatus for transmitting and receiving time division duplex frame configuration information in wireless communication system | Ji Hyoungju |
10652098 | Method and apparatus for discovering and chaining network exposure functions | Kim Sunghoon |
10652105 | Display apparatus and controlling method thereof | Lim Kyung-soo |
10652151 | Method and apparatus for controlling traffic quality | Lee Chulki |
10652284 | Method and apparatus for session control support for field of view virtual reality streaming | Liu Chenghao |
10652316 | Method and device for transmitting data, and method and device for receiving data | Jung Jong-woo |
10652371 | Method and apparatus for transmitting and receiving data packet in multimedia system | Kim Dong-Yeon |
10652375 | Electronic device and structure of housing for same | Kim Hyunjin |
10652397 | Terminal device and method for performing call function | Jung Kyung-hun |
10652421 | Apparatus and method for capturing image with audio data | Chang Min-Young |
10652458 | Camera module and electronic device including the same | Kang Yoon-seok |
10652469 | Method and apparatus for self camera shooting | Son Ju-Hyoung |
10652471 | Image processing systems for correcting processed images using image sensors | Park Dong-Jin |
10652479 | HDR image sensor with LFM and reduced Motion Blur | Bitan Gal |
10652518 | Multi-lens based capturing apparatus and method | Cho Yang Ho |
10652610 | Content providing device and power source controlling method thereof | Lee Seung Bok |
10652628 | Transmitter, receiver, and controlling method thereof | Lee Hak-ju |
10652651 | Speaker and operation method thereof | Yoon Eui-han |
10652680 | Electronic device and method for controlling input and output by electronic device | Shin Sang-Wook |
10652683 | Method and apparatus for reproducing three-dimensional audio | Chon Sang-bae |
10652705 | Apparatus and method for processing call services in mobile terminal | Jeong Jinhong |
10652724 | Wearable device and communication method using the wearable device | Yoon Seung Keun |
10652731 | Method and system for downloading and installing UICC terminal profile on a terminal from a profile manager | Park Jonghan |
10652737 | Method of connecting user equipment to IMS network through web browser for web real-time communication service | Baek Youngkyo |
10652753 | Method for transmitting control signal and channel in mobile communication system using unlicensed band | Choi Seunghoon |
10652819 | Apparatus and method for reducing power consumption in multi antenna system | Kim Byung-Ki |
10652826 | Method and apparatus for power saving signal design in NR | Lin Qiongjie |
10652828 | Electronic device for providing mode switching and a method thereof | Jeon Jin-Young |
10652839 | Method for controlling power and electronic device thereof | Park Yong-Jun |
10652862 | Method and system for connectionless transmission during uplink and downlink of data packets | Baghel Sudhir Kumar |
10652873 | Method and apparatus for effectively providing TDD configuration information to user equipment and determining uplink transmission timing in mobile communication system supporting TDD | Kim Sangbum |
10652875 | Method and apparatus for scheduling communication for low capability devices | Papasakellariou Aris |
10652927 | Method and apparatus for identifying uplink signal transmission timing in wireless communication system | Oh Jinyoung |
10652929 | Method and apparatus for transmitting/receiving data on multiple carriers in mobile communication system | Kim Soenghun |
10652939 | Method and apparatus for device-to-device communication | Agiwal Anil |
10652957 | Heating element including nano-material filler | Kim Seyun |
10652988 | Mobile x-ray apparatus including a battery management system | Kim Myeong-je |
10653046 | Structure having circuit board disposed on upper face of shield can disposed on circuit board, and electronic device including same | Hong Eunseok |
10655269 | Washing machine | Uim Dong Hyuk |
10655778 | Display apparatus | Kim Yong Ku |
10655866 | Cooking apparatus and controlling method thereof | Ha Joo-Young |
10655899 | Oil separator | Kurokawa Takamitsu |
10656023 | Temperature sensing device and temperature-voltage converter | Kim Jooseong |
10656028 | Semiconductor device having variable parameter selection based on temperature and test method | Walker Darryl G. |
10656209 | Method and apparatus for managing battery | Jeon Jinyong |
10656279 | Electronic device and method for measuring position information of electronic device | Lee Yunwoo |
10656322 | Coherent backlight unit and three-dimensional image display device including the same | Kim Yunhee |
10656421 | Lightguide structure, optical device and imaging system | Piskunov Dmitry Evgenievich |
10656423 | Head mounted display apparatus | Kim Tae-kyung |
10656459 | Color polarizing film, antireflective film, and display device | Moon Deuk Kyu |
10656462 | Liquid crystal display | Kim Beom Seok |
10656498 | Beam steering device and system including the same | Kim Sunil |
10656613 | Apparatus and method for controlling comfort temperature of air conditioning device or air conditioning system | Lee Hyunjoo |
10656671 | Display device | Kim Hee Bong |
10656681 | Portable electronic device | Choi Jong-Min |
10656721 | Interactive three-dimensional display apparatus and method | Song Hoon |
10656730 | Apparatus and method for displaying data in electronic device | Kim Kiyun |
10656747 | Touch input processing method and electronic device for supporting the same | Lee Sung Jun |
10656784 | Method of arranging icon and electronic device supporting the same | Jin Yujie |
10656790 | Display apparatus and method for displaying a screen in display apparatus | Yoon Min-kyoung |
10656823 | Method and electronic device for managing operation of applications | Mukherjee Debayan |
10656838 | Automatic stream detection and assignment algorithm | Yang Jingpei |
10656958 | Method and apparatus for controlling virtual switching | Yoo Jae-yong |
10657041 | Data management method and storage device performing the same | Doh In-Hwan |
10657042 | User device including a nonvolatile memory device and a data write method thereof | Moon Sangkwon |
10657172 | Method and apparatus for managing image metadata | Kim Kwangyoung |
10657209 | Computing system and method of performing verification of circuit design in the computing system | Kim Ho-young |
10657236 | Wearable-type electronic device mounted with fingerprint recognition sensor | Cho Gyusang |
10657274 | Semiconductor device including memory protector | Cho Kyong-Ho |
10657279 | Method for controlling security system and electronic device thereof | Son Dong-Il |
10657364 | System and method for deep network fusion for fast and robust object detection | El-Khamy Mostafa |
10657387 | Method and apparatus for controlling vision sensor for autonomous vehicle | Ji Dae Hyun |
10657400 | Method and apparatus with vein pattern authentication | Shin Jungsoon |
10657402 | Electronic device and method for identifying sensor position by using pixels of display | Cho Sung |
10657424 | Target detection method and apparatus | Wang Biao |
10657426 | Accelerating long short-term memory networks via selective pruning | Georgiadis Georgios |
10657501 | System and method of providing to-do list of user | Choi Hyung-tak |
10657522 | Apparatus and method for processing card application in electronic device | Choi Byoungkab |
10657563 | Advertisement information updating method and apparatus | Jung Bu-Seop |
10657631 | Apparatus and method for controlling contrast ratio of content in electronic device | Yip Eric |
10657706 | 3D rendering method and apparatus | Ha Inwoo |
10657875 | Display driving device and a display system including the same | Chung Kyung-Hoon |
10657884 | Electronic device having display and sensor and method for operating the same | Jang Eun-Taek |
10657891 | Source driving circuit and display device including the same | Kim In-Suk |
10657967 | Method and apparatus for executing voice command in electronic device | Chakladar Subhojit |
10657976 | Signal encoding method and apparatus, and signal decoding method and apparatus | Sung Ho-sang |
10658014 | Memory device with memory cell blocks, bit line sense amplifier blocks, and control circuit connected to bit line sense amplifier blocks to control constant levels of currents supplied to sensing driving voltage lines | Lee Min-su |
10658023 | Volatile memory device and electronic device comprising refresh information generator, information providing method thereof, and refresh control method thereof | Loh Yanggyoon |
10658040 | Nonvolatile memory device and storage device including nonvolatile memory device | Lim Bongsoon |
10658043 | Method of erasing data in nonvolatile memory device, nonvolatile memory device performing the same and memory system including the same | Shim Won-Bo |
10658113 | Ceramic dielectric and ceramic electronic component and device | Jung Doh Won |
10658230 | Semiconductor devices | Hyun Chung-Il |
10658231 | Semiconductor device with air gap between wires and method for fabricating the same | Han Kyu Hee |
10658244 | Semiconductor devices having fin-shaped active regions | Kim Sung-Min |
10658249 | Methods for fabricating finFET devices having gate spacers on field insulating layers | Jo Gun Ho |
10658260 | Fan-out semiconductor package | Ha Seung Soo |
10658266 | Thermoelectric cooling packages and thermal management methods thereof | Kim Jae Choon |
10658288 | Semiconductor device having a metal via | Hong Seul Ki |
10658289 | Semiconductor devices having nonlinear bitline structures | Choi Yunjung |
10658300 | Semiconductor package and semiconductor device including the same | Kim Young-Lyong |
10658326 | Bonding wire having a silver alloy core, wire bonding method using the bonding wire, and electrical connection part of semiconductor device using the bonding wire | Han Won-Gil |
10658341 | Semiconductor package | Seo Sun-kyoung |
10658350 | Semiconductor package | Lee Seonggwan |
10658374 | Vertical semiconductor device | Park Jo-young |
10658375 | Three-dimensional semiconductor memory device and method of fabricating the same | Yon Gukhyon |
10658411 | Image sensors including shifted isolation structures | Pyo Junghyung |
10658413 | Semiconductor device including via plug | Park Sun Woo |
10658454 | Capacitor, semiconductor device and methods of manufacturing the capacitor and the semiconductor device | Cho Kyuho |
10658463 | Semiconductor device having asymmetrical source/drain | Jung Jongki |
10658551 | Wavelength-converting film and semiconductor light emitting apparatus having the same | Choi Sung Woo |
10658654 | Composite anode active material, anode including the same, and lithium secondary battery including the anode | Yoon Dukhyoung |
10658662 | Electrode active material for magnesium battery | Kim Jusik |
10658699 | Electrolyte for lithium secondary battery and lithium secondary battery employing the same | Seo Jinah |
10658710 | Secondary battery structure and system, and methods of manufacturing and operating the same | Jeon Woosung |
10658731 | Antenna device and electronic device comprising same | Muhn Sung Jin |
10658858 | Method and apparatus with battery charging control | Kim YoungJae |
10658871 | Wireless power and data transmission system | Kwon Ui Kun |
10658874 | Method and apparatus for protecting wireless power receiver from excessive charging temperature | Lee Woo-Ram |
10658880 | Electronic device for operating powerless sensor and control method thereof | Kim Dong-Zo |
10658978 | Method for configuring power in wireless communication system and apparatus thereof | Lee Young Min |
10659014 | Clock control in semiconductor system | Kim Yong Hwan |
10659021 | Vector sum circuit and phase controller using the same | Yeo Sungku |
10659099 | Page scanning devices, computer-readable media, and methods for bluetooth page scanning using a wideband receiver | Appleton Ian |
10659118 | Method and apparatus for explicit CSI reporting in advanced wireless communication systems | Rahman Md. Saifur |
10659123 | Apparatus and method for beam management in wireless communication system | Lee Namjeong |
10659134 | Apparatus and method for performing beamforming operation in wireless communication system | Lim Jong-Bu |
10659211 | Method and apparatus for setting radio link of terminal in which multiple carriers are integrated in mobile communication system | Jeong Kyeong In |
10659266 | Transmitter and subframe arrangement method therefor | Park Jung-hyun |
10659321 | Electronic apparatus for recording debugging information and control method thereof | Kim Mun-seok |
10659445 | Electronic apparatus, gateway and method for controlling the same | Ha Ji-Hun |
10659519 | Method and apparatus for transmitting and receiving content | Rhyu Sung-Ryeul |
10659538 | Wireless device and communication connection method with external device | Cho Yeongku |
10659549 | Electronic device and method for providing IP network service | Park Dong-Hoo |
10659572 | Handling different protocol data unit types in a device to device communication system | Agiwal Anil |
10659584 | Display system with a flexible display | Mok Kwok Wah |
10659593 | Method and electronic device for setting alarm | Chu Se Youp |
10659684 | Apparatus and method for providing dynamic panorama function | Lee Si Hyoung |
10659712 | Signal transfer circuit and image sensor including the same | Park Seok-Yong |
10659720 | Image sensing system that reduces power consumption and area and operating method of the same | Baek Byung-Joon |
10659767 | Method for performing out-focus using depth information and camera using the same | Lee Jin-Kyung |
10659778 | Method for enabling random access and playback of video bitstream in media transmission system | Choi Byeong-Doo |
10659806 | Video encoding method and apparatus, and video decoding method and apparatus using interpolation filter on which image characteristic is reflected | Alshina Elena |
10659812 | Method and device for video decoding and method and device for video encoding | Jin Bo-ra |
10659870 | Audio device and operating method of audio device | Shin Changseob |
10659872 | Speaker apparatus | Je Byoung Soo |
10659886 | Electronic device and sound output method thereof | Lee Myungcheol |
10659933 | Electronic device and information processing system including the same | Jeong Seong Ook |
10659949 | Scheme for communication and transmitting discovery signal in mobile communication system | Kim Soeng-Hun |
10659950 | Method and a base station for receiving a continuous mobile terminated service in a communication system | Lim Han-Na |
10659955 | Apparatus and method for installing and managing eSIM profiles | Lee Hyewon |
10659989 | Method and device for controlling congestion in mobile communication system | Jeong Sangsoo |
10659993 | Method and apparatus for transmitting or receiving paging in wireless communication system | Ryoo Sun-Heui |
10660027 | Mobile terminal apparatus for automatically generating/changing wireless local area network (WLAN) access information and method for controlling the same | Kim Jai-Dong |
10660036 | Apparatus and method for performing paging process in wireless communication system | Lee Sung-Jin |
10660041 | Apparatus and method for controlling operation cycle of electronic device in wireless communication system | Cha Ji Young |
10660086 | Method and apparatus for processing scheduling information in mobile communication system | Kim Soeng-hun |
10660088 | ACK/NACK feedback method in wireless communication system | He Hong |
10660108 | Method and apparatus for efficiently transmitting information acquired by a terminal to a base station | Kim Sang Bum |
10660117 | Method and device for transmitting data | Li Yingyang |
10660146 | Methods and apparatus for device to device synchronization priority | Li Ying |
10660167 | Light emitting diode module, light emitting diode driver, and light emitting diode lighting apparatus | Han Deok Hee |
10660471 | Cooking appliance | Kim Tae-Hun |
10660496 | Cleaning robot and method of controlling the cleaning robot | Han Seong Joo |
10660532 | Blood pressure measurement apparatus, portable pressure measurement apparatus, and calibration method for blood pressure measurement apparatus | Kang Jae Min |
10660534 | Method, apparatus, and system providing exercise guide information | Lee Choonghee |
10660605 | Image processing module, ultrasonic imaging apparatus using the image processing module, and ultrasonic image generation method using the ultrasonic imaging apparatus | Kang Joo Young |
10660607 | Ultrasound diagnosis apparatus for self-diagnosis and remote-diagnosis, and method of operating the ultrasound diagnosis apparatus | Ryu Jae-young |
10660612 | Ultrasound probe and ultrasound imaging device | Cho Kyung Il |
10661805 | Vehicle control unit (VCU) and operating method thereof | Jung Daebong |
10661891 | Unmanned aerial vehicle | Baek Sang-In |
10662572 | Clothes dryer | Kang Geun |
10663175 | Home appliance | Jang Yong Seok |
10663207 | Refrigerator | Jeong Jin |
10663405 | Raman probe and bio-component analyzing apparatus using the same | Lee Woochang |
10663522 | Apparatus and method for estimating state of health (SOH) of battery, and apparatus and method for generating SOH estimation model | You Kae Weon |
10663540 | Magnetic resonance imaging (MRI) apparatus signal receiving coil including support member and coil element connected to support member | Kim Young Beom |
10663638 | 2D/3D switchable backlight unit and image display apparatus using the same | Lee Hongseok |
10663648 | Display apparatus | Yoo Jun-Mo |
10663738 | System and method for HMD configurable for various mobile device sizes | Carlvik Ola |
10663797 | Composite optical sheet, liquid crystal display device using same, and method for manufacturing same | Sasaki Katsutoshi |
10663860 | Photosensitive compositions, quantum dot polymer composite produced therefrom, and layered structures and electronic device including the same | Kwon Ha Il |
10663972 | Apparatus for localizing cleaning robot, cleaning robot, and controlling method of cleaning robot | Park Soon Yong |
10664010 | Electronic device and operating method thereof | Chung Jae-Woong |
10664023 | Input module wiring structure of electronic device | Kim Tae Sik |
10664052 | Method for providing feedback and an electronic device thereof | Dixit Achintya |
10664088 | Method for controlling touch screen and electronic device supporting thereof | Kim Ki Won |
10664108 | Touch sensor and electronic device including the touch sensor | Kim Dongkyun |
10664122 | Apparatus and method of displaying windows | Choi Jung-hwan |
10664129 | Electronic device and method of operating the same | Lee Jae-Myoung |
10664163 | User terminal apparatus, method for inputting text and displaying an input mode sign next to a text input cursor in user terminal apparatus, and computer-readable recording medium | Seo Won-young |
10664175 | Method and apparatus for fine tuning and optimizing NVMe-oF SSDs | Wu Wentao |
10664195 | Memory devices and methods for controlling the same | Kim Hye Ju |
10664333 | Semiconductor memory device including a controller for controlling power and electronic device including the semiconductor memory device | Cha Gyu-Hwan |
10664426 | Electronic device and method for performing hybrid communication with external electronic device | Lee Woo Kwang |
10664531 | Peer-based user evaluation from multiple data sources | Phan Thomas |
10664578 | Security information inputting/outputting method and electronic device adapted to the method | You Heejun |
10664686 | Electronic device, and method for analyzing face information in electronic device | Son Joo-Young |
10664741 | Selecting a behavior of a virtual agent | Gibbs Simon J. |
10664948 | Method and apparatus for processing omni-directional image | Woo Ji-Hwan |
10664968 | Computer aided diagnosis apparatus and method based on size model of region of interest | Ryu Seung Woo |
10665106 | Method and device for controlling driving based on sensing information | Choi Mid-eum |
10665152 | Light emitting diode display device and method of operating the same | Cho Young-hoon |
10665203 | User interface apparatus and user interface method | Lee Jun Haeng |
10665273 | Semiconductor memory devices, memory systems and refresh methods of the same | Kim Joung-Yeal |
10665287 | Method of refreshing memory using multiple operating voltages and memory device performing the same | Lim Suk-Hyun |
10665294 | Semiconductor devices, circuits and methods for read and/or write assist of an SRAM circuit portion based on voltage detection and/or temperature detection circuits | Walker Darryl G. |
10665295 | Static random-access memory with virtual banking architecture, and system and method including the same | Pulluru Lava Kumar |
10665302 | Non-volatile memory device and operating method thereof | Lim Bong-Soon |
10665312 | Nonvolatile memory device configured to adjust a read parameter based on a degradation level | Bang Jin Bae |
10665477 | Substrate cleaning apparatus and substrate processing facility having the same | Kim Tea-Geon |
10665483 | Apparatus for treating a substrate | Park Gi-Nam |
10665490 | Apparatus and methods for edge ring replacement, inspection and alignment using image sensors | Lee Ja-Woo |
10665498 | Semiconductor device having air gap spacer and method of fabricating the same | Kim Eun-Jung |
10665535 | Semiconductor package | Lee Jae Ean |
10665544 | Semiconductor device including conductive patterns | Shin Seok-Ho |
10665549 | Fan-out semiconductor package | Bae Sung Hawn |
10665557 | Semiconductor device | Han Jung-Hoon |
10665558 | Semiconductor memory including pads arranged in parallel | Kim Sihong |
10665571 | Semiconductor package | Lee Kunsil |
10665574 | Semiconductor package | Im Yunhyeok |
10665575 | Semiconductor package | Song Eun-seok |
10665588 | Integrated circuit device and method of fabricating the same | Jun Hwi-chan |
10665592 | Semiconductor memory device and method of fabricating the same | Song Jungwoo |
10665606 | Three-dimensional semiconductor memory devices | Baek Seokcheon |
10665625 | Image sensor package and image sensing module | Kim Young Bae |
10665637 | Complementary metal oxide semiconductor image sensor and method of manufacturing the same | Park Kyungbae |
10665664 | Capacitor structure and semiconductor device including the same | Lee Jong-Min |
10665702 | Vertical bipolar transistors | Kang Myung Gil |
10665723 | Semiconductor device having channel regions | Song Seung Min |
10665897 | Lithium secondary battery including phosphite additive | Koh Myongchun |
10665924 | Housing, manufacturing method thereof, and electronic device having the housing | Son Kwonho |
10665927 | Electronic device comprising antenna which is using an electrically conductive material included in a housing of the electronic device | Kang Kyung Kyun |
10666224 | Bulk acoustic wave resonator | Shin Jea Shik |
10666247 | Electronic device for preventing overvoltage | Kim Shinho |
10666249 | Semiconductor package | Na Dae Hoon |
10666290 | Devices for decoding input data by using path metric and decoding methods using the same | Kim Dae-son |
10666327 | Electronic device and method for correcting phase in electronic device | Son Bong-Sup |
10666332 | Method and apparatus for operating beamformed reference signal in communication system | Lee Keon-Kook |
10666335 | Method of selecting beam and electronic device thereof | Lee Hyoungjoo |
10666344 | Method and electronic device for configuring radio frequency setting | Kim Sung Soo |
10666367 | Method and apparatus for controlling operation based on distance between transmission device and reception device | Ko Changgun |
10666408 | Method and apparatus for transmitting and receiving reference signal in wireless communication system | Shin Cheol Kyu |
10666413 | Transmission of uplink control information in carrier aggregation with a large number of cells | Papasakellariou Aris |
10666419 | Semiconductor circuit and semiconductor system | Kim Sung-Ha |
10666453 | Electronic device and method of controlling electronic device | Kim Yongwook |
10666467 | Memory device and operation method thereof | Kwon Hye Jung |
10666660 | Method and apparatus for providing profile | Park Jonghan |
10666759 | Communication method of node overhearing content in content centric network and node | Kim Jae Hoon |
10666783 | Method and apparatus for storing telephone numbers in a portable terminal | Lim Heui-Do |
10666786 | Method and electronic device for controlling external electronic device | Kim Jin-Young |
10666787 | Electronic device and method of executing application | Chu Seyoup |
10666837 | Bidirectional synchronizing camera, camera system including the same and method of operating the camera | Kim Il Joong |
10666869 | Image display apparatus and image display method | Mukherjee Debayan |
10666876 | Application processor that processes multiple images to generate a single image, and includes a depth image generator configured to generate depth information based on disparity information and to configured to correct distortion by row alignment | Lee Ser Hoon |
10666883 | Image sensor and electronic circuit included therein | Kim Moo Young |
10666933 | 3D image display device and method | Shaohui Jiao |
10666936 | Video decoding method and video decoding apparatus using merge candidate list | Lee Jin-young |
10666940 | Video encoding method and apparatus, and video decoding method and apparatus | Park Chan-yul |
10667003 | Broadcast receiver, mobile device, service providing method, and broadcast receiver controlling method | Lee Sang-kwon |
10667043 | Loudspeaker and sound outputting apparatus having the same | Kelly Liam |
10667095 | Apparatus and method for managing a telephone number in a terminal | Moon Kyung-Chun |
10667104 | Method for device to control another device and the device | Baek Yun-sun |
10667112 | Apparatus and method for transmitting content in portable terminal | Kang Hyuk |
10667137 | Method and apparatus for providing contents in communication system | Lee Chul-Ki |
10667153 | Network selection method and apparatus therefor | Kim Ji Hwan |
10667176 | Structure of MAC sub-header for supporting next generation mobile communication system and method and apparatus using the same | Kim Donggun |
10667182 | Method and system for supporting fast recovery of user equipment | Xu Lixiang |
10667186 | Method for assigning and managing reference signals in a multi-cell environment, and network device and terminal for applying the method | Shin Won Jae |
10667213 | Apparatus and method for power saving for cellular internet of things devices | Wu Jian Jun |
10667223 | Method of efficiently reporting user equipment transmission power and apparatus thereof | Kim Sang Bum |
10667268 | Apparatus and method for selecting best beam in wireless communication system | Kim Tae-Young |
10667312 | Remote controller and control method therefore | Yoon Sukhoon |
10667724 | System and method for continuous background heartrate and heartbeat events detection using a motion sensor | Li Yelei |
10667757 | Feature extraction apparatus and method for biometric information detection, biometric information detection apparatus, and wearable device | Park Chang Soon |
10668403 | Source supplier for a supercritical fluid, substrate processing apparatus having the same | Oh Jung-Min |
10668702 | Conductive films and electronic devices including the same | Yang Daejin |
10668924 | Method and apparatus to control velocity of vehicle | Lee Jaewoo |
10669631 | Gas injection apparatus and thin film deposition equipment including the same | Kim Ki-Chul |
10669664 | Washing apparatus and controlling method thereof | Kim Tae-Kil |
10669665 | Washing machine having multiple washing units | Cheon Young-Seong |
10669666 | Door unit and clothes treating apparatus having the same | Jang Jong-hyun |
10670213 | Vehicle lamp device and method of manufacturing the same | Lee Yeon-Woo |
10670277 | Oven | Iwamoto Kiyoshi |
10670278 | Cook top, range hood and control methods thereof | Ji Sook-young |
10670332 | Refrigerator | Park Kyoung Ki |
10670397 | Distance measuring device and method of measuring distance by using the same | Otsuka Tatsuhiro |
10670469 | Method for controlling battery charging and electronic device therefor | Ryu Sanghyun |
10670661 | Battery management apparatus and method | Hwang Hyo Sun |
10670722 | Increase depth resolution and depth accuracy in ToF sensors by avoiding histogrammization | Shi Lilong |
10670891 | Nonreciprocal optical transmission device and optical apparatus including the same | Yang Kiyeon |
10670941 | Optical modulation device and method of operating the same | Park Junghyun |
10670962 | Photosensitive compositions, preparation methods thereof, and quantum dot polymer composite pattern produced therefrom | Park Shang Hyeun |
10670968 | Maskless exposure method, maskless exposure apparatus and method of manufacturing a semiconductor device using the same | Lee Sang-Min |
10671115 | User terminal device and displaying method thereof | Park Hae-yoon |
10671141 | Storage device and method of controlling link state thereof | Kwon Ohsung |
10671158 | Three-dimensional (3D) rendering method and apparatus for user' eyes | Kang Byong Min |
10671193 | Mobile device and method for displaying information | Won Sung-Joon |
10671217 | Touch processing method and electronic device for supporting the same | Heo Hoon Do |
10671231 | Electromagnetic interference signal detection | Bau Olivier |
10671243 | Screen operating method and electronic device supporting the same | Ryu Wan Sang |
10671258 | Electronic device having hole area and method of controlling hole area thereof | Lee Jongmoo |
10671265 | Display apparatus and display method | Lee Jin-ha |
10671273 | Method for controlling user interface according to handwriting input and electronic device for implementing the same | Jung In-Hyung |
10671282 | Display device including button configured according to displayed windows and control method therefor | Lee Seung-Woon |
10671287 | Method of performing garbage collection, storage device performing the same and computing system including the same | Doh In-Hwan |
10671299 | Nonvolatile memory module having device controller that detects validity of data in RAM based on at least one of size of data and phase bit corresponding to the data, and method of operating the nonvolatile memory module | Nam Hee Hyun |
10671307 | Storage system and operating method thereof | Lee Jeong-ho |
10671317 | Block cleanup: page reclamation process to reduce garbage collection overhead in dual-programmable NAND flash devices | Shahidi Narges |
10671319 | Memory device configured to store and output address in response to internal command | Shin Seung-jun |
10671336 | Method and device for controlling screen sharing among plurality of terminals, and recording medium | Kim Yong-tae |
10671354 | Method, system and apparatus for generating source code from table and using the same | Shin Han-kyeol |
10671464 | Memory device comprising status circuit and operating method thereof | Oh Moonhee |
10671478 | Scrubbing controllers of semiconductor memory devices, semiconductor memory devices and methods of operating the same | Cha Sang-Uhn |
10671522 | Memory controller and memory system including the same | Kim Hyun Sik |
10671524 | Data storage device including nonvolatile memory device and operating method thereof | Moon Sangkwon |
10671529 | Address scheduling methods for non-volatile memory devices with three-dimensional memory cell arrays | Yoon Chi Weon |
10671543 | Systems and methods for reducing first level cache energy by eliminating cache address tags | Hagersten Erik |
10671562 | Clock gating circuit | Yun Jaegeun |
10671745 | Electronic apparatus and method of transforming content thereof | Lee Ho-jung |
10671817 | Electronic device, user input apparatus controlling the same, and control method thereof | Seo Dae-Won |
10671865 | Autonomous vehicle control method and apparatus | Kim Changhyun |
10672023 | Apparatus for analyzing advertisement effect and method of analyzing advertisement effect | Jo Seng Kyoun |
10672033 | Method and appartus for advertising on basis of area using device-to-device discovery | Kwon Sangwook |
10672097 | Display driving circuit and method of partial image data | Bae Jong Kon |
10672180 | Method, apparatus, and recording medium for processing image | Jeong Jae-yun |
10672184 | Method and apparatus for performing tile-based path rendering | Yoo Jeongjoon |
10672209 | Door lock control apparatus and method | Lee Kang-min |
10672333 | Wearable electronic device | Ha Young-Hee |
10672410 | Method and apparatus for decoding audio bitstream including system data | Chon Sang-bae |
10672436 | Memory device including on-die-termination circuit | Kim Eun-Ji |
10672442 | Voltage control circuit including assist circuit and memory device including the same | Baeck Sang-yeop |
10672443 | Methods and systems for performing decoding in finFET based memories | Gupta Ankur |
10672445 | Memory device including local support for target data searching and methods of operating the same | O Seong Il |
10672447 | Memory device and programming method | Jung Hyunsung |
10672451 | Storage device and refresh method thereof | Bang Kyoung Il |
10672454 | Nonvolatile memory devices and memory systems | Kwak Dong-Hun |
10672479 | Nonvolatile memory devices, memory systems and methods of operating nonvolatile memory devices for processing user data | Kim Taek-Soo |
10672488 | Memory device | Bang Jin Bae |
10672629 | Ring assembly and chuck assembly having the same | Kim SungHyup |
10672694 | Printed circuit board, semiconductor package including the printed circuit board, and method of manufacturing the printed circuit board | Kim Dong-suk |
10672702 | Integrated circuit including standard cell | Kim In-gyum |
10672714 | Fan-out semiconductor package | Jang Min Sek |
10672719 | Fan-out semiconductor package | Hur Kang Heon |
10672727 | Semiconductor package providing protection from electrical noise | Kim Hyung Joon |
10672756 | Area and power efficient circuits for high-density standard cell libraries | Mittal Sajal |
10672764 | Integrated circuit semiconductor devices including a metal oxide semiconductor (MOS) transistor | Kim Seok-hoon |
10672781 | Semiconductor device | Lee Byoung Il |
10672787 | Three-dimensional semiconductor device and method of manufacturing the same | Hwang Sung-Min |
10672789 | Methods of manufacturing vertical semiconductor devices | Song Min-Yeong |
10672790 | Method of fabricating three-dimensional semiconductor memory device | Yu Han Geun |
10672791 | Nonvolatile memory device having a vertical structure and a memory system including the same | Lim Bong-soon |
10672792 | Three-dimensional semiconductor memory device | Choi Kangyoon |
10672816 | Optical sensors including a light-impeding pattern | Park Jonghoon |
10672817 | Image sensors with light channeling reflective layers therein | Lee Kyungho |
10672823 | Image sensors | Shin Seung-hun |
10672890 | Integrated circuit device | Lee Min-seong |
10672978 | Method of manufacturing a variable resistance memory device | Lee Jung-Min |
10672987 | Polymer and electronic device and organic thin film transistor including the same | Chung Jong Won |
10672995 | Organometallic compound and organic light-emitting device including the same | Das Rupasree Ragini |
10673004 | Electroluminescent display device | Kim Tae Hyung |
10673126 | Antenna device for portable terminal | Hwang Soon-Ho |
10673156 | Connecting device and electronic device including the same | Hur Jang-Won |
10673285 | Electronic device and method for controlling wireless transmit power by detecting foreign object | Ha Mincheol |
10673289 | Motor with stiffened stator core, manufacturing method thereof, and washing machine including the motor | Nakagawa Yukinori |
10673338 | Voltage converter and operating method of voltage converter | Lee Kwang Chan |
10673418 | Level shifter circuit | Ko Seokkyun |
10673420 | Electronic circuit including flip-flop using common clock | Lee Hyun |
10673467 | Apparatus and method for parallelized successive cancellation decoding and successive cancellation list decoding of polar codes | El-Khamy Mostafa |
10673470 | Wireless communication circuit for supporting multiple frequency bands and apparatus having the same | Lee Han Yeop |
10673486 | Device and method for controlling transaction between short-range wireless communication reader and external electronic device | Yang Yi |
10673503 | Method and device for performing beam forming | Agrawal Sachin Kumar |
10673507 | Method and apparatus for channel state information reference signal (CSI-RS) | Onggosanusi Eko |
10673515 | Apparatus and method for performing measurement | Lee Namjeong |
10673554 | Transmitting apparatus and mapping method thereof | Myung Se-ho |
10673562 | Signal receiving circuit and operation method thereof | Lee June-Hee |
10673573 | Uplink transmission method and corresponding equipment | Wang Yi |
10673578 | Method and device for processing HARQ feedback in a mobile communication system | Kim Soeng Hun |
10673666 | Method and apparatus for configuring demodulation reference signal position in wireless cellular communication system | Shin Cheolkyu |
10673680 | Electronic device providing dialog contents, server and method thereof | Ban Hyong Jin |
10673848 | Foldable device and method of controlling the same | Kim Dae-myung |
10673926 | Mobile device, control method thereof, message sending apparatus and message sending method | Yu Seung-dong |
10673990 | Apparatus and method for transmitting and receiving signal in multimedia system | Yang Hyun-Koo |
10674002 | Apparatus and method for controlling built-in microphone of portable terminal | Park Sang-Kyu |
10674066 | Method for processing image and electronic apparatus therefor | Yoon Young-Kwon |
10674104 | Image adjustment apparatus and image sensor for synchronous image and asynchronous image | Park Keun Joo |
10674112 | Display driver circuit for adjusting framerate to reduce power consumption | Moon Young-Bae |
10674133 | Image display device and image display method | Oh Sung-bo |
10674160 | Parallel video encoding device and encoder configured to operate in parallel with another encoder | Jun Sung Ho |
10674178 | One-dimensional segmentation for coherent motion estimation | Winetraub Yonatan |
10674193 | Content providing method and device | Chae Han-joo |
10674219 | Method and system for reproducing contents, and computer-readable recording medium thereof | Jeon Byung-jeong |
10674299 | Method and apparatus for rendering sound signal, and computer-readable recording medium | Chon Sang-bae |
10674342 | Electronic device and method for providing location information of user in emergency | Park Inkook |
10674356 | Dual connectivity mode of operation of a user equipment in a wireless communication network | Ingale Mangesh Abhimanyu |
10674359 | Method of authenticating external vehicle and vehicle capable of performing same | Han Seong-won |
10674368 | Method and apparatus for communication using licensed band and unlicensed band | Kang Dae Ho |
10674439 | Method and apparatus for communication in wireless communication system | Lee Hyewon |
10674441 | Connection configuration method | Kaura Ricky Kumar |
10674444 | Method and apparatus for measuring inter-frequency neighboring cell and user equipment thereof | Zhou Xutao |
10674464 | Method and device for synchronizing frame numbers between Device-to-Device communication supported user devices | Kim Wooseong |
10674497 | Method and apparatus for transmitting and receiving control and data channels in wireless communication system | Kim Taehyoung |
10674512 | Method and apparatus for transmitting and receiving UE capability information mobile communication system | Kim Soenghun |
10674517 | Method and apparatus for using resource information in wireless communication system | Yoon Suha |
10674532 | Method and apparatus for configuring multiple scheduling requests | Park Suyoung |
10674550 | Method and apparatus for performing radio link monitoring in a wireless communication system | Kim Young-Bum |
10674555 | Electronic device and method for forming Wi-Fi direct group thereof | Bang Hyejung |
10674572 | Display device and electronic device comprising same | Cho Sung-Gun |
10674607 | Electronic device including interposer | Park Jungsik |
10674623 | Housing including metal material and electronic device including same | Jeong Hyunjung |
10674925 | Electrode assemblies for measuring bio-signals | Jo Hee-Jae |
10674967 | Estimating body composition on a mobile device | Rahman Mahbubur |
10675161 | Joint assembly and motion assistance apparatus including the same | Kim Jeonghun |
10675711 | Mask extension welding device for thin film deposition | Han Jeongwon |
10676488 | Multifunctional supramolecular hybrids encompassing hierarchical self-ordering of metal-organic framework nanoparticles and method of preparing same | Tan Jin-Chong |
10676666 | Quantum dot aggregate particles, production methods thereof, and compositions and electronic devices including the same | Kwon Ha Il |
10676850 | Washing machine and method for controlling the same | Kweon Soon Cheol |
10677387 | Electronic device including stand member | Han Yonghwa |
10677476 | Air conditioner | Kim Jung Ho |
10677520 | Refrigerator | Choi June Hyuck |
10677974 | Pattern structure and method of manufacturing the pattern structure | Lee Sunghoon |
10677976 | Mobile device capable of displaying hologram and hologram display method | Mukhtarov Farid |
10677978 | Display device | Lee Kil-hong |
10678036 | Optical device and light source module including the same | Ha Sang Woo |
10678080 | Display device | Jung Sung Soo |
10678082 | Display device | Jung Sung Soo |
10678093 | Backlight unit comprising a cholesteric liquid crystal layer and a polarizing portion having a waveplate and a polarizing film and display apparatus including the same | Min Kwan Sik |
10678117 | Optical phased array (OPA) | Shin Dong-jae |
10678130 | Photosensitive compositions and quantum dot polymer composite patterns including the same | Yang Hyeyeon |
10678236 | Cleaning robot | Jung Jae Young |
10678280 | Low dropout voltage (LDO) regulator including a dual loop circuit and an application processor and a user device including the same | Cho Gyu-Hyeong |
10678311 | Memory devices | Kim Jiyong |
10678359 | Pattern structure for preventing visibility of moiré and display apparatus using the pattern structure | Cho Eunhyoung |
10678408 | Display apparatus and method of highlighting object on image displayed by a display apparatus | Kim Kook-heon |
10678420 | Electronic device and UI providing method therefor | Choi Yu-ri |
10678473 | Storage device and server device | Lim Gwang Man |
10678495 | Electronic apparatus and method for adjusting intensity of sound of an external device | Kielak Michal |
10678527 | Apparatus and method for managing application | Oh Myeong Jin |
10678556 | Integrated circuit device including wake-up control circuit and electronic device including the same | Jang Jaehyeok |
10678563 | Display apparatus and method for controlling display apparatus | Lee Bo-ra |
10678631 | Device and system including adaptive repair circuit | Shin Sang-Hoon |
10678688 | Semiconductor device | Kang SukYong |
10678704 | Method and apparatus for enabling larger memory capacity than physical memory size | Jiang Dongyan |
10678728 | System on chip having semaphore function and method for implementing semaphore function | Cho DongSik |
10678836 | Slide show-providing system and method | Lee Dae-ho |
10678896 | Methods and apparatuses for updating user authentication data | Kim Kyuhong |
10679028 | Method and apparatus for performing authentication based on biometric information | Yoon Kangjin |
10679037 | Method and apparatus for recognizing fingerprint | Kim Wonjun |
10679053 | Method and device for recognizing biometric information | Kim Moon-Soo |
10679083 | Liveness test method and apparatus | Xu Jingtao |
10679336 | Detecting method, detecting apparatus, and computer readable storage medium | Chu Hyun Ho |
10679351 | System and method for semantic segmentation of images | El-Khamy Mostafa |
10679486 | Electronic device for reminding of task and controlling method thereof | Won Sung-Joon |
10679534 | Display driving device including source driver and timing controller and operating method for controlling source line slew times | Ryu KeunHo |
10679568 | Electronic device for acquiring biometric information using display light and operating method thereof | Lee Joon Gyu |
10679569 | Display device and method of controlling the same | Han Seung-Hoon |
10679585 | Apparatus and method for converting content and outputting the converted content | Oh Sung-bo |
10679612 | Speech recognizing method and apparatus | Lee Hoshik |
10679618 | Electronic device and controlling method thereof | Sohn Young-chul |
10679619 | Method of providing voice command and electronic device supporting the same | Subhojit Chakladar |
10679628 | Electronic device and method of operating voice recognition function | Lee Taejin |
10679686 | Apparatus including magnetoresistive memory device | Sonoda Masatoshi |
10679688 | Ferroelectric-based memory cell usable in on-logic chip memory | Rakshit Titash |
10679701 | Solid state drive devices and storage systems having the same | Park Ji-Won |
10679702 | Memory device with voltage controller | Kwak Dong Hun |
10679717 | Nonvolatile memory including on-die-termination circuit and storage device including the nonvolatile memory | Kim Eun-Ji |
10679843 | Method of treating substrates using supercritical fluids | Jeong Ji-Hoon |
10679933 | Fan-out semiconductor package and electronic device including the same | Kim Sun Ho |
10679940 | Mask and metal wiring of a semiconductor device formed using the same | Lim Jong-Seok |
10679956 | Semiconductor memory chip, semiconductor memory package, and electronic system using the same | Kim Kyoung Soo |
10679957 | Semiconductor device | Shin Seok-Ho |
10679972 | Method of manufacturing multi-chip package | Han Won-Gil |
10679997 | Semiconductor device comprising work function metal pattern in boundary region and method for fabricating the same | Jung Ki Wook |
10680005 | Nonvolatile memory device, method of operating nonvolatile memory device and storage device including the same | Kim Seung-Bum |
10680007 | Semiconductor device | Shin Seung Jun |
10680008 | Methods of manufacturing semiconductor devices | Lee Keun |
10680011 | Vertical semiconductor devices | Lee Kyung-Hwan |
10680013 | Three-dimensional memory devices having plurality of vertical channel structures | Nam Sang-wan |
10680014 | Integrated circuit including asymmetric ending cells and system-on-chip including the same | Ryu Jong-kyu |
10680015 | Power gate switching system | Lee Hoijin |
10680025 | Semiconductor package and image sensor | Shim Jong Bo |
10680066 | Graphene device, methods of manufacturing and operating the same, and electronic apparatus including the graphene device | Heo Jinseong |
10680144 | Quantum dot glass cell and light-emitting device package including the same | Yoo Chul-hee |
10680176 | Method of forming hybrid nanostructure on graphene, hybrid nanostructure, and device including the hybrid nanostructure | Kim Sang-woo |
10680314 | Antenna device of mobile terminal | Sung Sang Bong |
10680322 | Coil sharing method and device | Lee Woosup |
10680337 | Antenna device and electrical device including the same | Kim Tae Gyu |
10680349 | Antenna device and electronic device including the same | Kwon Tae Wook |
10680465 | Wireless power transmitter | Yeo Sung-Ku |
10680470 | Electronic device having wireless power transmitting/receiving conductive pattern | Jang Kiyoun |
10680593 | Delay locked loop circuit and method of operating a delay locked loop circuit | Kim Sang-Kyeom |
10680636 | Analog-to-digital converter (ADC) with reset skipping operation and analog-to-digital conversion method | Kim JongPal |
10680652 | Method and apparatus for channel encoding and decoding in communication or broadcasting system | Ahn Seokki |
10680664 | Device and method for compensating nonlinearity of a transmitter | Choi Hong-min |
10680671 | Small antenna apparatus and method for controlling the same | Park Jungsik |
10680684 | System and method for analog beamforming for single-connected antenna array | Cheng Hongbing |
10680690 | Apparatus and method for adjusting receive beam gain in a wireless communication system | Jeong Cheol |
10680722 | Apparatus and method for transmitting and receiving signal in communication system | Ko Il-Suk |
10680726 | Method and apparatus for antenna phase correction in large-capacity antenna system | Shim Seijoon |
10680735 | Transmitting apparatus and mapping method thereof | Mouhouche Belkacem |
10680741 | Method and apparatus for receiving downlink data through interference signal cancellation and suppression in wireless communication system | Oh Jinyoung |
10680745 | Blind detection of preempted resources in orthogonal frequency division multiplexing (OFDM) systems | Etezadi Farrokh |
10680750 | Transmitting apparatus and mapping method thereof | Mouhouche Belkacem |
10680762 | Modem chip for performing hybrid automatic repeat request processing, application processor including the modem chip, and operating method of the modem chip | Cho Hyun-sang |
10680844 | Apparatus and method for providing information for a wireless network connection using Wi-Fi | Kim Ji-Hyeok |
10680873 | Transmitting apparatus and mapping method thereof | Mouhouche Belkacem |
10680923 | Semiconductor device and operating method thereof | Yun Jae Geun |
10681098 | Transmitting apparatus, receiving apparatus, and control methods thereof | Park Jung-hyun |
10681122 | Method and apparatus for converting content using cloud | Malaviya Shubhrangam |
10681137 | System and method for network-attached storage devices | Smith Hubbert |
10681186 | Apparatus and method for transmitting and receiving signal in multimedia system | Yang Hyun-Koo |
10681195 | Electronic device involving display | Kwak Woon Geun |
10681196 | Method for providing human network management service in mobile terminal | Lee Hye-Soo |
10681262 | Digital image photographing apparatus and method of controlling the same | Kim Na-young |
10681263 | Electronic device and operating method thereof | Han Na-Woong |
10681270 | Electronic device for creating panoramic image or motion picture and method for the same | Heo Yoon Jeong |
10681273 | Mobile device including multiple cameras | Kim Tea-Hyung |
10681275 | Digital photographing method and apparatus for capturing images based on detected motion vectors | Lee Seung-yun |
10681287 | Apparatus and method for displaying AR object | Park Yerin |
10681308 | Electronic apparatus and method for controlling thereof | Lyu Dugin |
10681340 | Electronic device and method for displaying image | Park Hee-Bae |
10681345 | Image processing apparatus, image processing method, and image display system | Kang Woo Seok |
10681406 | Display apparatus, method for executing application thereof, external device remotely controlling the display apparatus, and method for providing application control screen thereof | Kim Joo-youn |
10681439 | Electronic device including heat radiating structure | Kim Jinwoo |
10681462 | Electronic apparatus, method for controlling thereof and the computer readable recording medium | Lee Da-young |
10681464 | Acoustic diaphragm including graphene and acoustic device employing the same | Kim Sangwon |
10681467 | Slim acoustic transducer and image display apparatus having the same | Lee Gyeong-tae |
10681505 | Method and apparatus for performing direct communication with at least one other user equipment | Baek Yun-Sun |
10681508 | Method of displaying an event in a mobile terminal and mobile terminal implementing the same | Baek Su-A |
10681514 | Method and device for selective communication service in communication system | Park Jong-Han |
10681517 | Electronic device and communication connecting method thereof | Hong Ji-Seon |
10681545 | Mutual authentication between user equipment and an evolved packet core | Rajadurai Rajavelsamy |
10681565 | Method for detecting cause of radio link failure or handover failure | Xu Lixiang |
10681571 | Apparatus and method for managing measurement gap in wireless communication system | Lee Doo-Hee |
10681580 | Method and apparatus for measuring RSRP in mobile communication system | Kim Jaewon |
10681602 | Method and apparatus for providing congestion control for application in wireless communication system | Won Sung Hwan |
10681626 | User equipment and method for handling public land mobile network selection involving prose communication | Surisetty Vijay Ganesh |
10681628 | Method and apparatus for scanning access points in a portable terminal | Woo Yong-Ha |
10681645 | Method and apparatus for controlling uplink transmission power in wireless communication system | Kang Jinkyu |
10681647 | Method and apparatus for adjusting transmission power | Jang Chulhee |
10681681 | Method and apparatus for processing uplink data by DRX-mode terminal in mobile telecommunication system | Kim Soeng Hun |
10681694 | Apparatus and method for performing random access process in communication system supporting beamforming scheme | Kim Jae-Won |
10681700 | Method and device for signaling for sliding window superposition coding transmission in wireless communication system | Lim Chiwoo |
10681711 | Method for data scheduling and power control and electronic device thereof | Kim Dong-Hyun |
10681743 | Method and apparatus for facilitating coexistence of 4<sup>th </sup>and 5<sup>th </sup>generation communication systems | Yoon Suha |
10681747 | Method of controlling a medical apparatus and mobile apparatus therefor | Jun Yoon-woo |
10681750 | Method and apparatus for supporting mobility of user device in mobile communication network | Alper Yegin |
10681754 | Apparatus and method for establishing network controlled direct connection in communication system supporting device to device scheme | Agiwal Anil |
10681769 | Method and apparatus for selecting reception antenna set of user terminal | Lee Hyoungjoo |
10681823 | Mounting structure for module in electronic device | Kim Hyung Dal |
10682111 | Medical image display device and medical image processing method | Nam Woo-hyun |
10682614 | Post processing filled microporous membranes | Beard Kirby W. |
10682671 | Ultrasonic imaging apparatus, ultrasonic probe apparatus, signal processing apparatus and method of controlling ultrasonic imaging apparatus | Kim Baehyung |
10683208 | MXene nanosheet and manufacturing method thereof | Shin Hyeonjin |
10683600 | Washing machine | Kim Sung Jong |
10684024 | Air conditioner | Lee Won-Hee |
10684057 | Blower and refrigerator having the same | Kim Hyun Joo |
10684065 | Refrigerator | Kim Byoung Mok |
10684164 | Display brightness control method, electronic device, and computer-readable recording medium | Kang Seung-goo |
10684226 | Raman probe, Raman spectrum obtaining apparatus, and method of obtaining Raman spectrum and detecting distribution of target material using Raman probe | Chang Ho Jun |
10684367 | Ultrasound sensor and object detecting method thereof | Yoo Jae-hyeon |
10684375 | Method of multiple satellite measurement failure detection and isolation for GNSS | Phatak Makarand |
10684467 | Image processing for head mounted display devices | Gibbs Simon J. |
10684505 | Tunable electro-optic filter | Nam Sunghyun |
10684544 | Optical proximity correction (OPC) method and method of manufacturing mask by using the OPC method | Choi Da-woon |
10684560 | Pellicle for photomask, reticle including the same, and exposure apparatus for lithography | Shin Hyeonjin |
10684645 | Electronic device for reducing noise | Jang Seokmin |
10684650 | Electronic device including module mounted in sunken area of layer | Sim Young Bae |
10684654 | Head-mounted display device with detachable device | Shin Shong Uk |
10684659 | Electronic device comprising display with switch | Song Junhyeok |
10684675 | Method and apparatus using frictional sound | Shchur Oleksandr |
10684693 | Method for recognizing a gesture and an electronic device thereof | Kletsov Andrey Vladimirovich |
10684715 | Display apparatus and manufacturing method thereof | Park Jeong-hyun |
10684754 | Method of providing visual sound image and electronic device implementing the same | Lee Younggyun |
10684760 | Method and mobile communication terminal for changing a configuration of a screen displaying function items | Lee Dong-Kook |
10684767 | Method of configuring menu screen, user device for performing the method and computer-readable storage medium having recorded thereon program for executing the method | Park Chun-eon |
10684771 | User device and method for creating handwriting content | Kim Do-Hyeon |
10684793 | Semiconductor memory devices including error correction circuits and methods of operating the semiconductor memory devices | Chung Hoi-ju |
10684813 | Display device and method for controlling same | Kim Chul-joo |
10684814 | Method and apparatus for controlling sound signal output | Kwon Hyungjin |
10684821 | Context aware service provision method and apparatus of user device | Bae Jooyoon |
10684914 | Memory device and method of controlling ECC operation in the same | Im Jae-Woo |
10684979 | Memory system for supporting internal DQ termination of data buffer | Lim Sun-young |
10685205 | Electronic device with optical sensor | Lee Jin-Eui |
10685241 | Method and apparatus for indicating lane | Kang Nahyup |
10685460 | Method and apparatus for generating photo-story based on visual context analysis of digital content | Porecki Adam |
10685465 | Electronic device and method for displaying and generating panoramic image | Park Ji-Hun |
10685467 | Method and apparatus for transmitting and receiving virtual reality content | Choi Byeong-Doo |
10685570 | Electronic device for identifying external vehicle with changed identification information based on data related to movement of external vehicle and method for operating the same | Son Dong-Il |
10685608 | Display device and displaying method | Son Chang-won |
10685620 | Luminance suppression power conservation | Plut William J. |
10685624 | Electronic apparatus and method for outputting content | Park Jong Won |
10685653 | Electronic device and method for conducting dialog | Yoo Sang Hyun |
10685682 | Memory devices | Jeong Ji-hyun |
10685690 | Memory device in which locations of registers storing fail addresses are merged | Kim Hyung-Jin |
10685691 | Storage device | Jung Bong Kil |
10685695 | Semiconductor device | Jeon Chang Hoon |
10685707 | Memory device | Gangasani Venkataramana |
10685708 | Semiconductor device including volatile and non-volatile memory cells | Jeon Chang Hoon |
10685713 | Storage device including nonvolatile memory device and controller | Park Jooyong |
10685837 | Methods of forming staircase-shaped connection structures of three-dimensional semiconductor devices | Oh Jung-Ik |
10685844 | Hardmask composition, method of forming pattern by using the hardmask composition, and hardmask formed using the hardmask composition | Kim Sangwon |
10685877 | Semiconductor devices including a support structure to connect to and support electrodes | Kim Ji-hoon |
10685890 | Semiconductor package having redistribution pattern and passivation patterns and method of fabricating the same | Kim Jongyoun |
10685916 | Fan-out semiconductor package | Shin Seung Wan |
10685921 | Semiconductor chip module including a channel for controlling warpage and method of manufacturing the same | Jee Young Kun |
10685939 | White light emitting diode module and lighting apparatus | You Jae Sung |
10685957 | Semiconductor devices and methods of manufacturing the same | Choi Sung-Hyun |
10685959 | Electrode structure, method of fabricating the same, and semiconductor device including the electrode structure | Lee Dong-Kak |
10685960 | Integrated circuit device | Lee Min-seong |
10685963 | Semiconductor device and method of manufacturing the same | Lee Kiseok |
10685968 | Anti-fuse one-time programmable (OTP) device | Yoon Jihoon |
10685972 | Semiconductor memory devices and methods for fabricating the same | Kim Sunggil |
10685973 | Vertical memory device | Song Moon Kyu |
10685975 | Vertical memory device and method of fabrication the same | Baek Seok Cheon |
10685980 | Three-dimensional semiconductor memory device including a penetration region passing through a gate electrode | Kim Kwang Soo |
10686013 | Memory device | Song Seul-ji |
10686019 | Color control encapsulation layer and display apparatus including the same | Lee Sunghun |
10686069 | Semiconductor device having vertical channel | Kim Shin Hye |
10686073 | FinFETs having step sided contact plugs and methods of manufacturing the same | Paak Sun Hom |
10686101 | Semiconductor light emitting device | Yoon Ju Heon |
10686103 | Semiconductor light emitting apparatus and method of manufacturing same | Yeon Ji Hye |
10686122 | Variable resistance memory device | Park Jung-hwan |
10686142 | Condensed cyclic compound and organic light emitting device including the same | Kim Soyeon |
10686145 | Organic compound, organic thin film, and electronic device | Lee Eun Kyung |
10686149 | Optoelectronic diodes and electronic devices including same | Park Kyung Bae |
10686233 | Metal-air battery and method of operating the metal-air battery | Kwon Hyukjae |
10686246 | Display module including antenna | Park Soon |
10686259 | Electronic device comprising antenna | Kim Young Ju |
10686283 | Semiconductor device of USB interface and method of operating the same | Kim Je Kook |
10686326 | Wireless power transmitter and wireless power receiver | Lee Chong-Min |
10686338 | Electronic device and foreign object detection method for electronic device | Lee Jong-Won |
10686405 | Film bulk acoustic resonator oscillators and gas sensing systems using the same | Shin Eun-seok |
10686407 | Symbol power tracking amplification system and a wireless communication device including the same | Nomiyama Takahiro |
10686426 | Resonance apparatus for processing electrical loss using conductive material and method for manufacturing the same | Park Hosoo |
10686471 | One-sub-symbol linear repair schemes | Shany Yaron |
10686473 | Encoding method and apparatus using CRC code and polar code | Jeong Hongsil |
10686501 | Precoding information signaling method and apparatus for uplink transmission in mobile communication system using a plurality of array antennas | Noh Hoondong |
10686505 | Method and apparatus for beam recovery of single/multi-beam pair link (BPL) in multi-beam based system | Lee Namjeong |
10686567 | Apparatus and method for discontinuous data reception in wireless communication system | Kim Soeng-Hun |
10686569 | Method and apparatus for sequence hopping in single carrier frequency division multiple access (SC-FDMA) communication systems | Papasakellariou Aris |
10686575 | Method and apparatus for wireless communication using modulation, coding schemes, and transport block sizes | Kim Jeong-hun |
10686776 | Authorized control of an embedded system using end-to-end secure element communication | Ning Peng |
10686833 | System and method of detecting and countering denial-of-service (DoS) attacks on an NVMe-of-based computer storage array | Olarig Sompong Paul |
10686971 | Electronic device including a camera capable of being a front camera and a rear camera and an operating method thereof | Yoo Minwoo |
10687007 | System and method for controlling power supplied to a module of an electronic device | Kim Dongsoo |
10687037 | Photographing apparatus and control method thereof | Chun Hyung-Ju |
10687049 | Back light apparatus, display apparatus having the back light apparatus, and control method for the display apparatus | Sohn Sang Hyun |
10687061 | Encoding sequence encoding method and device thereof, and decoding method and device thereof | Piao Yin-ji |
10687162 | Method and apparatus for rendering acoustic signal, and computer-readable recording medium | Chon Sang-bae |
10687198 | Relay communication method of communication UE and communication UE thereof | Ryu Hyunseok |
10687199 | Method and apparatus for performing communication in wireless communication system | Kim Jang-gon |
10687201 | Apparatus and method for delivering short message service efficiently in wireless communication system | Jeong Sangsoo |
10687211 | Mobile device, method for displaying screen thereof, wearable device, method for driving the same, and computer-readable recording medium | Jung Jong-woo |
10687234 | Method and apparatus for allocating PTRS in next generation communication system | Yoo Hyunil |
10687245 | Apparatus and method for transmitting/receiving data in an electronic device | Jung Bu-Seop |
10687266 | Method and apparatus for supporting broadcast data in wireless communication system | Dong Jeongsik |
10687267 | Method and apparatus for carrier aggregation communication in wireless communication system | Kim Myoungseok |
10687271 | Network accessing method | Wang Hai |
10687275 | Method for capability negotiation and slice information mapping between network and terminal in 5G system | Lee Hoyeon |
10687372 | Method and apparatus of improving quality of calls in mobile communication system | Jeong Sangsoo |
10687382 | Method for transitioning radio resource control state of user equipment to idle state, system therefor, and the same user equipment | Park Jong-Man |
10687396 | Device and method for controlling brightness of light source | Baek Jong Jin |
10687416 | Power supply device having multiple power modules physically connected with each other and electronic device comprising the same | Kang Shin Ho |
10687741 | Apparatus and method for measuring biological component | Lee So Young |
10687788 | Image processing apparatus, control method thereof, and ultrasound imaging apparatus | Kang Jooyoung |
10688009 | Motion assistance apparatus | Choi Hyun Do |
10688437 | Filter structure for chemical solution used in manufacturing integrated circuit and apparatus for supplying chemical solution including filter structure for chemical solution | Koh Cha-won |
10689511 | Compositions, composites prepared therefrom, and electronic devices including the same | Ahn Jooyeon |
10689513 | Composition for preparing article including polyimide or poly(imide-amide) copolymer, article including polyimide or poly(imide-amide) copolymer, and electronic device including the article | Ahn Chanjae |
10690318 | LED apparatus and manufacturing method thereof | Nishida Yasuhiro |
10690353 | Ventilation system and method for controlling the same | Park Dah We |
10690394 | Refrigerator | Yoon Seok Jun |
10690404 | Refrigerator | Koo Keon Pyo |
10690703 | Semiconductor device for monitoring a reverse voltage | Choi Jun-han |
10690891 | Wafer level camera module | Du Maohua |
10690958 | Beam deflector and three-dimensional display device including the same | Won Kanghee |
10690984 | Electronic apparatus and control method thereof | Bae Jun Cheol |
10691016 | Methods of forming semiconductors using etching effect predictions and methods for determining input parameters for semiconductor formation | Shim Seong Bo |
10691018 | Photoresist composition and a method for forming a fine pattern using the same | Park Jin |
10691171 | Electronic device | Kang Kyong Rok |
10691173 | Flexible display window and electronic device having the same | Kim Younggyun |
10691220 | Method for display of information from real world environment on a virtual reality (VR) device and VR device thereof | Nigam Varun |
10691224 | Keyboard having locking function and electronic device comprising the same | Chae Daesic |
10691291 | Method and apparatus for displaying picture on portable device | Bae Daewoong |
10691296 | Electronic device for collective control of notifications of applications in folders | Lee Yong Gu |
10691300 | Method and system for providing information based on context, and computer-readable recording medium thereof | Jeon Hee-chul |
10691313 | User terminal device for displaying contents and methods thereof | Kwak Ji-yeon |
10691318 | Electronic device and method for outputting thumbnail corresponding to user input | Jung Yu Min |
10691332 | Text input on an interactive display | Offenberg Eva |
10691333 | Method and apparatus for inputting character | Rhee Taik-heon |
10691335 | Electronic device and method for processing input on view layers | Lee Sungjun |
10691338 | Data storage device and data processing system including same | Song Sang-Sub |
10691340 | Deduplication of objects by fundamental data identification | Ki Yang Seok |
10691346 | Read operation method of nonvolatile memory, memory system including the nonvolatile memory, and operation method of the memory system | Han Il-su |
10691368 | System and method for providing data replication in NVMe-oF ethernet SSD | Kachare Ramdas |
10691395 | Display apparatus and control method thereof | Hyeon Byeong Cheol |
10691402 | Multimedia data processing method of electronic device and electronic device thereof | Kim Hyun-Kyoung |
10691455 | Power saving branch modes in hardware | Shah Tejash M. |
10691527 | System interconnect and system on chip having the same | Ryu Sueng-Chul |
10691607 | Device and method for managing cache flooding process in computing device | Koo Jinkyu |
10691624 | Universal flash storage, electronic device capable of connecting to a plurality type of memory devices and method thereof | Sunwoo Seunghui |
10691717 | Method and apparatus for managing data | Im Young-Gyun |
10691742 | Contents management method and apparatus | Cho Bonghyun |
10691767 | System and method for coded pattern communication | Peri Christopher A. |
10691768 | Method and apparatus for providing information based on webpage | Zhong Man |
10691782 | Method of acquiring biometric data and electronic device therefor | Yang Hyeongwook |
10691859 | Integrated circuit and method of designing layout of integrated circuit | Seo Jae-Woo |
10691886 | Electronic apparatus for compressing language model, electronic apparatus for providing recommendation word and operation methods thereof | Yu Seung-hak |
10691909 | User authentication method using fingerprint image and method of generating coded model for user authentication | Kim Namjoon |
10691918 | Method and apparatus for detecting fake fingerprint, and method and apparatus for recognizing fingerprint | Kim Wonjun |
10691935 | Apparatus for providing graphic effect of handwriting input and method thereof | Jeon Hankyung |
10691971 | Method and apparatus for recognizing object | Chang Hyun Sung |
10691977 | Image registration device, image registration method, and ultrasonic diagnosis apparatus having image registration device | Kim Sunkwon |
10692171 | Method of operating virtual address generator and method of operating system including the same | Hong Sung Min |
10692274 | Image processing apparatus and method | Lim Jin-Ho |
10692417 | Display apparatus | Lee Sangyoon |
10692440 | Pixel and organic light emitting display device including the same | Kuk Seung Hee |
10692456 | Display driver and output buffer | Choi Chul Ho |
10692466 | Display apparatus and control method thereof | Lim Jae-moon |
10692471 | Display apparatus and control method thereof | Kim Hye-suk |
10692472 | Method and device for adjusting resolution of electronic device | Chae Sangwon |
10692495 | Method of receiving commands for activating voice-recognition service and electronic device for implementing same | Woo Kwang-Taek |
10692543 | Semiconductor packages, storage devices including the same, and method of operating the semiconductor packages | Na Young-Ho |
10692554 | Method of controlling on-die termination and system performing the same | Son Young-Hoon |
10692555 | Semiconductor memory devices enabling read strobe mode and related methods of operating semiconductor memory devices | Oh Ki-Seok |
10692561 | Semiconductor memory device, memory system, and refresh method thereof | Jang Min-soo |
10692565 | Sense amplifier having offset cancellation | Kim Young-Wook |
10692566 | Interface method of memory system, interface circuitry and memory module | Lim Sun-Young |
10692578 | Nonvolatile memory device for performing urgent read operation based on suspend command and method of operating the same | Jeon Su-chang |
10692582 | Semiconductor memory device and method of operating the same | Kim Kyung-Ryun |
10692583 | Multi-channel package, and test apparatus and test method of testing the same | Shin Seong-seob |
10692622 | Composite, carbon composite including the composite, electrode, lithium battery, electroluminescent device, biosensor, semiconductor device, and thermoelectric device including the composite and/or the carbon composite | Son Inhyuk |
10692781 | Semiconductor device | Kim Ju Youn |
10692791 | Electronic component package with electromagnetic wave shielding | Kuroyanagi Akihisa |
10692805 | Semiconductor package | Kim Da Hee |
10692820 | Hybrid composite film, method of fabricating the same, and integrated circuit device including hybrid composite film | Kim Seung-won |
10692833 | Apparatus for correcting a parallelism between a bonding head and a stage, and a chip bonder including the same | Kim Jae-Cheol |
10692834 | Method for replacing capillary | Kim Youngsik |
10692846 | Package-on-package (PoP) semiconductor package and electronic system including the same | Kim Tong-suk |
10692858 | Semiconductor devices and methods of fabricating the same | Heo YeonCheol |
10692864 | Semiconductor device having asymmetric fin-shaped pattern | You Jung-Gun |
10692879 | Semiconductor device including different orientations of memory cell array and peripheral circuit transistors | Lee Sung-Hun |
10692881 | Semiconductor memory device and method of manufacturing the same | Hwang Sung-Min |
10692933 | Variable resistance memory device | Seong Dong-Jun |
10692936 | Image sensors | Zhang Gang |
10692968 | Capacitor structures, decoupling structures and semiconductor devices including the same | Lee Jong-Min |
10692993 | Semiconductor device and method for manufacturing the same | Suh Dong Chan |
10693017 | Semiconductor device having a multi-thickness nanowire | Lee Seung Hun |
10693040 | Light emitting device and light emitting device package | Kim Tae Bae |
10693055 | Magnetic memory devices | Lee Kilho |
10693086 | Organometallic compound, organic light-emitting device including the organometallic compound, and diagnostic composition including the organometallic compound | Cho Yongsuk |
10693148 | Cathode, metal-air battery including the cathode, and method of preparing the cathode | Kim Hyunjin |
10693203 | Metal-air battery and metal-air battery module | Choi Kyounghwan |
10693215 | Antenna assistant device and electronic device including the same | Park Jung Sik |
10693472 | Method and apparatus for generating clock | Kim Seung-jin |
10693489 | Circuit and method for digital-to-analog conversion using three-level cells | Roh Hyung-Dong |
10693501 | Method and apparatus for controlling interleaving depth | Park Chang Soon |
10693503 | Polar code decoding apparatus and method | Shin Dong-Min |
10693506 | Electronic device comprising antenna | Kim Young Ju |
10693536 | Apparatus and method for transmitting and receiving phase compensation reference signal | Kim Jaewon |
10693591 | Data mapping method and apparatus in wireless communication system | Yeo Jeongho |
10693599 | Method and apparatus for partial retransmission in wireless cellular communication system | Yeo Jeongho |
10693607 | Method and apparatus for activating carriers in a mobile communication system | Kim Soeng Hun |
10693615 | Method and apparatus for managing contention window in wireless communication system | Oh Jin-Young |
10693625 | Security processor, application processor including the same, and operating method of security processor | Kang Ji-Su |
10693681 | OFDM transmission/reception device for transmitting and receiving OFDM symbols having a variable data transmission rate and method thereof | Kim Ki-bo |
10693682 | Electronic device supporting multi-band wireless communications and method of controlling same | Min Hyunkee |
10693696 | Apparatus and method for transmitting and receiving signals in wireless communication system | Kim Eunyong |
10693697 | Apparatus and method for reducing peak to average ratio in wireless communication system | Martynovich Pavel |
10693800 | TCP proxy device-assisted communication method and apparatus in wireless communication | Jung Hakyung |
10693938 | Method and system for interactive transmission of panoramic video | Huang Wei |
10693969 | Electronic device using logical channels for communication | Cho Ki-Soo |
10693992 | Apparatus and method for providing streaming service | Lee Okseon |
10694013 | Electronic device with waterproof structure | Lee Sung Young |
10694044 | Electronic device and content transmitting and receiving method thereof | Jwa Chang Hyup |
10694046 | Method and system for charging information recording in device to device (D2D) communication | Rajadurai Rajavelsamy |
10694131 | Comparing circuit and an image sensor including a current stabilization circuit | Jung Yunhwan |
10694139 | Method for driving display including curved display area, display driving circuit supporting the same, and electronic device including the same | Bae Jong Kon |
10694173 | Multiview image display apparatus and control method thereof | Kim Sung-yeol |
10694178 | Video processing device for encoding frames constituting hierarchical structure | Jun Sung-ho |
10694190 | Processing apparatuses and controlling methods thereof | Na Sang-kwon |
10694201 | Image processor, image processing system including image processor, system-on-chip including image processing system, and method of operating image processing system | Jeong Kyung-ah |
10694224 | Display apparatus for playing substitutional advertisement and control method thereof | Kwon Oh In |
10694229 | Source device, content providing method using the source device, sink device and controlling method of the sink device | Oh Sung-bo |
10694230 | Apparatus and method for transmitting multimedia frame in broadcast system | Park Kyung-Mo |
10694246 | Source device and method of transmitting content | Oh Sung-bo |
10694356 | Mechanism to automatically manage input and output across connected wearable devices | Won Sungjoon |
10694365 | Method and apparatus for network virtualization and session management | Lee Hoyeon |
10694369 | Profile management method, embedded UICC, and device provided with the embedded UICC | Park Jaemin |
10694371 | Method and apparatus for managing user equipment history information in wireless communication network | Lim Chae Gwon |
10694377 | Method and apparatus for identifying security key in next generation mobile communication system | Kim Donggun |
10694409 | Method and apparatus for uplink transmission in wireless communication system | Ryu Hyunseok |
10694422 | Method and apparatus for data processing in wireless communication system | Kim Donggun |
10694428 | Method and system for handover of video calls from a packet switched network to a circuit switched network in a single radio environment | Zisimopoulos Haris |
10694432 | Inter-MeNB handover method and device in a small cell system | Xu Lixiang |
10694446 | Method and apparatus for transmitting and receiving data in communication system | Jung Ha-Kyung |
10694456 | Network selection and random access method and apparatus of machine-type communication user equipment in mobile communication system | Jang Jaehyuk |
10694460 | Method and system for system information acquisition in wireless communication system | Ingale Mangesh Abhimanyu |
10694471 | Method and apparatus for controlling uplink transmission power in wireless communication system | Kim Youngbum |
10694483 | Method and apparatus for synchronization in device to device communication system | Park Seung-Hoon |
10694496 | Method and apparatus for transmitting group message to user equipment (UE) | Won Sung Hwan |
10694502 | Method and apparatus for buffer status report in mobile communication system | Kim Soeng-Hun |
10694512 | Method and apparatus for transmitting and receiving reference signal | Kim Youn-Sun |
10694522 | Partitioning of frequency resources for transmission of control signals and data signals in SC-FDMA communication systems | Papasakellariou Aris |
10694538 | Transmission of scheduling assignments in multiple operating bandwidths | Papasakellariou Aris |
10694539 | Communication method and device in mobile communication system | Oh Jinyoung |
10694544 | Method and apparatus for performing contention-based access in a mobile communication system | Kim Soeng Hun |
10694550 | Apparatus and method for initial access in wireless communication system | Qi Yinan |
10694556 | Processing state information | Van Lieshout Gert-Jan |
10694569 | Method and apparatus for processing packet in next-generation mobile communication system | Jin Seungri |
10694580 | Communication method and device of terminal in wireless communication system | Hwang June |
10694997 | Apparatus for detecting biometric information of living body | Kim Younho |
10695002 | Activity information providing method and electronic device supporting the same | Roh Dong Hyun |
10695255 | Supporting module and motion assistance apparatus including the same | Choi Byungjune |
10697101 | Motor for washing machine and washing machine having the same | Cho Jin-woo |
10697110 | Dual drying apparatus | Shin Nu-ri |
10697553 | Dish washing machine | Yoo Soo Hyung |
10697693 | Refrigerator | Lee Jong Ho |
10698013 | Lock-in amplifier, integrated circuit and portable measurement device including the same | Chung Dae-Young |
10698044 | RF coil unit including dielectric structure, and magnetic resonance imaging system including the same | Kim Kyoungnam |
10698102 | Method and apparatus for reading code using short-range millimeter wave (mmWave) radar | Park Joo-Sung |
10698141 | Image sensor including broad band color filter | Yun Seokho |
10698237 | Optical modulator including multiple quantum well and carrier blocks and 3D image acquisition apparatus including the same | Park Chang-young |
10698262 | Display apparatus and method for control thereof | Kwon Hyo-sun |
10698367 | Electronic device including waterproof structure | Park Sung-Eun |
10698460 | Advanced thermal control for SSD | Ping Zhan |
10698461 | Electronic device and heat control method based on temperature of battery in electronic device | Jang Ki Youn |
10698478 | Display apparatus and control method thereof | Cho Eun-nim |
10698510 | Touch screen, touch panel and electronic device having same | Kim Sang-ho |
10698519 | Method and electronic device for acquiring user input | Park Byung-Ku |
10698524 | Touch screen device, input device, and control method thereof and method thereof | Park Sung-soo |
10698564 | User terminal device and displaying method thereof | Kapoor Parichay |
10698570 | User-centric, context aware user interface | Ravindran Sourabh |
10698590 | Method for providing content and electronic device therefor | Kim Hark-Joon |
10698763 | Semiconductor memory devices, memory systems and methods of operating semiconductor memory devices | Cha Sang-Uhn |
10698781 | Semiconductor memory module, semiconductor memory system, and method of accessing semiconductor memory module | Nam Hee Hyun |
10698807 | Computer system and method of operating the same | Jo In-soon |
10698808 | Garbage collection—automatic data placement | Pandurangan Rajinikanth |
10698852 | Termination circuit and interface device | Kim Tae Jin |
10698971 | Method and apparatus for storing access log based on keyword | Ha Sojeong |
10699016 | Secure boot sequencer and secure boot device | Kim Jin-Woo |
10699052 | Methods, systems, and computer program products for generating semiconductor circuit layouts | Park Chul-Hong |
10699054 | Standard cell library, integrated circuit including synchronous circuit, and computing system for designing the integrated circuit | Lee Bong-hyun |
10699056 | Computer-implemented method, processor-implemented system, and non-transitory computer-readable storage medium storing instructions for simulation of printed circuit board | Kim Youngbae |
10699062 | Apparatus and method for providing summarized information using an artificial intelligence model | Hwang Jin-young |
10699160 | Neural network method and apparatus | Lee Sehwan |
10699266 | Electronic device including coil | Lee Hee-Dong |
10699274 | Apparatus and method for secure electronic payment | Peng Pai |
10699378 | Apparatus and method for acquiring image | Kim Yunhee |
10699384 | Image processing apparatus and control method thereof | Choi Hak-hun |
10699674 | Image processing apparatus, image processing method and multi-screen display | Jeong Young Hoon |
10699676 | Display apparatus, method of controlling the same, and computer program product thereof | Lee Jong-in |
10699704 | Electronic device for processing user utterance and controlling method thereof | Kim Sean Minsung |
10699718 | Speech recognition system and speech recognition method thereof | Kim Tae-yoon |
10699720 | Energy lossless coding method and apparatus, signal coding method and apparatus, energy lossless decoding method and apparatus, and signal decoding method and apparatus | Choo Ki-hyun |
10699770 | Semiconductor memory device having clock generation scheme based on command | Shin Seungjun |
10699782 | Nonvolatile memory device and method of operation with a word line setup time based on two sequential read voltages | Park Sang-Won |
10699788 | Non-volatile memory device and operating method thereof for performing an erase detect operation | Lee Ji-sang |
10699789 | Nonvolatile memory device and memory system including nonvolatile memory device that controls the erase speeds of cell strings | Lee Sunyeong |
10699915 | Semiconductor device and method for manufacturing the same | Lee Chanho |
10699927 | Inspection apparatus and semiconductor structure-manufacturing apparatus including the same | Kim Wookrae |
10699974 | Film for package substrate, semiconductor package, display device, and methods of fabricating the film, the semiconductor package, the display device | Lim So Young |
10699983 | Semiconductor package | Kim Kil-soo |
10699985 | Electronic device including cooling structure | Chung Jae Ho |
10699991 | Packaged light emitting devices including electrode isolation structures and methods of forming packaged light emitting devices including the same | Kang Chi-Goo |
10699996 | Fan-out semiconductor package | Kim Bong Soo |
10699998 | Semiconductor devices with insulated source/drain jumper structures | Rastogi Sidharth |
10700068 | Field effect transistor with decoupled channel and methods of manufacturing the same | Rodder Mark S. |
10700074 | Semiconductor devices | Kim Jun-Kyum |
10700079 | Nonvolatile memory device and method of manufacturing the same | Park June-hong |
10700084 | Vertical memory devices | Kim Kyoung-Hoon |
10700085 | Vertical memory devices | Hwang Sung-Min |
10700088 | Semiconductor memory devices and methods for manufacturing the same | Kim Jongwon |
10700092 | Vertical semiconductor devices and methods of manufacturing the same | Chang Sung-Il |
10700110 | Fan-out sensor package | Lim Jae Hyun |
10700115 | Image sensors | Choi Hyuk Soon |
10700127 | Semiconductor memory device | Song Seul-ji |
10700164 | Semiconductor devices | Kim Jin-Nam |
10700193 | Power device | Yoo Jae-hyun |
10700203 | Semiconductor devices | Kim Dong Woo |
10700246 | Semiconductor light emitting device | Sim Sung Hyun |
10700315 | Battery case and remote controller including the same | Han Jang-Won |
10700377 | Solid electrolyte for a negative electrode of a secondary battery including first and second solid electrolytes with different affinities for metal deposition electronchemical cell and method of manufacturing | Thomas-Alyea Karen E. |
10700414 | Electronic device including antenna | Kim Yong-Youn |
10700415 | Antenna of electronic device including display | Shin Dong Ryul |
10700422 | Portable device and near field communication chip | Moon Byeong-Taek |
10700470 | Connection device, manufacturing method of the same, and electronic device including the same | Choi Young-Sik |
10700531 | Method and apparatus for transmitting wireless power | Lee Kyung-Woo |
10700714 | Method of operating decoder for reducing computational complexity and method of operating data storage device including the decoder | Shin Dong Min |
10700752 | System, method, and apparatus of beam-tracking and beam feedback operation in a beam-forming based system | Jung Byounghoon |
10700820 | Modem chips and receivers for performing hybrid automatic repeat request processing | Ji Ho-guen |
10700823 | Signal transmission method and apparatus for user equipment in mobile communication system | Kim Soeng-Hun |
10700831 | Wireless communication systems and methods of operating the same | Im Se-Bin |
10700840 | Control and data signaling in SC-FDMA communication systems | Papasakellariou Aris |
10700896 | Systems and methods for time domain layer separation in orthogonal frequency division multiplexing-based receivers | Penna Federico |
10701125 | Method and apparatus for transmitting and receiving data in wireless communication system | Lee Joohyung |
10701194 | Electronic device with metal frame antenna | Kim Jaehyung |
10701264 | Method for photographing panoramic image by preventing excessive perpendicular movement with the aid of a displayed icon | Cho Sung-Dae |
10701273 | User terminal apparatus and control method thereof | Park Hyun-sub |
10701283 | Digital photographing apparatus and method of controlling the same | Park Il-Kwon |
10701302 | Image display apparatus and operation method thereof | Jang Jin-hwa |
10701336 | Rectifying a sequence of stereo images | Tzabari Assaf |
10701337 | Method for controlling multi-field of view image and electronic device for supporting the same | Hwang Jin Woo |
10701357 | Video encoding method using in-loop filter parameter prediction and apparatus therefor, and video decoding method and apparatus therefor | Kim Sung-dae |
10701408 | Devices and methods for transmitting/receiving data in communication system | Park Kyung-Mo |
10701437 | Method and device for controlling reception of broadcast service provided by means of application layer forward error correction | Yang Hyun-Koo |
10701439 | Electronic apparatus and controlling method of thereof | Kim Sungwon |
10701469 | Electronic device | Park Young-Bae |
10701485 | Energy limiter for loudspeaker protection | Brunet Pascal M. |
10701516 | Method for providing location information and apparatus therefor | Ahn Sung Hwan |
10701532 | System and method of providing sensing data to an electronic device using a template to identify a data type and format for the electronic device | Jung Ho-yong |
10701537 | Method and device for providing service | Cha Sang-ok |
10701550 | Method and device for using mobile communication service by changing terminal in mobile communication system | Park Jonghan |
10701554 | Wireless communication device adaptively changing capability and method of operation thereof | Lee Kang-yong |
10701575 | Method and apparatus for efficiently transmitting and receiving data in a wireless communication system | Hong Sungnam |
10701580 | Method of allocating CSI-RS for beam management | Kim Jaewon |
10701636 | Electronic device and communication connection method of electronic device | Park Gil-Joo |
10701638 | Method and apparatus for reducing energy consumption of terminal in wireless communication system | Lee Kwonjong |
10701653 | Signal receiving method and apparatus in device-to-device wireless communication | Kwak Yongjun |
10701664 | Method and apparatus for reducing access overhead from paged device in machine to machine communication system | Kang Hyun-Jeong |
10701677 | Method and apparatus for uplink resource assignment for cellular network using unlicensed bands | Park Seunghoon |
10701684 | Method and apparatus for decoding random access response message in wireless communication system | Yoo Hyunil |
10701691 | Data transmission method and device | Li Yingyang |
10701704 | Apparatus and method of resource allocation for data and control channels in a wireless communication system | Kim Youngbum |
10701758 | Method for continuously providing emergency call service through packet network | Baek Youngkyo |
10701770 | Cooking device | Jang Hyeong Jin |
10701843 | Display apparatus | Kim Sung Ki |
10702122 | Dishwasher and method for controlling same | Lee Chang Wook |
10702123 | Dishwasher | Lee Chang Wook |
10702169 | Method and electronic device for cuff-less blood pressure (BP) measurement | Gaurav Aman |
10702185 | Electronic device and body composition analyzing method | Kim Young Hyun |
10702190 | Method for recognizing user activity and electronic device for the same | Jo Yanggu |
10702225 | Mobile x-ray imaging apparatus | Nam Jae Won |
10702229 | X-ray imaging apparatus and method for controlling the same | Lee Ho Jun |
10702440 | Motion assistance apparatus and method of controlling the same | Kim Kyung-Rock |
10702769 | Mobile terminal and method for controlling mobile terminal by using touch input device | Li Manman |
10702819 | Air cleaner | Chu Euy Sung |
10702940 | Logic switching device and method of manufacturing the same | Heo Jinseong |
10702967 | Metal plate, patterning apparatus and patterning method using the same | Sung Do Soo |
10704730 | Small-sized camera gimbal and electronic device having same | Kang Yoon-Seok |
10704732 | Horizontal posture maintaining device and posture maintaining device driving method | Kang Yoon-Seok |
10704885 | Integrated circuit device and high bandwidth memory device | Son Jong Pil |
10704896 | CMOS image sensor for 2D imaging and depth measurement with ambient light rejection | Wang Yibing Michelle |
10704911 | Method and apparatus for setting destination in navigation terminal | Lee Dong-Jun |
10705155 | Memory systems and power management apparatuses including secondary power devices, and related methods of operation | An Su-yong |
10705302 | Photonic integrated circuit packages | Ji Ho Chul |
10705406 | Two-dimensional light modulating device and electronic apparatus including the same | Kim Sunil |
10705572 | Electronic device including stand member | Lim Chaehoon |
10705576 | Electronic device including display and sensor | Han Seon-Ho |
10705593 | Method and apparatus for power management | Jung Kuchul |
10705614 | Method and apparatus for generating vibration patterns | Shim Sangwon |
10705645 | Method for protecting personal information and electronic device thereof | Tushar Balasaheb Sandhan |
10705652 | Method and apparatus for providing user keypad in a portable terminal | Nam Goong Bo Ram |
10705654 | Touch display device including touch driving integrated circuit for noise detection and compensation and operating method of touch driving integrated circuit | Kim Bumsoo |
10705655 | Electronic device and method including elastic member for preventing/reducing performance degradation of pressure sensor | Goo Ganghyun |
10705681 | Electronic device and display method for selecting an area of an icon | Kwon Hyeok Min |
10705682 | Sectional user interface for controlling a mobile terminal | Yang Hui Chul |
10705688 | Display apparatus and displaying method thereof | Seong Hye-young |
10705689 | Method and device for managing tab window indicating application group including heterogeneous applications | Kim Doo-hwan |
10705721 | Method and system for providing topic view in electronic device | Sathish Sailesh Kumar |
10705722 | Mobile terminal having dual touch screen and method of controlling content therein | Yoon Soo Yeoun |
10705813 | Technique for dynamically controlling processing devices in accordance with characteristic of user application | Jeon Cheol-Yong |
10705844 | Method and device for register management | Jang Choonki |
10705894 | Electronic device for authenticating application and operating method thereof | Jeon Sang-Hoon |
10705908 | Semiconductor memory devices, memory systems including the same and methods of operating memory systems | Chung Hoi-Ju |
10705969 | Dedupe DRAM cache | Chang Mu Tien |
10706219 | Electronic device and control method thereof | Jeong Hee-yeon |
10706632 | Method and apparatus for managing a wide view content in a virtual reality environment | Kumar Santosh |
10706717 | Electronic device and control method thereof | Park Sang-bae |
10706762 | Display device and control method for color gamut range variation and driving current adjustment | Jung Ho-young |
10706766 | Display panel and method for driving the display panel | Kim Jinho |
10706805 | Source driver using an interpolation method and display driver including the same | Kim Pan Soo |
10706838 | Method and device for performing voice recognition using grammar model | Park Chi-youn |
10706847 | Method for operating speech recognition service and electronic device supporting the same | Seo Jang Seok |
10706901 | Integrated circuit having an electrostatic discharge protection function and an electronic system including the same | Kong Ki Ho |
10706911 | Sense amplifier for sensing multi-level cell and memory device including the sense amplifier | Seo Young-Hun |
10706920 | Memory device | Lim Chea Ouk |
10706938 | Storage device and operating method for applying a program voltage to erased word line to close open memory block | Cha Sang-Soo |
10706944 | Memory controller for controlling memory device based on erase state information and method of operating the memory controller | So Hye-Jeong |
10706953 | Semiconductor memory devices and methods of operating semiconductor memory devices | Cho Seok-Jin |
10707071 | Substrate processing apparatus and substrate processing system including the same | Jang Won-Ho |
10707126 | Semiconductor device having interconnection structure | Lee Dohyun |
10707163 | Logic cell including deep via contact and wiring layers located at different levels | Lau Vincent Chun Fai |
10707164 | Semiconductor devices including a capping layer | Rha Sangho |
10707196 | Electronic device and method of manufacturing the electronic device | Kim Jae-Choon |
10707216 | Semiconductor device and method for manufacturing the same | Yoon Sungmi |
10707229 | Semiconductor device including stack structure and trenches | Cho Seung Hyun |
10707231 | Semiconductor memory device having vertical supporter penetrating the gate stack structure and through dielectric pattern | Park Kyeong Jin |
10707232 | Method for fabricating semiconductor device using a porosity in a sacrificial pattern, and fabricating equipment for semiconductor device using the same | Lee Gyeong Hee |
10707234 | Semiconductor device and method of fabricating the same | Choi Hoon-Sung |
10707253 | Image sensor | Choi Wonchul |
10707254 | Semiconductor devices | Lee Taeyon |
10707255 | Image sensors and methods of forming the same | Lee Yun Ki |
10707261 | Semiconductor devices including infrared sensor with infrared photodiode and color sensor with color photodiode | Jin Young Gu |
10707275 | Display apparatus and method of manufacturing the same | Kim Jiwhan |
10707348 | Fin field effect transistors having liners between device isolation layers and active areas of the device | Sung Sug-Hyun |
10707351 | Electronic device including light detection device and operation method thereof | Kang Seunggoo |
10707393 | Light emitting device package including light emitting devices, light-transmissive substrate, and eutectic bonding layer interposed therebetween and display device using the same | Lee Dong Gun |
10707424 | Synthetic method of fused heteroaromatic compound and fused heteroaromatic compound and intermediate therefor and synthetic method of intermediate | Lee Eun Kyung |
10707432 | Photoelectronic device and image sensor | Park Kyung Bae |
10707495 | Gas diffusion layer for metal-air battery, metal-air battery including the gas diffusion layer, and method of manufacturing the metal-air battery | Park Jungock |
10707529 | Lithium secondary battery | Kim Dongyoung |
10707548 | Impedance matching device for reducing reflection loss by splitting digital signal and test system having the same | Kim Jae Hyun |
10707559 | Electronic device including antenna | Son Moon Soo |
10707639 | Laser beam steering device and system including the same | Kim Sunil |
10707688 | Method and apparatus for high speed charging using various charging schemes | Kang Ba-Da |
10707690 | Electronic device for performing charging and method for controlling the same | Jung Ji-Hoon |
10707749 | Charge pump, and high voltage generator and flash memory device having the same | Shin Ho Young |
10707751 | Electronic circuit including charge pump for converting voltage | Janjua Bilal Ahmad |
10707783 | Electrostatic induction device for performing power generating function | Rhee Bongjae |
10707912 | Mobile electronic apparatus, accessory device therefor, and electronic apparatus including the accessory device | Kim Yong |
10707925 | Electronic device and method of performing communication by controlling multiple antennas in designated frequency bands | Park Jaewoon |
10708008 | Method and apparatus for allocating HARQ-ACK channel resources supporting transmit diversity and channel selection | Fu Jingxing |
10708019 | Apparatus and method for supporting multiple access in wireless communication system | Lim Jongbu |
10708028 | Method and apparatus for reference signals in wireless system | Nam Young-Han |
10708209 | Method for providing messenger service and electronic device thereof | Song Se-Jun |
10708352 | Method and apparatus for synchronizing application of electronic device | Seo Young-Su |
10708510 | Image obtaining method and apparatus | Oh Dokwan |
10708517 | Image processing device that generates and selects between multiple image signals based on zoom selection | Lee Ser Hoon |
10708533 | Display device having an applied calibration using gradations and control methods thereof | Cho Young-hoon |
10708564 | Image processing apparatus and image processing method based on metadata | Park Seung-ho |
10708566 | Method for processing signals with operating state-dependent handling of multimedia attributes and electronic device thereof | Rivera Don Joseph |
10708589 | Video encoding and decoding method and apparatus involving boundary filtering | Mishurovskiy Mikhail |
10708616 | Method and apparatus for encoding and decoding motion vector based on reduced motion vector predictor candidates | Lee Tammy |
10708650 | Method and device for generating video content | Kim Min Chul |
10708670 | Image display apparatus and method of operating the same | Choi Kil-soo |
10708739 | Selectively transferring one or more services in multi-subscriber identity module (SIM)-multi-standby electronic device | Nankandiyil Shyjumon |
10708740 | Method and apparatus of signal measurement for terminal moving at high speed in wireless communication system | Jang Jaehyuk |
10708743 | Service sharing device and method | Park Seong-Hee |
10708750 | Method and apparatus for peer-to-peer connection using wireless local area network (LAN) in mobile communication terminal | Choi Jong-Mu |
10708758 | Electronic device for displaying and updating mobile network operation information and controlling method thereof | Nguyen Hong Viet |
10708768 | Automatic self-activation of universal integrated circuit card | Rajadurai Rajavelsamy |
10708774 | Method and device for transmitting and receiving authentication information in wireless communication system | Koo Bon-Hyun |
10708777 | Method and apparatus for connection between electronic devices | Kim Hakjoo |
10708783 | Method for performing multiple authentications within service registration procedure | Rajadurai Rajavelsamy |
10708801 | Method and apparatus for processing state information in communication system | Van Lieshout Gert Jan |
10708818 | Apparatus and method for transmitting and receiving signal in a mobile communication system | Kim Eun-Yong |
10708824 | Method and apparatus for supporting session continuity for 5G cellular network | Lee Jicheol |
10708840 | Circuit switched fallback method and device | Xu Lixiang |
10708866 | Signaling of control information in a communication system | Papasakellariou Aris |
10708880 | Electronic device and method for determining entry of region of interest of electronic device | Kim Jin-Woo |
10708928 | Methods and systems for transmission control in network supporting mission critical services | Pattan Basavaraj Jayawant |
10708931 | Method and apparatus for transmitting and receiving data channel and control channel in wireless communication system | Kim Taehyoung |
10708938 | Transmission of UL control channels with dynamic structures | Papasakellariou Aris |
10708940 | Method and apparatus for reporting buffer state by user equipment in communication system | Kim Woo-Seong |
10709026 | Printed circuit board mounting structure and display apparatus including the same | Kim Song Hyeon |
10709043 | Electronic device comprising interposer surrounding circuit elements disposed on printed circuit board | Yun Hyelim |
10709051 | Electronic device including component separation structure | Cho Sung-Gun |
10709397 | Mobile X-ray apparatus | Kim Myeong-je |
10710297 | Three-dimensional printer and operating method for the same | Beak Ohyun |
10711160 | Slurry compositions for polishing a metal layer and methods for fabricating semiconductor devices using the same | Park Seung Ho |
10711187 | Fluoride phosphor, method of manufacturing the same, and light emitting device | Kim Do Hoon |
10711193 | Quantum dots and production methods thereof, and quantum dot polymer composites and electronic devices including the same | Kim Yongwook |
10711384 | Washing machine | Lee Kang Hyun |
10711385 | Automatic detergent supply apparatus and washing machine having the same | Kim Yong Kwon |
10711958 | LED device and LED lamp using the same | Chung Jin Wook |
10711990 | Light source module | Yoon Young Jeong |
10712055 | Air conditioner | Kim Kyoung-Rock |
10712199 | Spectrometric sensing apparatus for detecting one or more types of biometric information in electronic device and method thereof comprising a processor controlling a light emitting unit to selectively output light of a wavelength band corresponding to at least one mode | Won Jin-Hee |
10712299 | Deformation measuring apparatus and method of evaluating deformation using thereof | Kim Ga Hee |
10712483 | Photosensitive compositions, quantum dot polymer composite pattern prepared therefrom, and electronic devices including the same | Paek Hojeong |
10712497 | Photonic integrated circuit packages and methods of manufacturing the same | Ji Ho Chul |
10712554 | Focusing device comprising a plurality of scatterers and beam scanner and scope device | Arbabi Amir |
10712603 | Three-dimensional image display apparatus including diffractive color filter | Shin Bongsu |
10712610 | Liquid crystal display device having improved cooling efficiency | Kim Sung Ki |
10712662 | Methods of forming patterns using compositions for an underlayer of photoresist | Ryu Jin-A |
10712762 | Semiconductor circuit and semiconductor system | Kim Joo Seong |
10712848 | Electronic device | Shim Won-Geun |
10712851 | Electronic device and method for controlling the same | Heo Chul Joon |
10712869 | Touch panel controller for sensing change in capacitance | Lee Jin Chul |
10712896 | Image display apparatus and image display method | Lee Jin-ha |
10712897 | Device and method for arranging contents displayed on screen | Kumar Sumit |
10712907 | Terminal and method for setting menu environments in the terminal | Ha Young-Hee |
10712909 | Method for providing object information and electronic device thereof | Lee Jae-Wook |
10712910 | Electronics apparatus, method for executing application, and computer-readable recording medium | Lee Hyeon-mi |
10712918 | User terminal device and displaying method thereof | Kim Yun-kyung |
10712919 | Method for providing physiological state information and electronic device for supporting the same | Lee Eun Hye |
10712928 | Method for providing visual effects according to bezel-based interaction and electronic device for same | Jo Hankyung |
10712933 | Terminal and method for controlling terminal | Park Sung-wook |
10712938 | Portable device and screen display method of portable device | Kim Gae-Youn |
10712954 | Nonvolatile memory device, method of operating nonvolatile memory device and storage device including the same | Kim Seung-Bum |
10712955 | Non-volatile memory device including memory planes, and operating method thereof | Jeon Su-chang |
10712988 | Method and apparatus for controlling controlled device included in network | Kim Hark-joon |
10712991 | Content display using multiple display devices | Cheon Ka Won |
10712992 | Modular display apparatus and method for controlling thereof | Seo Jeongryeol |
10713095 | Multi-core processor and method of controlling the same using revisable translation tables | Yoo Donghoon |
10713105 | Operating method of memory controller, storage device including the same, and operating method of storage device | Bang Kwangkyu |
10713166 | Efficient early ordering mechanism | Hagersten Erik |
10713197 | Memory system, memory interfacing device, and interfacing method performed in the memory system | Park Jae-Geun |
10713202 | Quality of service (QOS)-aware input/output (IO) management for peripheral component interconnect express (PCIE) storage system with reconfigurable multi-ports | Park Heekwon |
10713397 | Method and apparatus for modeling a target object based on particles | Jung Hwiryong |
10713422 | Method of editing document in mobile terminal and mobile terminal using the same | Han Youngeun |
10713439 | Apparatus and method for generating sentence | Lee Hoshik |
10713445 | Method for providing translation service, and electronic device therefor | Kim Hak-Jung |
10713512 | Electronic device and method for identifying falsification of biometric information | Kim Suna |
10713646 | Electronic device including coil | Lee Hee-Dong |
10713764 | Method and apparatus for controlling image data | Kim Dong-Yeon |
10713778 | Semiconductor defect classification device, method for classifying defect of semiconductor, and semiconductor defect classification system | Kwon Namyeong |
10713822 | Tomographic imaging apparatus, method of controlling the same, and computer program product | Lee Sang-min |
10713835 | Displaying method, animation image generating method, and electronic device configured to execute the same | Sudheendra Pavan |
10713993 | Image processing apparatus, display apparatus and method of controlling thereof | Choi Yong Seok |
10713994 | Display apparatus and driving method thereof | Cho Seong-phil |
10713996 | Display panel and method for driving the display panel | Kim Jinho |
10714047 | Head-mounted display device and method of changing light transmittance of the same | Kim Dae-Hyun |
10714077 | Apparatus and method of acoustic score calculation and speech recognition using deep neural networks | Song Inchul |
10714080 | WFST decoding system, speech recognition system including the same and method for storing WFST data | Yoon Jae Sung |
10714097 | Method and apparatus for concealing frame error and method and apparatus for audio decoding | Sung Ho-sang |
10714149 | Semiconductor package with clock sharing and electronic system including the same | Jeon Seong-hwan |
10714183 | High voltage switch circuit, nonvolatile memory device including the same and memory system including the same | Kim Jong-Kyu |
10714184 | Memory device with improved program performance and method of operating the same | Joe Sung-Min |
10714194 | Memory system including a memory device, and methods of operating the memory system and memory device | Yim Hye-Jin |
10714387 | Integrated circuit devices and method of manufacturing the same | Joe Jin-yeong |
10714397 | Semiconductor device including an active pattern having a lower pattern and a pair of channel patterns disposed thereon and method for manufacturing the same | Cantoro Mirco |
10714401 | Printed circuit board and semiconductor package including the same | Jang Keun-ho |
10714416 | Semiconductor package having a circuit pattern | Kim Bu-won |
10714437 | Fan-out semiconductor package | Lee Doo Hwan |
10714438 | Semiconductor device having metal bump and method of manufacturing the same | Ahn Jinchan |
10714440 | Fan-out semiconductor package | Kim Han |
10714453 | Semiconductor package including semiconductor chip | Kim Doo Jin |
10714467 | Integrated circuit (IC) device | Kim Hyun-jo |
10714472 | Semiconductor devices and methods of fabricating the same | Kwon Kee Sang |
10714473 | Semiconductor device | Song Seung Hyun |
10714476 | Semiconductor device | Kim Sungmin |
10714478 | Semiconductor devices with peripheral gate structures | Ryu Ho-In |
10714495 | Three-dimensional semiconductor memory devices including through-interconnection structures | Jo Kwonsoon |
10714500 | Electronic device and method of manufacturing the same | Heo Jinseong |
10714517 | Image sensor | Lee Kang Sun |
10714522 | Image sensor | Choi Sung Soo |
10714565 | Semiconductor device with support pattern | Nam Ki-hyung |
10714579 | Semiconductor devices including recessed source/drain silicides and methods of forming the same | Lee Heon Bok |
10714599 | Semiconductor device and method for fabricating the same | Lee Yun II |
10714614 | Semiconductor device including a first fin active region and a second fin active region | Kim Ju-Youn |
10714617 | Semiconductor device | Yang Junggil |
10714618 | Finfet with various shaped source/drain regions | Seong Geum-jung |
10714667 | Method of manufacturing light emitting device | Noh Hye Seok |
10714678 | Magnetoresistive random access memory devices and methods of manufacturing the same | Park Yong-Sung |
10714685 | Methods of forming semiconductor devices having threshold switching devices | Yang Min Kyu |
10714686 | Variable resistance memory devices and methods of forming the same | Kim Sungwon |
10714696 | Organometallic compound and organic light-emitting device including the same | Hwang Kyuyoung |
10714800 | Electrolytic additive, electrolyte including the electrolytic additive, cathode including the electrolyte, and lithium air battery including the cathode | Kwon Hyukjae |
10714810 | Antenna apparatus for use in wireless devices | Hong Won-Bin |
10714813 | Electronic device including cover having antenna module coupled thereto | Yu Dongho |
10714825 | Antenna device and electronic device including the same | Kim Hyunjin |
10714945 | Charge control circuit using battery voltage tracking, and a device having the same | Kong Tae Hwang |
10714949 | Battery packs for providing different power sources and methods of charging battery packs | Cho Joon-Kee |
10714978 | Wireless power transmission apparatus that is turned off in standby state and electronic apparatus including wireless power transmission apparatus | Choi Shin Wook |
10714982 | Resonator and resonating method | Jung Seungchul |
10714989 | Claw pole type motor and home appliance including same | Nagasaki Yasumasa |
10715091 | Low-noise amplifier supporting beam-forming function and receiver including the same | Kim Young-min |
10715118 | Flip-flop with single pre-charge node | Agarwal Shyam |
10715159 | Wide-range local oscillator (LO) generators and apparatuses including the same | Kim Nam-seog |
10715177 | Lossy compression drive | Ki Yang Seok |
10715197 | Exterior cover | Choi Yeongsu |
10715294 | Method and apparatus for sending and receiving control channel in wireless communication system | Lee In Ho |
10715371 | Method and apparatus of NR RMSI coreset configuration in MIB | Si Hongbo |
10715373 | Method and device for transmitting/receiving synchronization signal in wireless cellular communication system | Kim Younsun |
10715434 | Apparatus and method for transmitting and receiving signal in multimedia system | Yang Hyun-Koo |
10715567 | Method and apparatus for providing state information | Lim Kyung-Soo |
10715582 | Method for managing communication in mission critical data (MCData) communication system | Pattan Basavaraj Jayawant |
10715716 | Electronic device for recording image as peer multiple frame rates using camera and method for operating same | Lee Wooyong |
10715722 | Display device, method of controlling thereof and display system | Cho Yun-seong |
10715725 | Method and system for handling 360 degree image content | Bhoraskar Anand |
10715739 | Electronic device including light-emitting elements and method of operating electronic device | Ryu Jae-yeol |
10715761 | Method for providing video content and electronic device for supporting the same | Lee Woo Yong |
10715762 | Method and apparatus for providing image service | Lee Wonsik |
10715792 | Display device and method of controlling the same | Mukhtarov Farid |
10715811 | Method and apparatus for determining merge mode | Kim Jae-moon |
10715823 | Method and apparatus for executing decoding command, method and apparatus for generating decoding command, and recording medium | Kim Doo-hyun |
10715844 | Method and apparatus for transceiving data for multimedia transmission system | Park Kyung-Mo |
10715968 | Scheme for setting up PTT group call in a wireless communication network | Ko Hyeon-Mok |
10715994 | Method and apparatus for connecting a terminal to network based on applicable network information in mobile communication system | Kim Sunghoon |
10716002 | Method and system for authenticating access in mobile wireless network system | Rajadurai Rajavelsamy |
10716020 | Method and apparatus for measurement reference signal | Onggosanusi Eko |
10716058 | Method for managing terminal by base station when plurality of networks coexist | Choi Youngkyu |
10716108 | Cellular network access method and apparatus | Gao Feifei |
10716137 | Apparatus and method for channel access in wireless communication system | Park Seung-Hoon |
10716147 | Method and system for optimizing channel access in a wireless local area network | Kherani Arzad Alam |
10716433 | Cooking apparatus | Kim Jin O |
10716440 | Cleaner | Yoshida Minoru |
10716443 | Robot cleaner | Hong Seok Man |
10716494 | Method of providing information according to gait posture and electronic device for same | Kim Jeong-Bin |
10716523 | X-ray imaging apparatus and method for controlling the same | Lee Ho Jun |
10716755 | Method of fabricating semiconductor device | Pyon Youngbeom |
10717649 | Processes for synthesizing nanocrystals | Jang Hyo Sook |
10717866 | Organic-inorganic hybrid composition, and article and optical component including the same | Oishi Yoshiyuki |
10717927 | Indium-based quantum dots and production methods thereof | Kim Tae Gon |
10718053 | Wafer loading apparatus and film forming apparatus | Tomizawa Kazuyuki |
10718533 | Fan assembly and air conditioner having the same | Kim Jin Baek |
10718534 | Air conditioner having an improved outdoor unit | Kim Kyung Hoon |
10718545 | Air conditioner | Tomohito Ajiki |
10718563 | Refrigerator | Lee Jae Bok |
10718605 | CMOS image sensor for 2D imaging and depth measurement with ambient light rejection | Wang Yibing Michelle |
10718815 | Method and apparatus for estimating state of battery based on error correction | Kim Jinho |
10718882 | Proximity sensing apparatus in electronic device and method thereof | Yoon Hee-Woong |
10718891 | Input coupler, backlight unit, and three-dimensional image display apparatus including the input coupler | Lee Sunghoon |
10718973 | Display device | Jeong Seung Jun |
10719008 | Phase-shift mask for extreme ultraviolet lithography | Seo Hwanseok |
10719010 | Pellicle for photomask and exposure apparatus including the pellicle | Song Hyunjae |
10719105 | Method for utilizing input device and electronic device for the same | Seo Min-Ki |
10719132 | Device and method of controlling device | Joo Yu-sung |
10719147 | Display apparatus and control method thereof | Jung Jae-won |
10719178 | Fingerprint recognizing sensor and touch screen device including the same | Hong Seogwoo |
10719183 | Method of detecting touch input, apparatus for sensing touch input, and apparatus for inputting touch input | Park Sung-soo |
10719207 | Portable terminal having touch screen and method for processing image therein | Lee Seok Gon |
10719208 | Apparatus and method for performing multi-tasking in portable terminal | Jeon Han-Kyung |
10719209 | Method for outputting screen and electronic device supporting the same | Kim Keun Soo |
10719263 | Method of handling page fault in nonvolatile main memory system | Choi Jung Sik |
10719264 | Solid state drive device and storage system having the same | Kim Min-Uk |
10719288 | Display apparatus and control method thereof | Jung Kil-soo |
10719338 | Method for recommending content and apparatus therefor | Jung Horyong |
10719354 | Container workload scheduler and methods of scheduling container workloads | Bhimani Janki Sharadkumar |
10719397 | System, device and method for storage device assisted low-bandwidth data repair | Pitchumani Rekha |
10719435 | Storage device supporting multiple communication types and operating method thereof | Lim Gwangman |
10719438 | Storage device and garbage collection method thereof | Choi In-Hwan |
10719467 | Semiconductor memory device for sharing inter-memory command and information, memory system including the same and method of operating the memory system | Doo Su Yeon |
10719472 | Interface circuit and packet transmission method thereof | Lee Eunji |
10719474 | System and method for providing in-storage acceleration (ISA) in data storage devices | Kachare Ramdas |
10719690 | Fingerprint sensor and method for processing fingerprint information | Son Sung Hoon |
10719826 | Payment method, payment apparatus, and payment system using electronic wallet | Kim Ho |
10719827 | Transaction system and method performed by using peripheral device | Choi Woo-jei |
10719925 | Radiographic imaging apparatus and control method thereof | Lee Kang Eui |
10719927 | Multiframe image processing using semantic saliency | Bala Raja |
10719935 | Image processing apparatus and image processing method thereof | Lee Jae-sung |
10719959 | Mobile device and a method for texture memory optimization thereof | Govindasamy Sakthivel |
10720046 | System and method of controlling external apparatus connected with device | Shin Hang-sik |
10720047 | Electronic apparatus and method for controlling the same | Hong Jin-hyuk |
10720162 | Display apparatus capable of releasing a voice input mode by sensing a speech finish and voice control method thereof | Choi Sung-wook |
10720167 | Method and apparatus for packet loss concealment, and decoding method and apparatus employing same | Sung Ho-sang |
10720191 | Storage device including calibration device | Seong Kihwan |
10720197 | Memory device for supporting command bus training mode and method of operating the same | Kim Young-hun |
10720204 | System and method for improving scan hold-time violation and low voltage operation in sequential circuit | Berzins Matthew |
10720207 | Page buffer and memory device including the same | Cho Yong Sung |
10720209 | Memory device comprising resistance change material and method for driving the same | Park Hyun Kook |
10720211 | Semiconductor devices | Kim Sungwoo |
10720218 | Nonvolatile memory device and an erase method thereof | Park Ji-yoon |
10720227 | Method and device for mutation prioritization for personalized therapy | Agarwal Garima |
10720365 | Method of measuring misalignment of chips, a method of fabricating a fan-out panel level package using the same, and a fan-out panel level package fabricated thereby | Sohn Younghoon |
10720382 | Semiconductor package structure and semiconductor module including the same | Lee YoungJoon |
10720396 | Semiconductor chip and semiconductor package having the same | Roh Jung-Hyun |
10720408 | High-speed semiconductor modules | Kim Kyoungsoo |
10720429 | Integrated circuit and standard cell library | Sharma Deepak |
10720435 | Semiconductor devices including support patterns | Song Jae-Hoon |
10720441 | Three-dimensional semiconductor memory device | Kam Hee-Sung |
10720447 | Integrated circuit memory devices having impurity-doped dielectric regions therein and methods of forming same | Jang Woo Jin |
10720449 | Semiconductor and method of manufacturing the same | Yoon Chang Seop |
10720470 | Variable resistance memory devices | Kim Byongju |
10720491 | Method of fabricating semiconductor devices | Yoon Jun Ho |
10720577 | Variable resistance memory devices, and methods of forming variable resistance memory devices | Kang Shin-Jae |
10720786 | Method and apparatus for charging battery | Jung Daeryong |
10720909 | Flip-flop including 3-state inverter | Kim Ha-Young |
10720911 | Bootstrap circuit and a sampling circuit using the same | Shin Eun Seok |
10720930 | Electronic device including plurality of phased locked loop circuits | Yoon Youngchang |
10720945 | Nonvolatile memory device and read and copy-back methods thereof | Hirano Makoto |
10720950 | Electronic device and method for testing wireless communication circuit | An Yongjun |
10720977 | Channel state information transmission/reception method and apparatus of downlink coordinated multi-point communication system | Lee Hyojin |
10720989 | Apparatus and method for transmitting and receiving signal in communication system | Oh Jong-Ho |
10721037 | Resource allocation device and method in large-scale antenna system | Choi Seung-Hoon |
10721038 | Method and apparatus for channel estimation and data decoding in wireless communication system | Kwak Youngwoo |
10721043 | Method and apparatus for transmitting SRS in wireless cellular mobile communication system | Kim Youngbum |
10721090 | Method and device for event notification in home network system | Kim Se-Hoon |
10721160 | Method of distributing data and device supporting the same | Kim Jong-ryool |
10721194 | User terminal device for recommending response to a multimedia message based on age or gender, and method therefor | Kim Yu-na |
10721288 | Method for sharing file and electronic device for the same | Choi Yunsung |
10721289 | Apparatus and method for improving loading time in electronic device | Eom Yu-Youl |
10721391 | Apparatus for editing image using depth map and method thereof | Park Mi Ji |
10721433 | Remote controller, display apparatus and controlling method thereof | Lee Won-jae |
10721449 | Image processing method and device for auto white balance | Kang Han-Sol |
10721460 | Apparatus and method for rendering image | Jeong Young Ju |
10721462 | Method and apparatus for calibrating parameter of three-dimensional (3D) display apparatus | Park Juyong |
10721493 | Video encoding device and driving method thereof | Kim Sung-Jei |
10721516 | User terminal apparatus, electronic apparatus, system, and control method thereof | Kim In-ji |
10721600 | Apparatus and method for controlling transmission and reception in a wireless communication system | Agiwal Anil |
10721620 | Method and apparatus for controlling operations of electronic device | Oh Hun-Cheol |
10721650 | Method and apparatus for accelerating data processing in wireless communication system | Kim Donggun |
10721667 | Method and device for distributing idle user equipment in multi-carrier based mobile communication system | Won Jeong-Jae |
10721679 | Method for transmitting and receiving signals in proximity network and electronic device thereof | Jung Bu-Seop |
10721685 | Apparatus and method for controlling traffic transmission time in electronic device | Hong Youngki |
10721737 | Method and apparatus for communication using a plurality of cells in a wireless communication system | Jeon Joseph |
10721749 | Method and electronic device for performing data communication using a frequency band shared among different radio access technologies | Park Sung-Jin |
10721762 | Methods for performing multi-subframe scheduling in enhanced LAA | Amuru Saidhiraj |
10721767 | Method and apparatus for performing contention-based and non-contention-based beam failure recovery in a wireless communication system | Kim Sangbum |
10722014 | Protection cover | Kim Ik-Sang |
10722088 | Moving object, cleaning robot, floor condition determining device, method of controlling the moving object, and method of controlling the cleaning robot | Son Hyung Min |
10722182 | Method and apparatus for heart rate and respiration rate estimation using low power sensor | Li Yelei |
10722605 | Photocatalyst filter and air conditioner including the same | Kim Jee-yeon |
10723620 | Direct graphene growing method | Shin Hyeon-jin |
10724782 | Refrigerator | Lee Jee Hoon |
10724792 | Refrigerator and method of food management thereof | Oh Jeong-il |
10724899 | Spectrometer optical system, semiconductor inspection apparatus including the same and method of manufacturing semiconductor device using the apparatus | Hidaka Yasuhiro |
10724967 | Inspection apparatus for semiconductor process and semiconductor process device | Jung Myung Ho |
10725183 | GNSS multipath mitigation via pattern recognition | Lennen Gary |
10725217 | Compensation film and antireflective film and display device | Kim Ju Hyun |
10725273 | Rotational varifocal planar lens | Park Junghyun |
10725310 | Color separation devices and image sensors including the same | Nam Sunghyun |
10725434 | Alarm control apparatus and method using face recognition | Kim Kwan-Sic |
10725516 | Semiconductor device and power off method of a semiconductor device | Jeon Ho-Yeon |
10725525 | Method of operating system-on-chip, system-on-chip performing the same and electronic system including the same | Park Jong-Lae |
10725556 | Wearable glasses and method of providing content using the same | Joo Ga-hyun |
10725560 | Electronic device and method controlling accessory | Lee Sang Soo |
10725578 | Apparatus and method for controlling fingerprint sensor | Lee Siwoo |
10725608 | Electronic device and method for setting block | Lee Sang-Mook |
10725663 | Data management scheme in virtualized hyperscale environments | Awasthi Manu |
10725672 | Memory module, memory controller and systems responsive to memory chip read fail information and related methods of operation | Lim Sun-young |
10725682 | Memory modules, memory systems and methods of operating memory modules | Kim Wang-Soo |
10725828 | Task scheduling method and electronic device for implementing same | Kim Kiljae |
10725845 | Methods of operating memory system | Lee Kyu-Dong |
10725871 | Storage device capable of performing peer-to-peer communication and data storage system including the same | Park Jun Bum |
10726126 | System and method for ensuring compliance with organizational policies | Kacherov Vadim |
10726186 | Integrated circuit including a modified cell and a method of designing the same | Kim Jin-Tae |
10726193 | Electronic device and operating method thereof | Lee Ho Young |
10726228 | Semiconductor device for fingerprint sensing | Hwang Hee Chang |
10726244 | Method and apparatus detecting a target | Xu Jingtao |
10726258 | Imaging sensor assembly having tilting structure and electronic device including the same | Park Jinwoo |
10726261 | Method and apparatus to recognize user | Lee Hyunjeong |
10726276 | Method for providing a sight securing image to vehicle, electronic apparatus and computer readable recording medium therefor | Han Seong-won |
10726278 | Method, device and system for providing notification information | Kim Mi-young |
10726309 | Subject recognizing method and apparatus | Lee Huijin |
10726443 | Deep product placement | Martineau Justin C. |
10726503 | Method and apparatus for providing crowdsourcing services | Song Seongwook |
10726525 | Image denoising neural network architecture and method of training the same | El-Khamy Mostafa |
10726541 | Inspection apparatus for detecting defects in photomasks and dies | Lee Kang Won |
10726585 | Method and electronic device for converting color of image | Park Sang-Uk |
10726715 | Electronic device and method for performing operations according to proximity of external object | Hwang Ho-Chul |
10726762 | Flexible display device and displaying method of flexible display device | An Jin-wang |
10726879 | Low-power data transfer from buffer to flash memory | Berman Amit |
10726882 | Power management of a memory device by dynamically changing supply voltage | Doo Su Yeon |
10726883 | Integrated circuit devices having strobe signal transmitters with enhanced drive characteristics | Kil Beom-Yong |
10726886 | Memory circuits precharging memory cell arrays and memory devices including the same | Choi Jin-Yong |
10726906 | Memory device and operation method thereof | Seo Hyeoungwon |
10726931 | Operation method of memory controller and operation method of storage device | Lee Raeyoung |
10727025 | System and method of analyzing a crystal defect | Shim Sung-Bo |
10727078 | Methods of forming fine patterns | Park Jeong Ju |
10727115 | Three-dimensional semiconductor device and method of fabricating the same | Nam Phil Ouk |
10727182 | Layer structure including diffusion barrier layer and method of manufacturing the same | Song Hyunjae |
10727199 | Electronic device including semiconductor device package | Kim Yong-hoon |
10727200 | Memory device including bump arrays spaced apart from each other and electronic device including the same | Choo Chul-Hwan |
10727212 | Semiconductor package | Moon Seon Hee |
10727233 | Integrated circuit devices and methods of fabricating the same | Park Seok-han |
10727244 | Semiconductor memory devices and methods of fabricating the same | Hwang Sung-Min |
10727246 | Three dimensional semiconductor memory device | Park Sang-Yong |
10727285 | Near-infrared light organic sensors, embedded organic light emitting diode panels, and display devices including the same | Chung Chil Hee |
10727297 | Complimentary metal-oxide-semiconductor circuit having transistors with different threshold voltages and method of manufacturing the same | Wang Wei-E |
10727348 | Semiconductor device with adjacent source/drain regions connected by a semiconductor bridge, and method for fabricating the same | Kim Seok-Hoon |
10727349 | Semiconductor device | Kim Sung Soo |
10727354 | Semiconductor device having vertical channel and method of manufacturing the same | Park Sung Il |
10727370 | Optical device including three-coupled quantum well structure having asymmetric multi-energy levels | Na Byunghoon |
10727373 | Light emitting diode, method for manufacturing light emitting diode, light emitting diode display device, and method for manufacturing light emitting diode display device | Yokote Yoshihiro |
10727426 | Thin film transistor, method of manufacturing the same, and electronic device including the same | Choi Ajeong |
10727502 | Composite membrane including ion-conductive polymer layer and gas blocking inorganic particles, method of preparing the same, and lithium air battery including the same | Choi Wonsung |
10727536 | Organic electrolyte solution and lithium battery including the same | Park Insun |
10727565 | Apparatus for multiple resonance antenna | Kim Moonil |
10727568 | Electronic device including high-frequency transmission circuit | Cho Han-Min |
10727576 | Electronic device including multiband antenna | Kim Tae Young |
10727733 | Semiconductor device and system | Jeon Ho Yeon |
10727826 | Delay-locked loop circuit, semiconductor memory device, and methods of operating delay-locked loop circuit | Choi Hun-Dae |
10727868 | Apparatus and method for offset optimization for low-density parity-check (LDPC) code | Abotabl Ahmed A. |
10727870 | Method and apparatus for encoding and decoding low density parity check codes | Jeong Hong-sil |
10727871 | Transmitting apparatus and mapping method thereof | Mouhouche Belkacem |
10727889 | Method and electronic device for controlling transmission power | Ban Seong-Jun |
10727925 | Method and apparatus for supporting movement of user equipment in wireless communications | Wang Hong |
10727958 | Method and device for measuring antenna reflection coefficient | Kim Daeyoung |
10727961 | Method and apparatus for handling radio link failure in system using multiple reference signals | Hwang June |
10727993 | Apparatus and operating method for controlling interference between base stations in wireless communication system | Lim Jongbu |
10728012 | Method for operating switch and electronic device supporting the same | Yang Dongil |
10728026 | Data management method | Choi Hye Hyun |
10728061 | Electronic devices including equalizers operating based on coefficients adjusted in training operations | Lee June-Hee |
10728082 | Apparatus and method for delivering transport characteristics of multimedia data | Park Kyung-Mo |
10728128 | Apparatus and method for detecting counterfeit advertiser in wireless communication system | Choi Dae-Kyu |
10728131 | Terminal and communication method thereof | Jung Hakyung |
10728161 | Method and apparatus for transmitting and receiving packet in communication system | Hwang Sung-Hee |
10728188 | Interface for managing messages in a mobile terminal | Park Chan-Ho |
10728222 | System and method for providing vehicle information based on personal authentication and vehicle authentication | Kimn Soungkwan |
10728318 | Methods and apparatus for selection of content delivery network (CDN) based on user location | Kim Jun-Hyung |
10728332 | System and method for distributed caching | Smith Hubbert |
10728377 | Method for performing cooperative function automatically and device using the same | Yu Seung-dong |
10728380 | Method and electronic device for automatically managing events based on time-zone difference | Soni Amit Kumar |
10728453 | Motion stabilized image sensor, camera module and apparatus comprising same | Jo Young Mi |
10728457 | Method and apparatus for operating sensor of electronic device | Kang Seung-Goo |
10728482 | Image sensors and electronic apparatuses including the same | Yun Jung-bin |
10728512 | Three-dimensional display apparatus | Park Juyong |
10728540 | Display apparatus, method of controlling the same and recording medium thereof | Hur Jaemyung |
10728547 | Video encoding method and apparatus, and video decoding method and apparatus, for signaling SAO parameter | Lee Sun-il |
10728565 | Video encoding method and apparatus thereof and a video decoding method and apparatus thereof | Lee Jin-young |
10728632 | Image display device and method of operating the same | Kim Mun-seok |
10728636 | Sound bar apparatus having detachable sound transducer | Hong Seung-se |
10728640 | Electronic device with heat radiation structure using audio device | Lee Yo-Han |
10728658 | Electronic circuit for protecting element from over-voltage and electronic device including the same | Lee Myung-Jin |
10728710 | Systems and methods for handling RF resources between a MBMS stack and a non-MBMS stack in a DSDS device | Siddiqui Tariq |
10728712 | Methods and apparatuses for supporting wireless communication of vehicle | Lee Ho-Yeon |
10728736 | Method and apparatus for efficiently transmitting small amounts of data in wireless communication systems | Lim Han Na |
10728737 | UE monitoring configuration method and apparatus | Won Sung Hwan |
10728742 | Telecommunications networks | Van Der Velde Himke |
10728758 | Method of secured transmission and reception of discovery message in a D2D communication system | Agiwal Anil |
10728774 | Apparatus and method for feeding back data receiving status | Li Yingyang |
10728777 | Methods and systems for enabling channel measurement of unlicensed carrier in cellular on unlicensed band systems | Nigam Anshuman |
10728792 | Device and method for receiving streaming service data in mobile communication system supporting plurality of radio access interfaces | Kim Jin-Hyoung |
10728804 | Processing state information | Van der Velde Himke |
10728811 | Method and device for supporting machine type communication in wireless communication system | Kim Sang-Bum |
10728824 | Method and apparatus for improving coverage of cell in wireless communication system | Hwang Jiwon |
10728836 | Method and device for providing data service through mobile communication network | Jung Ha-Kyung |
10728858 | Uplink transmission for dual connectivity | Papasakellariou Aris |
10728859 | Method and apparatus for determining maximum transmission power per carrier in mobile communication system supporting carrier aggregation | Kim Soeng Hun |
10728863 | Apparatus and method for performing a random access in a wireless communication system | Zhang Shichang |
10728864 | Apparatus and method for performing a random access in a wireless communication system | Zhang Shichang |
10728882 | Method for allocating aggregate maximum bit rate of UE, method for allocating aggregate bit rates of non-GBR services and base stations | Wang Hong |
10728884 | Method and apparatus for transmitting uplink control information in wireless communication system | Oh Jinyoung |
10728888 | Method for allocating resources in cellular network using unlicensed band and device therefor | Park Seung-Hoon |
10728905 | Communication system and method for mitigating interference in hierarchical cell structure | Lee Sang Min |
10728908 | Base station operation method and device for supporting D2D signal transmission in wireless communication system | Kwak Yongjun |
10728910 | Apparatus and method for allocating resource for vehicle service | Kang Hyunjeong |
10728923 | Method and apparatus of configuring timing of uplink transmission | Li Yingyang |
10728943 | Apparatus and method for controlling scells in wireless communication system | Jang Jaehyuk |
10728958 | Heating element structure, method of forming the same, and heating device including the heating element structure | Kim Seyun |
10728995 | Mobile X-ray apparatus | Kim Myeong-je |
10729298 | Cyclone dust collector and vacuum cleaning device | Cho Dong Jin |
10729305 | Collapsible dish rack for dishwasher | Kopyrin Viktor |
10729383 | Disease prediction model construction apparatus and method, and disease prediction apparatus | Shin Eui Seok |
10730346 | Caster apparatus and transferring apparatus including the same | Yuk Nam Su |
10730398 | Battery control method and apparatus, battery module, and battery pack | Kim YoungJae |
10731289 | Clothes dryer and method for performing sterilization course thereof | Kim Do-haeng |
10732004 | Method and apparatus for displaying virtual route | Jung Kyungboo |
10732219 | Apparatus and method for testing semiconductor device and system comprising the same | Song Ki Jae |
10732275 | Error compensation apparatus and method for measuring distance in wireless communication system | Oh Jong-Ho |
10732338 | Display assembly and display apparatus using the same | Lee Kye Hoon |
10732339 | Display apparatus with means for preventing light leakage due to a diffusion plate support member insertion hole | Jeon Duk-jin |
10732411 | Methods and devices for processing motion-based image | Jin Seung-hun |
10732446 | Display device | In Woo Sung |
10732458 | Backlight unit and liquid crystal display device including the same | Jang Eun-joo |
10732506 | Method of fabricating integrated circuit devices | Hong Suk-koo |
10732672 | Electronic device with screen | Kwak Woon Geun |
10732718 | Apparatus and method for motion detection in portable terminal | Hong Hyun-Su |
10732729 | Transparent display apparatus and method thereof | Yun Il-kook |
10732730 | Method for remote-controlling target apparatus using mobile communication terminal and remote control system thereof | Cha Jae-Hyeok |
10732737 | Method and apparatus for adaptively providing indication associated with input in vehicle | You Juyeon |
10732792 | Image display apparatus and method for changing properties of a highlighted item and surrounding items | Lee Jin-ha |
10732793 | Apparatus and method for providing information via portion of display | Park Jihyun |
10732799 | Electronic device for playing-playing contents and method thereof | Kim Hyun-Kyoung |
10732805 | Electronic device and method for determining a selection area based on pressure input of touch | Kim So Young |
10732817 | Electronic apparatus and text input method for the same | Frączek Roman |
10732827 | Method and apparatus for controlling a plurality of internet of things devices | Han Manjib |
10732842 | Computing system with processing and method of operation thereof | Park Dongchul |
10732849 | Method for read latency bound in SSD storage systems | Kachare Ramdas |
10732866 | Scaling out architecture for DRAM-based processing unit (DPU) | Niu Dimin |
10732905 | Automatic I/O stream selection for storage devices | Hassani Sina |
10732921 | Apparatus having curved display | Song Sung-Hoon |
10732927 | Electronic device and control method thereof | Choi Eunseok |
10732929 | Computing accelerator using a lookup table | Malladi Krishna T. |
10733017 | Task scheduling based on performance control conditions for multiple processing units | Kim Dohyoung |
10733096 | System and method for frame buffer | Getta Ivan |
10733119 | Memory system including on-die termination and method of controlling on-die termination thereof | Yun Changho |
10733137 | Low latency direct access block storage in NVME-of ethernet SSD | Kachare Ramdas P. |
10733284 | Trusted execution environment secure element communication | Broumas Antonios Dimitrios |
10733304 | Method and apparatus for protecting digital content using device authentication | Chang Moon-Soo |
10733349 | Electronic device and method for fabricating semiconductor chip | Lee TaeHee |
10733422 | Face recognition method and apparatus | Rhee Seon Min |
10733424 | Face verification method and apparatus | Son Changyong |
10733466 | Method and device for reproducing content | Yang Pil-seung |
10733627 | Method and apparatus for providing advertising content | Jang Jong-hyuk |
10733694 | Semiconductor device for processing image data in layers for display by a display device | Kim Kyoung-Man |
10733709 | Image processing device and image processing method | Baek Seung-jin |
10733714 | Method and apparatus for video super resolution using convolutional neural network with two-stage motion compensation | El-Khamy Mostafa |
10733716 | Method and device for providing image | Jeong Moon-sik |
10733719 | Wafer inspection apparatus and wafer inspection method using the same | Ahn Tae Heung |
10733760 | Multiscale weighted matching and sensor fusion for dynamic vision sensor tracking | Ji Zhengping |
10733764 | Texture processing method and device | Lee Sang-Heon |
10733767 | Method and device for processing multi-channel feature map images | Cho Dae-sung |
10733931 | Electronic device having display | Jung Songhee |
10733959 | Method for configuring input interface and electronic device using same | Lee Jeongjin |
10733961 | Display apparatus and control method thereof | Cho Dae-woong |
10733972 | Electronic apparatus and method for operating same | Park Jeheon |
10733975 | OOS sentence generating method and apparatus | Kim Young-Seok |
10733978 | Operating method for voice function and electronic device supporting the same | Subhojit Chakladar |
10733995 | Sound recognition electronic device | Kim Jin-Wook |
10734043 | Memory system for adjusting clock frequency | Kim Young-Ju |
10734051 | Magnetic memory device | Lim Woo Chang |
10734059 | Semiconductor device having interconnection in package and method for manufacturing the same | Lee Jaehyung |
10734078 | Non-volatile memory device and programming method thereof | Lee Ji-Sang |
10734082 | Non-volatile memory device, operating method thereof, and storage device including the non-volatile memory device | Lee Han-Jun |
10734258 | Underfill solution supplying device for a dispenser, dispenser including the same, and method of manufacturing a semiconductor module using the same | Han Seong-Chan |
10734273 | Semiconductor device including isolation layers and method of manufacturing the same | Suk Sung Dae |
10734280 | Integrated circuit devices and method of manufacturing the same | Yim Jeong-hyuk |
10734288 | Semiconductor device having work-function metal and method of forming the same | Kim Juyoun |
10734309 | Semiconductor device having a trench with a convexed shaped metal wire formed therein | Kim Jin-Nam |
10734335 | Electronic component package | Lee Yun Tae |
10734342 | Semiconductor package for reducing stress to redistribution via | Kim Seok Hwan |
10734371 | Semiconductor device | Park Hyun Mog |
10734380 | Semiconductor device and method for fabricating the same | Jeong Ji-Min |
10734403 | Nonvolatile memory devices comprising a conductive line comprising portions having different profiles and methods of fabricating the same | Eom Taeyong |
10734430 | Semiconductor device including through via, semiconductor package, and method of fabricating the same | Hong Yi Koan |
10734450 | Memory device and electronic apparatus including the same | Sim Kyu-Rie |
10734493 | Semiconductor memory device and conductive structure | Han Hauk |
10734521 | Field effect transistor including multiple aspect trapping ratio structures | Cantoro Mirco |
10734544 | Light emitting diode apparatus and manufacturing method thereof | Jung Jong-hoon |
10734546 | Coated semiconductor nanocrystals and products including same | Breen Craig |
10734559 | Light-emitting diode (LED), LED package and apparatus including the same | Yoo Ha-nul |
10734585 | Organic light-emitting apparatus | Kwon Ohyun |
10734593 | Organic electronic device and method of manufacturing the same | Satoh Ryuichi |
10734679 | Nonaqueous electrolyte for lithium secondary battery and lithium secondary battery employing the same | Seo Jinah |
10734705 | Antenna device, and electronic device comprising same | Choi Youngsik |
10734831 | Device for performing wireless charging and method thereof | Park Jung Hoon |
10734855 | Rotor and method of manufacturing same | Yoon Keun Young |
10734901 | Electronic device including circuit configured to operate using boosted voltage | Oh Hyoungseok |
10734910 | Air conditioner and rectifier | Takeda Yuichi |
10735054 | Wireless communication device and method for transferring communication rights between electronic devices | Lee Byoung-Chul |
10735066 | Methods of beam codebook generation for the 5G terminals | Mo Jianhua |
10735072 | Method and apparatus for transmitting data in wireless communication system | Kwak Youngwoo |
10735073 | Method and apparatus for transmitting/receiving CSI in cellular communication system supporting carrier aggregation | Kim Young Bum |
10735074 | Method and apparatus for channel status information feedback in mobile communication system | Kwak Youngwoo |
10735146 | Method and device for feeding back and receiving HARQ-ACK information | Wang Yi |
10735171 | Method and device for determining uplink data and control signal transmission timing in wireless communication system | Yeo Jeongho |
10735215 | Method and apparatus for providing user adaptive service | Kang Hyeonjin |
10735225 | Method and apparatus for transmitting and receiving signal for low peak-to-average power ratio in wireless communication system | Kim Chanhong |
10735226 | Method and device for transmitting pay load sequence | Park Chang Soon |
10735237 | Apparatus and method for generating and detecting preamble symbol | Kim Min-ho |
10735241 | Transmitting apparatus and mapping method thereof | Myung Se-ho |
10735242 | Transmitting apparatus and mapping method thereof | Myung Se-ho |
10735321 | Method and apparatus for relaying packet transmission and updating network address information in communication system | Shin Bong-Jhin |
10735377 | System for remotely controlling electronic device and method of operating the same | Kim Shinhyun |
10735385 | Adaptive and efficient database protection and migration with device state changes | Syed-Ebrahim Abdul |
10735389 | Systems, devices, and methods for preventing unauthorized access to storage devices | Smith Hubbert |
10735390 | Method for authentication and electronic device supporting the same | Kim Jaehwan |
10735408 | Application connection for devices in a network | Singhal Ashish |
10735427 | Method and apparatus for managing program of electronic device | Kwon Eun Young |
10735484 | Transmission device and method for controlling same | Jeong Jong-hoon |
10735688 | Electronics apparatus, display apparatus and control method thereof | Bak Bong-gil |
10735714 | Time-resolving sensor using shared PPD+SPAD pixel and spatial-temporal correlation for range measurement | Wang Yibing Michelle |
10735724 | Method and device for compressing image on basis of photography information | Choi Woong-il |
10735731 | Image encoding method and apparatus, and image decoding method and apparatus | Lee Sun-il |
10735820 | Electronic device and method for controlling the electronic device | Kim Soo-hong |
10735848 | Earphones with activity controlled output | Pergament Sammy |
10735853 | Stochastic transmission/reception method and apparatus for MU-MIMO scheme in MIMO radio communication system | Lim Jonghan |
10735869 | Terminal, and operation method for terminal | Hong Jun-seong |
10735915 | Method of operating terminal mission critical push to talk group participating in mission critical push to talk group call in off network | Hyun Bo-ra |
10735930 | Method and apparatus for sharing application | Yuan Jianguo |
10735941 | Method for transferring signaling messages of terminal between network functions | Son Jungje |
10735942 | Method and apparatus for performing the trace corresponding to a terminal in wireless communication system | Lee Chungkeun |
10735945 | Apparatus and method for access control on eSIM | Lee Hyewon |
10735956 | Method and device for managing security according to service in wireless communication system | Bae Beomsik |
10735968 | Method and apparatus for supporting licensed-assisted access technology in wireless communication system | Jang Jaehyuk |
10735983 | Apparatus and method for compensating phase noise in a wireless communication system | Yoo Hyunil |
10735992 | Cellular communication method on basis of flexible frame structure and apparatus therefor | Park Seunghoon |
10736002 | Device for performing communication in wireless communication system and method thereof | Shin In Young |
10736008 | Method and apparatus for controlling handover in a wireless communication system | Oak Jeongyeob |
10736009 | Handover method and apparatus | Xu Lixiang |
10736012 | Method and device for providing circuit switching service in wireless communication system | Kim Sunghoon |
10736042 | Device and controlling method thereof | Lee Jong Moo |
10736044 | Method and device for controlling transmission power of user equipment in beamforming system | Ryu Hyunseok |
10736065 | Method and apparatus for time synchronization in device-to-device communication | Jang Jaehyuk |
10736069 | Method and apparatus for providing local area data network service based on non-subscription model in wireless communication system | Lee Jicheol |
10736079 | Method and apparatus for receiving multimedia broadcast/multicast service in mobile communication system | Jang Jaehyuk |
10736088 | Method and apparatus for transmitting and receiving downlink control information in a wireless communication system | Ryu Hyunseok |
10736091 | Method and apparatus for adapting bandwidth of terminal in wireless communication system | Noh Hoon-dong |
10736096 | Device and method for detecting filter bank multi carrier wave symbols in wireless communication system | Kim Chanhong |
10736103 | Method and apparatus for transmitting signal in wireless communication | Kim Soenghun |
10736109 | Apparatus and method for transmitting and receiving beam information in wireless communication system | Son Jae-Seung |
10736116 | Method and apparatus for an uplink transmission based on a characteristic of physical resources | Park Seunghoon |
10736129 | Method and apparatus for transmitting and receiving data information in wireless communication system | Park Sung-jin |
10736142 | Method and apparatus for detecting channel in mobile communication system operating in unlicensed band | Oh Jinyoung |
10736162 | Method and apparatus for processing packet in next-generation mobile communication system | Jin Seungri |
10736173 | Method and apparatus for setting up/releasing radio resource control connection between evolved node B and user equipment in communication system | Kweon Ki-Suk |
10736211 | Printed circuit board including bending portion, and electronic device including the same | Park Jungsik |
10736223 | Method and apparatus for attaching display device to exterior member in an electronic device | Choi Jin-Chul |
10736224 | Hinge module, case and electronic device having same | Park Young Sun |
10736226 | Electronic device including metal material | Han Yunjae |
10736527 | Workout management method and system using a wearable device | Kim Sangkyu |
10736609 | Ultrasound imaging apparatus and method of controlling the same | Chang Jungwoo |
10738164 | Poly(amide-imide) copolymer, method of manufacturing the same, poly(amide-imide) copolymer film, window for display device, and display device | Jeon Hyunjeong |
10738173 | Poly(amide-imide) copolymer film, window for display device, and display device | Chae Jungha |
10738177 | Polymer composition and polymer composite and optical film | Lee Eun Sung |
10738405 | Washing machine | Kim Young-jae |
10738406 | Washing machine | Kim Dong Young |
10738813 | Display apparatus | Jung Sung Soo |
10739012 | Home appliance | Park Seong Hwan |
10739020 | Outdoor unit of air conditioner | Kim Mun Sub |
10739040 | Air condtioner | Choi Jae-Woo |
10739186 | Bi-directional weight cell | Hatcher Ryan M. |
10739188 | Color filter array having color filters, and image sensor and display device including the color filter array | Nam Sunghyun |
10739195 | Apparatus and method for analyzing component of object, and image sensor | Jang Hyeong Seok |
10739470 | Method and apparatus for wireless communication in wireless communication system | Jin Seungri |
10739634 | Backlight unit and liquid crystal display including same | Kang Hyun A |
10739738 | Method and apparatus for managing heating, ventilation, and air conditioning | Seo Sungmok |
10739783 | Image processing method for autonomous driving and apparatus thereof | Seo Young Wan |
10739816 | Housing, method of manufacturing the same, and electronic device including the same | Ahn Byoung-Ju |
10739822 | Blower and docking device comprising blower | Choi Eun Ha |
10739824 | Electronic device | Ahn Seong-su |
10739830 | Electronic device including vapor (two phase) chamber for absorbing heat | Lee Hae Jin |
10739896 | Method of inputting user command and electronic apparatus using the same | Kim Hyun-jin |
10739897 | Electronic device and operating method thereof | Choi Seung-Min |
10739898 | Electronic device and operation method therefor | Kim Daemyung |
10739907 | Electronic apparatus and operating method of the same | Han Sangjin |
10739953 | Apparatus and method for providing user interface | Lee Kyoobin |
10739957 | Data processing method and electronic device supporting the same | Choi Bo Kun |
10739958 | Method and device for executing application using icon associated with application metadata | Kang Nam-wook |
10739960 | Performing application-specific searches using touchscreen-enabled computing devices | Francis Thomas |
10739966 | Display apparatus for classifying and searching content, and method thereof | Lee Jin-ha |
10739968 | Apparatus and method for rotating 3D objects on a mobile device screen | Rong Guodong |
10739981 | Tag input device of electronic device and control method thereof | Kim Jinsung |
10739987 | Electronic device including touch sensitive display and method for managing the display | Hwang Daesik |
10739992 | Electronic device and operation method thereof | An Jinwan |
10739994 | Method and electronic device for recognizing touch | Kim Chang Jin |
10739995 | Method of consolidate data streams for multi-stream enabled SSDs | Huen Hingkwan |
10740010 | Memory module and memory system including memory module | Kim Sung-Joon |
10740033 | Memory device sampling data using control signal transmitted through TSV | Kim So-young |
10740060 | Method and device for controlling mirroring services | Shin In-Young |
10740236 | Non-uniform bus (NUB) interconnect protocol for tiled last level caches | Sinha Vikas |
10740244 | Memory system including a redirector for replacing a fail memory die with a spare memory die | Chang Sil-wan |
10740431 | Apparatus and method of five dimensional (5D) video stabilization with camera and gyroscope fusion | Zhuang Binnan |
10740444 | Electronic device and method for performing authentication | Cho Hyun-Chul |
10740496 | Method and apparatus for operating multi-processor system in electronic device | Matveev Alexander Nikolaevich |
10740522 | Apparatus and method of operating timing analysis considering multi-input switching | Kim Moon Su |
10740575 | Reception circuit and near field communication (NFC) card including same | Kim Kyeong-Do |
10740586 | Electronic device with touch sensor and driving method therefor | Cho Jeong-Ho |
10740588 | Fingerprint sensor and method of manufacturing the same | Kim Jinmyoung |
10740604 | Electronic device for iris recognition and operating method thereof | Yoo Juwoan |
10740626 | Method and apparatus for identifying driving lane | Kang Nahyup |
10740865 | Image processing apparatus and method using multi-channel feature map | Lee Won-jae |
10740913 | Ultrafast, robust and efficient depth estimation for structured-light based 3D camera system | Shi Lilong |
10741118 | Light-emitting diode display device and method of operating the same | Park Ji-yong |
10741157 | Electronic device with soundproof structure | Jung Kisung |
10741191 | Voice signal processing method according to state of electronic device, and electronic device therefor | Kim Yang-Su |
10741225 | Non-volatile memory device and storage device including the same | Jang Dong-Su |
10741242 | Memory devices including voltage generation circuit for performing background calibration | Seo Young-hun |
10741245 | Resistive memory device and resistive memory system including a plurality of layers, and method of operating the system | Oh Eun-chu |
10741389 | Method of growing two-dimensional transition metal dichalcogenide thin film and method of manufacturing device including the same | Kim Haeryong |
10741409 | Method of manufacturing a semiconductor device | Kim Hyo Jung |
10741430 | Stack boat tool and method using the same | Kim Tea-geon |
10741448 | Method of singulating semiconductor die and method of fabricating semiconductor package | Jeong Byong-gook |
10741461 | Fan-out semiconductor package | Kim Hyoung Joon |
10741498 | Semiconductor package | Heo Yu Seon |
10741510 | Semiconductor package | Kim Woon Chun |
10741518 | Method of fabricating semiconductor package | Min Youn Ji |
10741526 | Semiconductor packages | Park Chul |
10741562 | Semiconductor device | Kim Ye Ram |
10741564 | SRAM device provided with a plurality of sheets serving as a channel region | Lee Donghun |
10741571 | Vertical memory devices and methods of manufacturing the same | Yoon Young-Bae |
10741574 | Semiconductor devices | Jung Kwangyoung |
10741575 | Vertical type semiconductor devices and methods of manufacturing the same | Jung Eun-Taek |
10741577 | Three-dimensional semiconductor memory devices | Lee Bongyong |
10741607 | Image sensing apparatus and manufacturing method thereof | Yoon Sung Hyun |
10741628 | Printed circuit boards including drive circuits, and related semiconductor devices | Jang Minhwa |
10741657 | Semiconductor devices and contact plugs | Lee Eui-bok |
10741659 | Semiconductor device | Yoon Chang Seop |
10741676 | Semiconductor device | Lee Dong-hun |
10741737 | Light emitting device package | Kim Mi Hyun |
10741766 | Organic semiconductor thin film and method of manufacturing the same and thin film transistor and electronic device | Chung Jong Won |
10741772 | Organic light-emitting device | Lee Sangyeob |
10741840 | Cathode active material precursor, cathode active material formed therefrom, method of preparing the cathode active material, and cathode and lithium battery each including the cathode active material | Ham Dongjin |
10741842 | Solid-state battery | Hoshiba Koji |
10741846 | Negative electrode for lithium metal battery and lithium metal battery comprising the same | Lee Yonggun |
10741847 | Method and apparatus for selecting a reactant for removing a residual lithium compound from a cathode material | Min Kyoung Min |
10741904 | Electronic device including antenna unit | Kim Seungkyu |
10741905 | Electronic device including multiple coils | Kim Youn-Ju |
10742060 | Electronic device and operating method thereof | Kim Yusu |
10742075 | Wireless charging method and electronic device supporting same | Lee Wooram |
10742076 | Inductive power outlet locator | Azancot Yossi |
10742190 | Piezoelectric micromechanical resonator | Yoon Yongseop |
10742282 | System and method for generating codebook for analog beamforming | Ganji Mehdi |
10742290 | High-resolution CSI reporting based on unequal bit allocation in advanced wireless communication systems | Rahman Md Saifur |
10742302 | Wireless communication device transceiving signals by using carrier aggregation in multi input multi output system | Wu Chia-Hsin |
10742335 | Relating to interworking between cellular and WLAN networks | Van Der Velde Himke |
10742349 | Apparatus and method for encoding and decoding channel in communication or broadcasting system | Yeo Jeongho |
10742350 | Method and apparatus of rate-matching for communication and broadcasting systems | Jang Min |
10742355 | Apparatus that receives non-binary polar code and decoding method thereof | Son Jae-Yong |
10742369 | Apparatus and method for receiving signal in wireless communication system | Song Seong-Jun |
10742374 | Systems and methods for providing high data throughput in 6 GHz Wi-Fi network | Wu Tianyu |
10742383 | System and method for link adaptation for low cost user equipments | Papasakellariou Aris |
10742438 | Group-wise device management system and method | Cho Hyungrae |
10742471 | Transmitting apparatus and mapping method thereof | Myung Se-ho |
10742562 | Method and apparatus for adaptive control of contention window in LAA | Si Hongbo |
10742573 | Method of providing message and user device supporting the same | Jeong Jinhong |
10742737 | Storage device and electronic device including the same | Choi Younggeun |
10742742 | Electronic apparatus, system for internet-of-things environment and control method thereof | Han Sung-won |
10742781 | Transmitting apparatus, receiving apparatus, and controlling methods, thereof | Hwang Sung-oh |
10742803 | Apparatus and method for recording cellular call in an internet telephone system | Kim Yo-Sun |
10742880 | Image display apparatus and method of displaying image | Baek Jong-min |
10742892 | Apparatus and method for capturing and blending multiple images for high-quality flash photography using mobile electronic device | Le Long N. |
10742968 | Apparatus for recognizing pupillary distance for 3D display | Heo Jingu |
10742973 | Image decoding method for performing intra prediction and device thereof, and image encoding method for performing intra prediction and device thereof | Park Min-woo |
10742974 | Method for encoding/decoding image and device thereof | Park Min-woo |
10742995 | Rate distortion optimization encoding system and method of operating the same | Jeong Yo Won |
10743011 | Method and apparatus for accelerating inverse transform, and method and apparatus for decoding video stream | Lee Sang-jo |
10743026 | Video encoding method and device and decoding method and device | Hong Yoon-mi |
10743061 | Display apparatus and control method thereof | Choi Changil |
10743102 | Electronic device having structure including sensor and speaker | Cho Joonrae |
10743103 | Electronic device including a microphone array | Keum Jong Mo |
10743161 | Method for discriminating between unicast device to device(D2D) communication and groupcast D2D communication | Agiwal Anil |
10743164 | Method and electronic device for transmitting data | Ryu Kyu Sang |
10743216 | Device and method for processing plurality of resource requests in wireless communication system | Kim Soenghun |
10743231 | Method and device for enhancing service in communication system supporting public safety network service | Dong Jeongsik |
10743233 | Communication apparatus, method of controlling the communication apparatus, and air conditioner having the communication apparatus | Jeong Sang Jin |
10743359 | Electronic device for connecting with external devices based on connection information and operating method thereof | Bae Hyunjin |
10743367 | Method and system for managing enriched call data in communication network | Nagaraju Sunil Kumar |
10743742 | Dishwasher | Buesing Johannes |
10743820 | Method and apparatus for measuring biosignal | Kwon Ui Kun |
10743841 | Method of displaying elastography image and ultrasound diagnosis apparatus performing the method | Kong Dong-geon |
10744022 | Force transmitting frame and motion assistance apparatus including the same | Lee Youn Baek |
10745009 | Electronic apparatus for determining a dangerous situation of a vehicle and method of operating the same | Jang Jun-Ik |
10745422 | Organometallic compound and organic light-emitting device including the same | Hwang Kyuyoung |
10745521 | Polyimide film, composition for preparing polyimide film, and display device including polyimide film | Chae Jungha |
10745851 | Washing machine and method for controlling same | Lee Jae Poong |
10746272 | Power transmitting device, motion assistance apparatus, and method of controlling the motion assistance apparatus | Lee Jongwon |
10746411 | Cooking apparatus | Ham Hyung Kwen |
10746456 | Indoor unit of air conditioner and blade unit applied to same | Kim Mun Sub |
10746597 | Apparatus and method for verifying repeatability of spectroscope, and apparatus for analyzing spectrum data | Lee So Young |
10746804 | Battery management method and apparatus | Park Jeonghyun |
10746871 | Electronic device, control method thereof and recording medium | Kim Myung-Sik |
10746878 | Method of measuring distance by using 3D depth sensor including optical shutter | Yoon Heesun |
10746879 | Method for efficiently detecting impairments in a multi-constellation GNSS receiver | Sagiraju Phanikrishna |
10746882 | GNSS receiver performance improvement via long coherent integration | Lennen Gary |
10747104 | Method of manufacturing pellicle and apparatus for assembling pellicle | Kim Mun-Ja |
10747123 | Semiconductor device having overlay pattern | Kim Tae-sun |
10747246 | Power supply circuit and related methods for generating a power supply voltage in a semiconductor package | Kang Hee-Won |
10747250 | Integrated circuit with adaptability to a process-voltage-temperature (PVT) variation | Lee Seok-won |
10747297 | Application processor performing a dynamic voltage and frequency scaling operation, computing system including the same, and operation method thereof | Yoon Seok-Ju |
10747353 | Electronic device and method of controlling the electronic device based on touch input | Kim Nayoung |
10747357 | Coordinate measuring apparatus for measuring input position of a touch and a coordinate indicating apparatus and driving method thereof | Park Sung-soo |
10747386 | Systems and methods for window control in virtual reality environment | Kocharlakota Sridhar |
10747388 | Electronic device and control method therefor | Park Eun-Chan |
10747391 | Method and device for executing applications through application selection screen | Han Kapsu |
10747408 | Display apparatus and server apparatus providing feedback user interface | You So-yon |
10747416 | User terminal device and method for displaying thereof | Kim Yun-kyung |
10747420 | Display device for executing plurality of applications and method of controlling the same | Cho Sung-Jae |
10747431 | User terminal device and control method thereof | Ryu Jong-hyun |
10747443 | Electronic system with storage management mechanism and method of operation thereof | Devendrappa Sushma |
10747473 | Solid state drive multi-card adapter with integrated processing | Worley Fred |
10747489 | Method for displaying content and electronic device therefor | Bae Jong-Kon |
10747490 | Method and device for displaying an image transmitted from a wearable device | Jeong Hak-su |
10747644 | Method of executing instructions of core, method of debugging core system, and core system | Ha Keon-soo |
10747680 | Storage device, storage system comprising the same, and operating methods of the storage device | Yang Woo Young |
10747687 | Storage system and method for performing and authenticating write-protection thereof | Lee Jaegyu |
10747695 | Methods of performing multiple data bus inversion (DBI) and memory devices performing the methods | Ho Byung-Kyu |
10747697 | Semiconductor device, method of operating semiconductor device and system incorporating same | Kwak Young Ho |
10747838 | Geo-fence pre-caching systems and methods for providing location-variant results | Glover Eric |
10747937 | Method for layout design and semiconductor device manufactured based on the same | Park Jinyoung |
10747981 | Display for recognizing fingerprint and electronic device | Jung Dae-Kwang |
10747983 | Electronic device and method for sensing fingerprints | Choi Kyu-Hyung |
10747995 | Pupil tracking device | Huang Yu-Hao |
10748000 | Method, electronic device, and recording medium for notifying of surrounding situation information | Park An-Na |
10748005 | Data sharing method and electronic device therefor | Jung Do-Young |
10748021 | Method of analyzing objects in images recorded by a camera of a head mounted device | Kim Hyejung |
10748201 | Social information management method and system adapted thereto | Kim Jin Yong |
10748244 | Systems and methods for stereo content detection | Rochford Ciaran |
10748249 | Image data processing method and apparatus | Kim Yongkyu |
10748250 | Method and apparatus for managing immersive data | Aggarwal Rahul |
10748260 | Image processing method and display apparatus therefor providing shadow effect | Lee Yunho |
10748293 | Tomography apparatus and method for reconstructing tomography image thereof | Ra Jong Beom |
10748409 | Method of providing activity notification and device thereof | Chae Han-joo |
10748491 | Electronic device including inactive area | Park Junk Sik |
10748585 | Calibration circuit including common node shared by pull-up calibration path and pull-down calibration path, and semiconductor memory device including the same | Choi Hun-Dae |
10748617 | Nonvolatile memory device and method of operating the same | Ko Kui-Han |
10748621 | Memory device | Kwak Dong Hun |
10748632 | Nonvolatile memory device and method of programming with bit line programming forcing voltage and programming inhibition voltage | Yun Sung-Won |
10748634 | Three-dimensional semi-conductor memory devices including a first contact with a sidewall having a stepwise profile | Kim Kwang-Ho |
10748642 | Memory controller, method of operating the same and storage device including the memory controller | Kim Kwang-hoon |
10748749 | Plasma monitoring apparatus, and plasma processing apparatus including the same | Mun Jeong Il |
10748821 | Method and system for measuring pattern placement error on a wafer | Kim Gyeongseop |
10748833 | Fan-out semiconductor package | Park Seong Chan |
10748846 | Semiconductor device | Oh Se-Il |
10748855 | Laminating device and method for fabricating semiconductor package using the same | Kim Tea-Geon |
10748856 | Fan-out semiconductor package | Moon Seon Hee |
10748871 | Semiconductor chip and semiconductor package including the same | Oh Seong Hwan |
10748875 | Apparatus of semiconductor memory and method of manufacturing the same | Ahn Jung Seok |
10748886 | Semiconductor devices | Park Hyun Mog |
10748889 | Power grid and standard cell co-design structure and methods thereof | Berzins Matthew |
10748897 | Semiconductor device | Kim Sung Min |
10748905 | Semiconductor devices and methods of forming the same | Lee Dong-jin |
10748906 | Semiconductor device and method of fabricating the same | Park Jonghyuk |
10748908 | Methods of fabricating semiconductor device | Lee Seung-Heon |
10748909 | Methods of fabricating semiconductor devices | Ma Jinwon |
10748910 | Semiconductor devices and methods for fabricating the same | Yoon Chan-Sic |
10748923 | Vertical memory devices and methods of manufacturing the same | Son Young-Hwan |
10748924 | Vertical memory devices | Kim Jun Hyoung |
10748929 | Three-dimensional semiconductor devices | Lee Changhyun |
10748932 | Method for high performance standard cell design techniques in FinFET based library using local layout effects (LLE) | Agarwal Shyam |
10748953 | Methods of forming redistribution lines and methods of manufacturing semiconductor devices using the same | Cho Yonghoe |
10748955 | Image sensor with conductive pixel separation structure and method of manufacturing the same | Oh Young-sun |
10748968 | Image sensor having an etch stop layer on the insulation layer | Kim Dong Chan |
10748998 | Semiconductor devices having alternating connecting and separating sections below the gate electrode | Kim Myoung Soo |
10749000 | Field effect transistor with channel layer, and semiconductor device including the same | Maeda Shigenobu |
10749030 | Semiconductor devices | Jeong Soojin |
10749042 | Vertical memory device | Kim Hyuk |
10749130 | Electroluminescent device, and display device comprising thereof | Kim Chan Su |
10749220 | Battery control apparatus and battery control system | Jeon Jinyong |
10749231 | Metal-air battery having folded structure and method of manufacturing the same | Park Min-sik |
10749366 | Wireless power receiver and wireless power transmitter | Park Han-Seok |
10749367 | Charging apparatus and method for controlling wireless charging | Kim Dong-Zo |
10749378 | Resonance power transmission system based on power transmission efficiency | Choi Jin Sung |
10749382 | Wireless power transmitter and method for operating the same based on external voltage and current | Kim Ki-Young |
10749384 | Electronic device and method for communicating with external electronic device | Park Chun-Ho |
10749410 | Motor assembly | Bang Myung Bae |
10749527 | Level shifting circuit | Lee Dalhee |
10749548 | Transmitting apparatus and interleaving method thereof | Jeong Hong-sil |
10749555 | Time-domain IQ mismatch compensator with frequency-domain observations | Nayebi Elina |
10749560 | Audio apparatus and control method therefor | Kim Jae-won |
10749578 | Broadcast receiving apparatus | Bae Bum-youl |
10749584 | Uplink MIMO codebook for advanced wireless communication systems | Rahman Md Saifur |
10749585 | Method and apparatus for beam management reference signals in wireless communication systems | Guo Li |
10749589 | Apparatus and method for selecting beam in wireless communication system | Ha Kilsik |
10749672 | Computing system having an on-the-fly encryptor and an operating method thereof | Lee Heonsoo |
10749677 | Method and apparatus for access control in distributed blockchain-based internet of things (IoT) network | Agrawal Rahul |
10749690 | System and method for certificate authority for certifying accessors | Broumas Antonios Dimitrios |
10749697 | Electronic apparatus and control method thereof | Sahu Samir Kant |
10749725 | Apparatus and method for superposition transmissions | Kwon Hyukjoon |
10749806 | Method and apparatus for effective wireless LAN selection | Jeong Sangsoo |
10749836 | Slave device for performing address resolution protocol and operating method thereof | Keshava Sunil |
10749842 | Communication system and method for network address translation | Kim Yong |
10749950 | Method and electronic device for providing data | Kim Jung-Yong |
10749986 | Platform for interaction via commands and entities | Pierce Jeffrey S. |
10750112 | Substrate structures for image sensor modules and image sensor modules including the same | Kim Ji-Hwang |
10750153 | Camera system for three-dimensional video | Sadi Sajid |
10750156 | Three-dimensional camera for capturing image to provide virtual reality | Volochniuk Andrii |
10750159 | 3D image display apparatus and image display method thereof | Hwang Seon-deok |
10750195 | Electronic device and method for encoding image data therein | Choi Jong-Bum |
10750201 | Encoding method, decoding method and device for video global disparity vector | Zhang Jun |
10750222 | Apparatus and method for providing adaptive multimedia service | Hwang Sung-Oh |
10750234 | User terminal device and content sharing method thereof | Hong Ray |
10750239 | Server apparatus and method for controlling same | Sanchesshayda Ernesto Evgeniy |
10750281 | Sound source separation apparatus and sound source separation method | Kang Sungchan |
10750305 | Method for processing VR audio and corresponding equipment | Yang Lei |
10750329 | Method and apparatus for displaying missed calls on mobile terminal | Cho Jaewan |
10750348 | Communication device and communication method | Kim Bo-ram |
10750355 | Method and system for managing session across multiple electronic devices in network system | Sharma Diwakar |
10750359 | Portable terminal device and method for operating the same | Kim Do-hyoung |
10750390 | MDT information-reporting format in a wireless communication system, and time-stamp configuring method and apparatus | Kim Sang Bum |
10750411 | Handling IMS and CSFB call at user equipment in wireless network | Chinthalapudi Srinivas |
10750434 | Quasi co-location identification of reference symbol ports for coordinated multi-point communication systems | Ng Boon Loong |
10750462 | Methods and systems for D2D operation in unlicensed spectrum | Kadambar Sripada |
10750473 | Light connection control method and apparatus | Ke Xiaowan |
10750610 | Printed circuit board and storage device including printed circuit board | Paek Joon Ki |
10750624 | Display device and frame member | Ann Sung Jin |
10750629 | Method for manufacturing exterior housing and electronic device comprising same | Kim Young-Gyun |
10750918 | Cleaning robot and controlling method thereof | Jung Hyun Soo |
10750960 | Passive arrythmias detection based on photoplethysmogram (PPG) inter-beat intervals and morphology | Miao Lifeng |
10750982 | Oxygen saturation measuring apparatus and oxygen saturation measuring method thereof | Baek Hyun-jae |
10750998 | Bio-signal processing apparatus and biometric information detection apparatus and method | Yoon Seung Keun |
10751002 | Biosignal processing apparatus and method | Park Chang Soon |
10751009 | Radiation detector and computed tomography apparatus using the same | Cho Min-kook |
10752124 | Method and apparatus of charging battery of vehicle using regenerative braking | Jung Daebong |
10752645 | Method of forming a thin film | Park Gyu-hee |
10753031 | Washing machine motor and washing machine having same | Miyake Hiroyuki |
10753672 | Refrigerator | Ham Yeon Soo |
10753675 | Refrigerator and method of controlling the same | Ryu Dong Nyeol |
10753797 | Spectrum processing apparatus and spectrum processing method | Kim Sang Kyu |
10753800 | Calibrator of an optical emission spectroscopy | Mun Jeong-Il |
10753980 | Method and apparatus to detect battery fault | Jeong Ji-young |
10754023 | Method and apparatus for detecting object using radar of vehicle | Kim Donghan |
10754074 | Holographic display apparatus for providing expanded viewing window | Seo Juwon |
10754150 | Electronic device including flexible display | Chung Hyunwoong |
10754171 | Method of correcting optical characteristics of back light unit for three-dimensional display apparatus | Bae Jihyun |
10754178 | Smart contact lenses for augmented reality and methods of manufacturing and operating the same | Kim Taeho |
10754222 | Light steering apparatus and system including the light steering apparatus | Shin Changgyun |
10754254 | Extreme ultraviolet (EUV) exposure apparatus and method of manufacturing semiconductor device using the same | Bai Keunhee |
10754383 | Image processing method and electronic device supporting same | Lee Hwa Jun |
10754386 | Electronic device including flexible display | Lee Sungho |
10754455 | Disposition structure of sensor of electronic device | Hwang Seunghyun |
10754468 | Coordinate indicating apparatus and coordinate measurement apparatus for measuring input position of coordinate indicating apparatus | Kim Gwan-Hyung |
10754500 | Electronic apparatus and method for providing fluid user interface | Chakraborty Tuhin |
10754503 | Methods and apparatus for providing recommendations to a user of a cloud computing service | Ho Edwin |
10754504 | Screen grab method in electronic device | Singh Gurveer |
10754509 | Method for displaying application and electronic device for the same | Park Soo-Pyoung |
10754520 | Method and apparatus for managing an application being executed in a portable terminal | Kim Bo-Sung |
10754532 | Method and apparatus for operating function in touch device | Jeong Jinhong |
10754546 | Electronic device and method for executing function using input interface displayed via at least portion of content | Jung Ilhoe |
10754563 | Memory device for efficiently determining whether to perform re-training operation and memory system including the same | Jung Won-joo |
10754564 | Memory device having a plurality of low power states | Choi Yeon-kyu |
10754635 | Server for providing software platform and method of operating server | Lim Geun-sik |
10754711 | Multi-window control method and electronic device supporting the same | Hong Sun Yeal |
10754724 | Memory device for detecting a defective memory chip | Byun Hui Chung |
10754777 | Systems and methods for implementing coherent memory in a multiprocessor system | Hagersten Erik |
10754800 | Storage device configured to update field programmable gate array and method of operating the same | Cheong WooSeong |
10754804 | Application processor for low power operation, electronic device including the same and method of operating the same | Kim Sun-Kyu |
10754811 | Multi-mode NVMe over fabrics devices | Olarig Sompong Paul |
10754938 | Method for activating function using fingerprint and electronic device including touch display supporting the same | Jin Yun Jang |
10755004 | Method and apparatus for modeling object | Sagong Donghoon |
10755018 | Semiconductor device | Ryu Jong Kyu |
10755126 | Convolutional neural network processing method and apparatus | Son Jinwoo |
10755162 | Method and apparatus to reduce neural network | Han Seung Ju |
10755289 | Electronic device and operating method thereof | Seo Seung-gi |
10755420 | Method and apparatus for tracking object | Heo Jingu |
10755453 | Image processing apparatus, image processing method, and ultrasound imaging apparatus having image processing unit | Lee Kyong Joon |
10755472 | Method and apparatus for displaying image based on user motion information | Woo Ji-Hwan |
10755475 | Display apparatus and method of displaying content including shadows based on light source position | Song Hyun-a |
10755491 | Electronic device for transmitting relay message to external vehicle and method thereof | Lee Seungcheol |
10755622 | Display driver integrated circuit for supporting low power mode of display panel | Kong Kiho |
10755662 | Display driving circuit and operating method thereof | Kong Ki-ho |
10755765 | Layout structure of a bit line sense amplifier in a semiconductor memory device | Won Bok-Yeon |
10755795 | Storage device including nonvolatile memory device, nonvolatile memory device, operating method of storage device | Choi Shin-Ho |
10755797 | Nonvolatile memory device, storage device including nonvolatile memory devices, and method of training data input and output lines between controller and nonvolatile memory devices | Kim Jongmin |
10755932 | Method of manufacturing integrated circuit device | Kang Dong-woo |
10756015 | Semiconductor package, package-on-package device, and method of fabricating the same | Lee Seokhyun |
10756021 | Semiconductor package | Lee Ki Ju |
10756023 | Semiconductor package | Kim Han |
10756030 | Semiconductor package | Park Ji Eun |
10756044 | Fan-out semiconductor package | Oh Sang Hyuck |
10756055 | Stacked image sensor package and stacked image sensor module including the same | Kang Un-Byoung |
10756059 | Semiconductor chip including a plurality of pads | Chae Kwanyeob |
10756062 | Semiconductor chip and semiconductor package including the same | Kim Kyoung-Soo |
10756075 | Package-on-package type semiconductor package and method for manufacturing the same | Hong Min Gi |
10756076 | Semiconductor package | Kim Yonghoon |
10756091 | Semiconductor device and method for fabricating the same | Pak Ji Ung |
10756092 | Method of fabricating semiconductor device | Park Jonghyuk |
10756107 | Semiconductor device including partially enlarged channel hole | Choi Eunyeoung |
10756108 | Vertical memory devices | Lee Hae-Min |
10756179 | Semiconductor device and method for fabricating the same | Yang Jung Gil |
10756185 | Semiconductor device including vertical channel layer and method of manufacturing the same | Choi Ji-hoon |
10756195 | Integrated circuit device and method of manufacturing the same | Lee Byoung-Hoon |
10756211 | Semiconductor devices including source/drain regions having multiple epitaxial patterns | Park Keum Seok |
10756238 | Semiconductor light emitting device | Yoon Ju Heon |
10756301 | Electronic device having stacking structure comprising two dimensional materials | Kim Unjeong |
10756336 | Three-dimensional electrode structure, and secondary battery including the same, and method of manufacturing the three-dimensional structure | Park Hwiyeol |
10756350 | Binder, method of preparing the binder, and anode and lithium battery including the binder | Bae Woojin |
10756554 | Apparatus for charging wearable device | Shim Jae Wook |
10756705 | Apparatus and method for selecting frequency band | Park Chang-Joon |
10756708 | SPDT switch with high linearity | Tripathi Vaibhav |
10756746 | Analog digital converter, integrated circuit, and sensor system | Hong Hyeokki |
10756766 | Transmitting apparatus and mapping method thereof | Myung Se-ho |
10756799 | Method and device for transmitting and receiving channel state information in mobile communication system | Noh Hoon-Dong |
10756833 | Transmitting apparatus and receiving apparatus and controlling method thereof | Bae Jae-hyeon |
10756847 | Electronic device and method for detecting error thereof | Kim Shin-Ho |
10756871 | Method and apparatus for communicating downlink control information in an asymmetric multicarrier communication network environment | Agiwal Anil |
10756914 | Broadcast resource congestion control method and apparatus for use in wireless communication system | Won Sunghwan |
10756980 | Electronic device including light emitting device and operating method thereof | Park Junhyung |
10757096 | Method and apparatus for registering a device for use | Kim Kyungjae |
10757196 | Method and apparatus for displaying application data in wireless communication system | Vedula Kiran Bharadwaj |
10757199 | Hybrid delivery mechanism in a multimedia transmission system | Xu Yiling |
10757232 | Transmitting apparatus, receiving apparatus, and signal processing method thereof | Hwang Sung-hee |
10757239 | Electronic device including electronic part and earphone jack assembly | Seo Jae Il |
10757246 | Method and apparatus for performing at least one operation based on devices context | Avasthi Prakhar |
10757416 | Method and device for adaptively compressing image data | Park Young-o |
10757430 | Method of operating decoder using multiple channels to reduce memory usage and method of operating application processor including the decoder | Kim Tae Hwan |
10757553 | Electronic device and system for providing content and method of providing content | Ahn Chan Su |
10757554 | Method and apparatus for managing device using at least one sensor | Min Hyunsuk |
10757643 | Method and apparatus for wireless communication | Lee Ok-Seon |
10757667 | Method and user equipment for managing synchronization with network | Otwani Jitendra |
10757682 | Method and apparatus for receiving multimedia broadcast/multicast service in mobile communication system | Jang Jaehyuk |
10757707 | Method and apparatus for communicating in wireless communication system | Lee Namjeong |
10757750 | Apparatus and method for monitoring D2D transmission in connected state | Agiwal Anil |
10757846 | Electronic device including shielding member connected to conductive plate covering opening of shield can | Lee Haejin |
10759992 | Semiconductor nanocrystal and preparation method thereof | Jang Eun-Joo |
10759993 | Quantum dot composition, quantum dot polymer composite, and layered structure and electronic devices including the same | Yang Hyeyeon |
10760195 | Washing machine | Han Ju Bum |
10760200 | Water supply device and washing machine having the same | Choi Young Min |
10760807 | Air conditioner and control method therefor | Jang Yong Hee |
10760818 | Air conditioner | Lee Dong Yoon |
10760848 | Refrigerator with a heat dissipation duct for dissipating heat generated by a display | Koo Dong Won |
10760906 | Apparatus and method for obtaining three-dimensional depth image | You Jangwoo |
10760930 | Sensor package, method of manufacturing the same, and method of manufacturing lid structure | Jo Sungeun |
10760950 | Apparatus and method for processing ultrasonic data | Kim Min Soo |
10760953 | Image sensor having beam splitter | Roh Sookyoung |
10761047 | Formaldehyde detecting apparatus, and air treatment apparatus having the same | Kim Tae-gyu |
10761101 | Test apparatus and target measurement method using the same | Lee Sung Hwa |
10761124 | Electronic devices and methods for detecting foreign object on connector | Kim Je-kook |
10761141 | Method and apparatus for estimating battery state | Song Tae Won |
10761146 | Wafer probe card for evaluating micro light emitting diodes, analysis apparatus including the same, and method of fabricating the wafer probe card | Jung Jong Hoon |
10761256 | Backlight unit providing uniform light and display apparatus including the same | Dubinin German B. |
10761351 | Phase modulation active device, method of driving the same, and optical apparatus including the phase modulation active device | Lee Duhyun |
10761498 | Electronic device and method for operating the same | Kim Sang-Ju |
10761501 | Storage device and temperature control of electronic device including the same | Yum Ho-Suk |
10761564 | Electronic apparatus including emissive display and transparent display and method of controlling same | Kim Yong-ho |
10761627 | Electronic device with display | Kim Seong Hoon |
10761650 | Touch sensing device for determining information related to pen, control method therefor, and pen | Park Sung-Soo |
10761651 | Apparatus and method for processing split view in portable device | Kim Youngri |
10761671 | Digitizer and method of manufacturing the same | Lee Joo-Hoon |
10761698 | Apparatus and method for displaying notification in electronic device | Sihn Kue-Hwan |
10761723 | Method for displaying virtual keyboard on mobile terminal, and mobile terminal | Ma Zhen |
10761770 | Data management method and apparatus using buffering | Lee Jongwook |
10761775 | System and method for NVMe inter command association in SSD storage using a bridge device | Kachare Ramdas P. |
10761776 | Method for handling command in conflict scenario in non-volatile memory express (NVMe) based solid-state drive (SSD) controller | Jagadish Chandrashekar Tandavapura |
10761800 | Outdoor display apparatus | Seo Jong Pil |
10761816 | Method and system for determining interface compatibility based on component model | Son Kyoung-Ho |
10761969 | Nonvolatile memory device and operation method thereof | Jung Bong-Kil |
10762000 | Techniques to reduce read-modify-write overhead in hybrid DRAM/NAND memory | Chang Mu-Tien |
10762023 | System architecture for supporting active pass-through board for multi-mode NMVe over fabrics devices | Olarig Sompong Paul |
10762030 | Storage system, method, and apparatus for fast IO on PCIE devices | Park Heekwon |
10762032 | Adaptive interface high availability storage device | Olarig Sompong Paul |
10762119 | Semantic labeling apparatus and method thereof | Sung Jae Mo |
10762162 | Apparatus and method of low complexity optimization solver for path smoothing with constraint variation | Zhuang Binnan |
10762233 | Method and device for encrypting or decrypting content | Sahu Samir Kant |
10762242 | Electronic apparatus and method of operating the same | Lee Sang-sup |
10762295 | Apparatus and method for providing sentence based on user input | Lee Ji-yeon |
10762302 | Translation method and apparatus, and translation system | Lee Jihyun |
10762303 | Method for collecting translated content, and translation server | Kim Hyun-kyung |
10762649 | Methods and systems for providing selective disparity refinement | Hota Manjit |
10762696 | Graphic processor performing sampling-based rendering and method of operating the same | Jin Seung-hun |
10762775 | Vehicle terminal device and control method thereof | Youm Sun-hee |
10762798 | Autonomous driving method and apparatus | Hyun Yoonsuk |
10762839 | Display device and method for controlling independently by a group of pixels | Bae Jong Kon |
10762897 | Method and display device for recognizing voice | Yoo Jong-uk |
10762899 | Speech recognition method and apparatus based on speaker recognition | Cho Keun-seok |
10762904 | Electronic device and method of operating the same | Toma Anas |
10762932 | Memory device and operating method of memory device | Antonyan Artur |
10762947 | Memory devices | Lee Dong-Keon |
10762958 | Resistive memory device including a reference cell and method of controlling a reference cell to identify values stored in memory cells | Pyo Suk-soo |
10763156 | Integrated circuit device | Chung Jae-yup |
10763163 | Integrated circuit device and method of manufacturing the same | Park Su-jeong |
10763167 | Vertical semiconductor devices and method of manufacturing the same | Lee Kyung-Hwan |
10763207 | Interconnects having long grains and methods of manufacturing the same | Kittl Jorge A. |
10763217 | Semiconductor package and antenna module including the same | Lee Yong Koon |
10763222 | Three-dimensional semiconductor devices having vertical structures of different lengths | Jeong Jaeho |
10763225 | Antenna module | Kim Doo Il |
10763233 | Apparatus and method for reducing volume of resource allocation information message in a broadband wireless communication system | Cho Hee-Kwun |
10763242 | Semiconductor package and method of manufacturing the same | Son Young-Hoon |
10763243 | Substrate bonding apparatus | Kim Jun-Hyung |
10763247 | LED display and electronic device having same | Han Sangtae |
10763254 | Semiconductor device | Kim Sung Soo |
10763256 | Integrated circuit device and method of fabricating the same | Jun Hwi-chan |
10763268 | Semiconductor devices and methods for manufacturing the same | Yoon Changseop |
10763278 | Semiconductor memory device | Yun Kyunghwa |
10763287 | Image sensor comprising a light shielding pattern with plural portions spaced apart on pixels of a sensor array area | Ahn Yu-jin |
10763294 | Image sensor chips having sub-chips | Lee Jaekyu |
10763335 | Semiconductor device | Chung Wonkeun |
10763397 | Semiconductor light emitting device | Yoon JuHeon |
10763399 | Light emitting device package | Lee Dong Gun |
10763503 | Composite cathode active material, cathode and lithium battery including the composite cathode active material, and method of preparing the composite cathode active material | Park Junho |
10763545 | Electrolyte for secondary battery, method of preparing electrolyte, and secondary battery including electrolyte | Choi Hongsoo |
10763704 | Microwave wireless charger with focusing of microwave field | Vilenskiy Artem Rudolfovitch |
10763707 | Method and apparatus for providing wireless charging power to a wireless power receiver | Lee Kyung-Woo |
10763789 | Mixer for reducing local frequency signal generated at output of the mixer | Kim Kihyun |
10763834 | Latch circuit | Shin Ho Young |
10763866 | Electronic circuit configured to adjust sampling timing for recovering data | Ryu Kyungho |
10763925 | Method and apparatus for reduced feedback MIMO | Onggosanusi Eko |
10763928 | Method and apparatus for controlling beamforming | Oh Jongho |
10763945 | Method for detecting blockage and electronic device thereof | Son Dongil |
10763994 | Method and apparatus for transmitting and receiving control information in wireless communication system | Kim Han-Ju |
10764007 | Method and apparatus for transmitting uplink sounding reference signal | Zhao Zheng |
10764016 | Method and apparatus for channel quality estimation in consideration of interference control and coordinated communication in cellular system | Kim Yohan |
10764017 | Apparatus and method for transceiving channel state information | Kwak Youngwoo |
10764018 | Method and apparatus for processing activation/deactivation of inter-eNodeB carrier aggregation | Qiu Yu |
10764157 | Control apparatus for controlling an operation of at least one electronic device | Jeon Ji Hyun |
10764280 | Method and apparatus for authentication based on fingerprint recognition | Kim Wonjun |
10764504 | Method for reducing parallax of multiple cameras and electronic device supporting the same | Baek Jae Myung |
10764521 | Image sensor and electronic device comprising the same | Usui Takafumi |
10764530 | Method and system for recording a super slow motion video in a portable electronic device | Khandelwal Gaurav |
10764593 | Method and apparatus for coding video having temporal scalability, and method and apparatus for decoding video having temporal scalability | Choi Byeong-doo |
10764595 | Method and apparatus for encoding image by using atypical split, and method and apparatus for decoding image by using atypical split | Lee Sun-il |
10764702 | Audio content playback method and apparatus for portable terminal | Lee Jung-Mi |
10764733 | Wearable apparatus, management server, management system having the same, and method for controlling thereof | Shin Ji-yeon |
10764766 | Methods of radio front-end beam sweeping for 5G terminals | Mo Jianhua |
10764772 | Method and apparatus for performing discontinuous reception operation by connected mode user equipment in a mobile communication system | Kim Soeng-Hun |
10764799 | Method and apparatus for minimizing interruption time of data transfer on handover in wireless communication network | Jang Chulhee |
10764818 | Apparatus and method for frequency scanning in wireless communication system | Jung Doyoung |
10764835 | Method for controlling small cell and apparatus therefor | Yoon Jisun |
10764852 | Method and apparatus for device-to-device communication in partial network environment | Lim Chiwoo |
10764862 | System and method of paging in next generation wireless communication system | Agiwal Anil |
10764896 | Method and apparatus for beam management in the unlicensed spectrum | Guo Li |
10764919 | Adaptive retransmission method and apparatus for delay reduction in wireless cellular communication system | Noh Hoondong |
10764957 | Method and UE for optimizing resources of wireless communication network while providing 5G services | Jha Kailash Kumar |
10764976 | Lighting systems, lighting devices and lighting control methods using ultra-wideband sensor | Kim Chang Seob |
10764991 | Printed circuit board including overvoltage controlling element and electronic device including the same | Park Hyunjun |
10765280 | Vacuum cleaner and control method for the same | Kim Dong Wook |
10765288 | Vacuum cleaner | Jeong Jae Youl |
10765345 | Method and system for determining a length of an object using an electronic device | Kang Sung-ji |
10766039 | Electrostatic precipitator | Yuge Seiro |
10766440 | Electronic device including antenna using conductive member included in housing | Sung Sang Bong |
10767300 | Washing machine | Chun Kwang Min |
10768200 | Method and apparatus for measuring the speed of an electronic device | Lee Yong-Seok |
10768268 | Apparatus and method for determining properties of channel | Baek Sangkyu |
10768285 | Method and apparatus for obtaining elastic feature of object | Shim Hwan |
10768305 | Vehicle and method of recognizing position of vehicle based on map | Baik Aron |
10768310 | System and method for providing code modification for multipath improvement in GNSS receivers | Lennen Gary |
10768311 | System and method for combining signals for improved performance in challenging environment | Lennen Gary |
10768313 | Positioning method, electronic device, and storage medium | Jun Woo-Jin |
10768359 | Display apparatus | Kim Jong Bin |
10768648 | Power management device and electronic device including the same | Lee Kyungsoo |
10768664 | Electronic device including housing and method for manufacturing the housing | Cho Chongkun |
10768681 | Electronic device and content display method thereof | Seo Seok-Weon |
10768736 | Method of processing fingerprint and electronic device thereof | Cho Jeong-Ho |
10768760 | Touch controller having increased sensing sensitivity, and display driving circuit and display device and system having the touch controller | Kim Hyoung-rae |
10768783 | Method and apparatus for providing application information | Lee Kyung-Hee |
10768796 | Method of displaying user interface on device, and device | Kim Sin-ae |
10768820 | On-demand storage provisioning using distributed and virtual namespace management | Subramanian Anand |
10768824 | Stacked memory device and a memory chip including the same | Yu Hak-Soo |
10768860 | Data storage device, operating method of the same, and electronic system including the same | Kim Duk-Soo |
10768887 | Electronic apparatus, document displaying method thereof and non-transitory computer readable recording medium | Lee Hyun-woo |
10768892 | Device and method for performing functions | Bang Han-min |
10769010 | Dynamic random access memory devices and memory systems having the same | Son Jong Pil |
10769044 | Storage device with a display device for indicating a state | Lim Gwangman |
10769085 | Bus system | Cho Joon-Woo |
10769091 | Memory card and electronic system | Koh Yong-nam |
10769096 | Apparatus and circuit for processing data | Kim Hye-Jeong |
10769203 | System and method for prediction and recommendation using collaborative filtering | Sonasath Moiz Kaizar |
10769255 | Methods and apparatuses for adaptively updating enrollment database for user authentication | Kim Jungbae |
10769256 | Methods and apparatuses for adaptively updating enrollment database for user authentication | Han Jaejoon |
10769258 | Electronic device for performing authentication using multiple authentication means and method for operating the same | Shin Hyung-Woo |
10769438 | Augmented reality | Bendale Abhijit |
10769453 | Electronic device and method of controlling operation of vehicle | Ban Dae-hyun |
10769470 | Method and system for optimizing an image capturing boundary in a proposed image | Patankar Anish Anil |
10769475 | Method of identifying objects based on region of interest and electronic device supporting the same | Kim Moojung |
10769480 | Object detection method and system | Li Jia |
10769633 | Method, apparatus, and system for performing wireless transactions with near-field communication (NFC) set up | Dua Robin |
10769674 | Generation and rendering system for advertisement objects with computer-selected conditional content | Glover Eric |
10769753 | Graphics processor that performs warping, rendering system having the graphics processor, and method of operating the graphics processor | Jin Seung-hun |
10769797 | Virtual reality experience sharing | Budagavi Madhukar |
10769837 | Apparatus and method for performing tile-based rendering using prefetched graphics data | Cho Yeon-Gon |
10769944 | Method for processing message and electronic device implementing the same | Choi Inyoung |
10770022 | Source driver and a display driver integrated circuit | Chen Joway |
10770032 | Method and apparatus for processing image in virtual reality system | Yip Eric |
10770033 | Apparatus and method for visually providing information regarding contents indicating time interval | Park Hye Ju |
10770065 | Speech recognition method and apparatus | Kim Sang-yoon |
10770123 | Storage device and operating method of storage device | Shin Soong-Man |
10770137 | Resistive memory device including compensation circuit | Gangasani Venkataramana |
10770138 | Method of operating resistive memory device reducing read disturbance | Kim Hee-Won |
10770148 | Nonvolatile memory device and operating method of the same | Jung Won-Taeck |
10770149 | Non-volatile memory device | Shin Ji-yeon |
10770150 | Non-volatile memory device and initialization information reading method thereof | Lee Youn-Yeol |
10770154 | Semiconductor memory devices and memory systems having the same | Yu Seong-Heon |
10770172 | Apparatus and method for health management | Koh Young Jun |
10770273 | OES device, plasma processing apparatus including the same and method of fabricating semiconductor device | Kim In-Joong |
10770311 | Stack package and methods of manufacturing the same | Won Jae-in |
10770337 | Lift pin assembly, substrate support apparatus and substrate processing apparatus having the same | Lee Ja-Woo |
10770353 | Method of forming multi-threshold voltage devices using dipole-high dielectric constant combinations and devices so formed | Wang Wei-E |
10770355 | Semiconductor devices with various line widths and method of manufacturing the same | Yoo Je-min |
10770383 | Semiconductor device having flexible interconnection and method for fabricating the same | Im Hohyeuk |
10770384 | Printed circuit board having insulating metal oxide layer covering connection pad | Park Soo-jae |
10770403 | Fan-out semiconductor package | Han Mi Ja |
10770416 | Semiconductor package | Kim Byung Ho |
10770418 | Fan-out semiconductor package | Kim Da Hee |
10770419 | Apparatus and method for reducing volume of resource allocation information message in a broadband wireless communication system | Cho Hee-Kwun |
10770436 | Light-emitting diode (LED) device | Yeon Ji-hye |
10770446 | Semiconductor packages and methods of manufacturing the same | Kim Young Lyong |
10770447 | Method for fabricating substrate structure and substrate structure fabricated by using the method | Lee Ho Jin |
10770463 | Semiconductor devices including structures for reduced leakage current and method of fabricating the same | Cho Min Hee |
10770467 | Semiconductor device and method for fabricating the same | Jeong Soo-Yeon |
10770473 | Vertical type semiconductor devices and methods of manufacturing the same | Lee Sung-Yun |
10770477 | Vertical semiconductor devices | Lee Bong-Yong |
10770499 | Image sensor | Kim SeungSik |
10770544 | Semiconductor devices | Kim Jee Yong |
10770560 | Semiconductor devices | Yim Jeong Hyuk |
10770619 | Light-emitting devices and displays with improved performance | Coe-Sullivan Seth |
10770637 | Energy harvester | Park Young-jun |
10770751 | Solid state lithium-ion conductor | Wang Yan |
10770768 | Metal-air battery and method of manufacturing the same | Jung Insun |
10770801 | Antenna structure including parasitic conductive plate | Park Jaeseok |
10770989 | Electrode structure, triboelectric generator including the same, and method of manufacturing the electrode structure | Shin Hyeonjin |
10770990 | Triboelectric generator | Byun Kyungeun |
10771045 | Apparatus and method for reducing output skew and transition delay of level shifter | Chen Chih-Wei |
10771055 | Switching device and power conversion device | Olyunin Nikolay Nikolayevich |
10771115 | Wireless power transmitting device and method for controlling the same | Lee Kyung-Woo |
10771139 | Apparatus and method for providing efficient beamforming feedback | Lee Wook Bong |
10771140 | Selective channel feedback method and apparatus for use in wireless communication system | Ji Hyoungju |
10771185 | System and method for setting link parameters in a WiFi link | Lee Wook Bong |
10771211 | Method and apparatus for channel state information (CSI) acquisition with DL and UL reference signals | Onggosanusi Eko |
10771223 | Method and apparatus for allocating and signaling ACK/NACK resources in a wireless communication system | Cho Joon-Young |
10771227 | User equipment and method for managing backoff time in the user equipment | Kim Sang Bum |
10771244 | Method for communication between devices and devices thereof | Kim Se-il |
10771340 | Automatic ethernet storage discovery in hyperscale datacenter environment | Ballapuram Chinnakrishnan |
10771667 | Camera apparatus and electronic device including the same | Jung Hwa-Joong |
10771706 | Method and electronic device for focus control | Lee Seung Han |
10771754 | Image white balance correction method and electronic device | Moriya Tsuyoshi |
10771759 | Method and apparatus for transmitting data in network system | Kim Dong-Yeon |
10771771 | Method of determining calibration parameter for three-dimensional (3D) display device and 3D display device using the method | Hwang Hyoseok |
10771779 | Method and apparatus for encoding video using variable partitions for predictive encoding, and method and apparatus for decoding video using variable partitions for predictive encoding | Lee Chang-Hyun |
10771820 | Image encoding method and apparatus using artifact reduction filter, and image decoding method and apparatus using artifact reduction filter | Lee Sun-il |
10771826 | Apparatus and method for configuring a control message in a broadcast system | Hwang Sung-Oh |
10771836 | Display apparatus and control method thereof | Kwon Yong-hwan |
10771839 | Control method and display apparatus providing various types of content | Bae Hee-jeong |
10771846 | Electronic apparatus for playing substitutional advertisement and method for controlling method thereof | Noh Ki Tae |
10771854 | Video streaming apparatus and method in electronic device | Jung Ha-Kyung |
10771955 | Method and apparatus for selecting profile of terminal in mobile network | Park Jonghan |
10772017 | Method and apparatus for supporting light connection in next generation mobile communication systems | Kim Donggun |
10772019 | Method and apparatus for video communication | Cho Hyung-Rae |
10772025 | Method and apparatus for channel access in wireless communication system | Oh Jinyoung |
10772029 | Apparatus and method for providing operator specific service | Kang Sujung |
10772031 | Measurement apparatus and method for the communication of an idle mode device having low mobility in a mobile communication system | Jeong Kyeong In |
10772048 | Method and device for real time transmission power control in wireless communication system | Yoon Jisun |
10772073 | Synchronization method and device for device-to-device communication in wireless communication system | Kim Donghan |
10772079 | Method and system for signalling resource allocation information in an asymmetric multicarrier communication network | Nigam Anshuman |
10772104 | Wireless communication device and symbol-based processing method for downlink signals thereof | Won Young-Gul |
10772131 | Apparatus and method for random access in wireless communication system using beamforming | Yu Hyun-Kyu |
10772150 | Method and apparatus of managing stored system information using validly timer when applying discontinuous reception mode in mobile communication system | Kim Soenghun |
10772156 | Electronic apparatus and method of controlling the same | Shim Woo-chul |
10772157 | Method for performing relay communication and electronic device thereof | Shin Jiho |
10772423 | Storage container and refrigerator having the same | Lee Jae Bok |
10772505 | Bio-information measuring apparatus and bio-information measuring method | Kim Dong Ho |
10772576 | X-ray imaging apparatus and control method thereof | Han Jong Chul |
10772589 | Receiving device and X-ray imaging apparatus having the same | Yang Chang Jin |
10772595 | Method and apparatus for displaying medical image | Lee Gi-tae |
10772597 | X-ray apparatus and system | Kim Seung-hoon |
10773199 | Air conditioner and control method therefor | Kwon Jun Hyoun |
10774455 | Washing machine | Kim Seung-Hoon |
10774841 | Fan motor assembly and vacuum cleaner having the same | Oh Hyeon Joon |
10774870 | Vacuum suction device and electronic device including the same | Kang Yoon-Seok |
10775067 | Method for controlling activation of air conditioning device and apparatus therefor | Seo Sungmok |
10775087 | Ice-making tray and refrigerator comprising same | Jeong Jin |
10775096 | Refrigerator | Ha Joo-Young |
10775098 | Refrigerator including fixers to fix components of refrigerator | Lim Chae Soo |
10775234 | Electronics device including optical sensor module having shield printed layers using a coloring material | Oh Seungtaek |
10775239 | Spectrometric sensor control method and electronic device for supporting same | Lee Jaesung |
10775439 | Method and apparatus for estimating initial condition of battery | Song Tae Won |
10775511 | Method and apparatus for improving GNSS accuracy via path identification | Lennen Gary |
10775512 | Method and apparatus for second order intercept point (IP2) calibration | Babitch Daniel |
10775540 | Method of forming light modulating signal for displaying 3D image, and apparatus and method for displaying 3D image | Song Hoon |
10775623 | Method for providing virtual image to user in head-mounted display device, machine-readable storage medium, and head-mounted display device | Han Soon-Seob |
10775631 | Electronic device including moisture induction structure | Yun Sukjin |
10775655 | Display apparatus and back light unit included therein | Yoo Jun-Mo |
10775742 | Method and apparatus for processing holographic image | Seo Juwon |
10775846 | Electronic device for providing information related to smart watch and method for operating the same | Meen Young-Jae |
10775852 | Foldable display device | Kim Jong Yoon |
10775869 | Mobile terminal including display and method of operating the same | Kwak Ji-yeon |
10775872 | Method and apparatus for managing battery of electronic device | Kim Hyun Seok |
10775896 | Method for controlling display of multiple objects depending on input related to operation of mobile terminal, and mobile terminal therefor | Lee Ho-Young |
10775904 | Input device and electronic device including the same | Lee Kyongho |
10775957 | Method and apparatus for entering text in portable terminal | Lee Gi-Yong |
10776005 | Configuration of application execution spaces and sub-spaces for sharing data on a mobile touch screen device | Kim Tae-Soo |
10776077 | Method, apparatus and recording medium for processing division calculation | Kosuge Tetsuo |
10776188 | Method and apparatus for generating workflow | Shanbhag Amogha D. |
10776297 | Method and device for operating trigger between electronic devices and jack accessory supporting the same | Oh Younghak |
10776299 | Multi-protocol I/O infrastructure for a flexible storage platform | Worley Fred |
10776469 | Method for generating 3D biometric model of body part of user and electronic device thereof | Venkatesan Shankar Mosur |
10776549 | Method of manufacturing a semiconductor device using the same | Suh Dong Chan |
10776601 | Fingerprint sensor package and display apparatus including the same | Kim Woonbae |
10776609 | Method and system for facial recognition | Kolagunda Abhishek |
10776666 | Apparatus and method for diagnosis of medical image | Lee Ki Yong |
10776694 | Optimized neural network input stride method and apparatus | Brothers John Wakefield |
10776896 | Graphics processing unit that performs path rendering, and a method of operating the same | Yoo Jeong-joon |
10776904 | Method and apparatus for processing image | Petrova Xenya Yurievna |
10776943 | System and method for 3D association of detected objects | Tamama Hideo |
10776957 | Online image compression in hardware | Sohre Nicholas |
10776996 | Method and apparatus for processing image | Park Seung In |
10777114 | Display panel, display device, and operation method of display device | Moon Young-Bae |
10777193 | System and device for selecting speech recognition model | Lee Jung In |
10777233 | Nonvolatile memory device | Nam Sang-Wan |
10777246 | Semiconductor memory device and detection clock pattern generating method thereof | Doo Su Yeon |
10777254 | Nonvolatile memory devices and memory systems | Kwak Dong-Hun |
10777255 | Control signal generator for sense amplifier and memory device including the control signal generator | Antonyan Artur |
10777264 | Nonvolatile memory device and program method and program verification method thereof | Yim Hyejin |
10777269 | Semiconductor memory device, semiconductor memory module, and method of accessing nonvolatile memory | Jung Jiwan |
10777270 | Methods and systems for compensating for degradation of resistive memory device | Baek Seung-you |
10777276 | Integrated circuit devices | Kim Sung-woo |
10777278 | Non-volatile memory device and erasing method of the same | Lee Ji-Young |
10777279 | Non-volatile memory device and method of erasing the same | Lee Jun-Gyu |
10777282 | Method of rewriting data of memory device, memory controller controlling the memory device, and controlling method of the memory controller | Oh Eun Chu |
10777412 | Hardmask composition, method of preparing the same, and method of forming patterned layer by using the hardmask composition | Lee Dongwook |
10777449 | Methods of manufacturing semiconductor devices | Jang Sang-Shin |
10777487 | Integrated circuit device including through-silicon via structure and method of manufacturing the same | Choi Ju-il |
10777495 | Printed circuit board and semiconductor package including the same | Park Soojae |
10777553 | Integrated circuit device and method of manufacturing the same | Choi Jung-hyuck |
10777560 | Semiconductor device and method of fabricating the same | Park Jonghyuk |
10777565 | Three-dimensional semiconductor memory devices and methods of fabricating the same | Jung Euntaek |
10777571 | Three-dimensional semiconductor device having a peripheral connection plug in a through region below a gate stack structure | Jung Eun Taek |
10777572 | Three-dimensional semiconductor memory devices | Jung Euntaek |
10777577 | 3-dimensional semiconductor memory device | Cheon Ji-sung |
10777606 | Semiconductor memory device and semiconductor memory manufacturing apparatus | Kim Jae hoon |
10777623 | Electronic device including display with expanded sensor operability | Rhee Bong Jae |
10777712 | Semiconductor light-emitting device | Yun Mi Jeong |
10777729 | Electronic device and control method thereof | Gdala Tomasz Robert |
10777737 | Magnetoresistive random access memory device | Kim Dae-Shik |
10777745 | Switching element, variable resistance memory device, and method of manufacturing the switching element | Yu Seung-Geun |
10777920 | Connecting device and electronic device including the same | Park Sung-Won |
10777927 | Electronic device having flexible connector | Lee Sung-Hyup |
10777970 | Metamaterial-based reflector, optical cavity structure including the same and vertical cavity surface emitting laser | Han Seunghoon |
10778017 | Method for preventing electric shock and electronic device for the same | Park Jung-Hoon |
10778039 | Coil device for wireless power transmission | Kim Yong-Youn |
10778065 | Motor assembly | Bang Myung Bae |
10778178 | Front end module supporting device to device communication using plural frequency bands and electronic device including the same | Ghim Jaegon |
10778205 | Pulse amplitude modulation transmitter and pulse amplitude modulation receiver | Kim Sung-Ha |
10778239 | Electronic circuit for implementing modulator configured to perform noise shaping in digital domain | Jang Ilhoon |
10778259 | Wireless communication device and method of operating the same | Ko Hyoungmin |
10778276 | Transmitter device and transceiver device for transmitting different wireless standard signal | Kim Taewan |
10778284 | Method and apparatus for controlling interference in communication system using multiple antennas | Kim Kwang-Taik |
10778300 | Method and apparatus for high rank multiple-input multiple-output (MIMO) symbol detection | Kwon Hyukjoon |
10778303 | Apparatus and method for beamforming in wireless communication system | Kim Yung-Soo |
10778322 | Methods and systems for dynamically configuring mode of operation for a prose enabled user equipment | Gandikota Jagadeesh |
10778378 | Method and apparatus for transmitting uplink data in wireless communication system | Oh Jinyoung |
10778388 | Method and apparatus for resource management in wireless communication systems | Qi Yinan |
10778402 | Method and device for transmitting and receiving data by using multiple carriers in mobile communication system | Kim Soeng Hun |
10778617 | Electronic device and method of transferring data in an application to another application | Kim Jongmin |
10778780 | Apparatus and method for controlling connection in communication system | Jung Hakyung |
10778819 | Electronic device and manufacturing method thereof | Kim Seong-Hyeon |
10778820 | Electronic device for preventing deformation of a display panel | Bui Dac Tri |
10778830 | Electronic device and method for performing task using external device by electronic device | Jang Yong-Seok |
10778850 | Method and apparatus for improving service efficiency in wireless communication system | Jeong Sangsoo |
10778919 | Image sensor | Ko Ju Hyun |
10778927 | Display system, display apparatus, and controlling method thereof | Yoon Seung-il |
10778928 | Device and method for inputting note information into image of photographed object | Li Guozhen |
10778934 | Convertible stand of display apparatus | Kang Jeong-il |
10778935 | Audio-visual system and method for controlling the same | Kim Ha-Na |
10778966 | Display device and method for controlling display device | Ha Jung Su |
10779030 | Display apparatus and controlling method thereof | Kim Min-chul |
10779035 | Method and apparatus of transmitting media data related information in multimedia transmission system | So Young-Wan |
10779143 | Apparatus and method for controlling other electronic device in electronic device | Lee Tae-Young |
10779158 | Mobile device capability identifier | Van Lieshout Gert Jan |
10779172 | Method and device for communication using unlicensed band in mobile communication system | Moon Jungmin |
10779242 | Power control for overlapping sidelink and uplink transmissions | Wang Yi |
10779293 | Downlink transmission method and user terminal equipment | Li Yingyang |
10779326 | Carrier aggregation method and device in wireless communication system | Kim Yohan |
10779349 | Method and apparatus for logging information | Kim Sang Bum |
10779356 | Method and apparatus for transmitting and receiving data using a plurality of carriers in mobile communication system | Kim Soeng-Hun |
10779357 | Method for avoiding handover failure | Xu Lixiang |
10779362 | Heating element, manufacturing method thereof, composition for forming heating element, and heating apparatus | Sohn Hiesang |
10779388 | EUV generation device | Jeon Byeong Hwan |
10779427 | Method for measuring electromagnetic signal radiated from device and electronic device thereof | Lee Youngbae |
10779696 | Cleaner and dust separating device applying the same | Cho Dong Jin |
10779766 | Wearable measurement apparatus | Ko Byung Hoon |
10780585 | Robot and electronic device for performing hand-eye calibration | Park Soon Yong |
10781368 | Fluoride phosphor, method of manufacturing the same, and light emitting device | Park Jong Won |
10782029 | Home appliance | Park Wan Gi |
10782060 | Evaporator, a refrigerator using the evaporator and a method for controlling the refrigerator | Jeong Jin |
10782063 | Refrigerator | Lee Hyung Bum |
10782064 | Refrigerator | Hong Young Bae |
10782144 | Method and apparatus providing information of an autonomous vehicle | Choi Hee Min |
10782254 | Method of detecting a defect and apparatus for performing the same | Song Hyon-Seok |
10782349 | Test interface board having a transmission line to merge signals, test method using the same, and test system including the same | Kim Yongjeong |
10782463 | Display panel with polarizing plates and display apparatus including the same | Jang Nae-Won |
10782470 | Display apparatus including dichroic filter | Lee Kye Hoon |
10782611 | Quantum dots, a composition or composite including the same, and en electronic device including the same | Yang Hyeyeon |
10782701 | Autonomous vehicle and method of controlling the same | Cronin John |
10782702 | Robot cleaner and method of controlling the same | Akazawa Yoshiaki |
10782719 | Capacitor-less voltage regulator, semiconductor device including the same and method of generating power supply voltage | Kim June-Soo |
10782765 | Method and electronic device for outputting image | Lee Jong Won |
10782768 | Application processor, mobile device having the same, and method of selecting a clock signal for an application processor | Joo Young-Pyo |
10782816 | Electronic apparatus and method for implementing user interface | Kim Hyun-jin |
10782817 | Input device and electronic apparatus comprising the same | Kang Han-Vit |
10782851 | Portable terminal apparatus and control method thereof | Kim Young-jin |
10782863 | Control interface | Lee Chunkwok |
10782876 | Electronic device for providing character input function and method for controlling thereof | Jo Daeyoung |
10782909 | Data storage device including shared memory area and dedicated memory area | Kim Jong Hwa |
10782915 | Device controller that schedules memory access to a host memory, and storage device including the same | Kim Seon-Bong |
10782925 | Method and apparatus for displaying schedule on wearable device | Suh Ji-Eun |
10782974 | VLIW interface device and method for controlling the same | Cho Young-chul |
10783033 | Device and method for accessing in-band memory using data protection | Choi Hyungwoo |
10783090 | Storage system and method for performing and authenticating write-protection thereof | Lee Jaegyu |
10783265 | Data access device and apparatus comprising same | Cho Soon Yong |
10783306 | Simulation methods and systems for predicting SER | Monga Udit |
10783351 | System and method for sensing facial gesture | Hwang Young Kyoo |
10783617 | Device for and method of enhancing quality of an image | Glotzbach John W. |
10783668 | Handling duplicate points in point cloud compression | Sinharoy Indranil |
10783670 | Method for compression of 360 degree content and electronic device thereof | Saa-Garriga Albert |
10783885 | Image display device, method for driving the same, and computer readable recording medium | Han Sung-won |
10783887 | Application processor including low power voice trigger system with external interrupt, electronic device including the same and method of operating the same | Kim Sun-Kyu |
10783970 | Consolidation of copy-back and write in PRAM blocks | Berman Amit |
10783979 | Built-in self-test (BIST) circuit, memory device including the same, and method of operating the BIST circuit | Ok Seung-ho |
10784130 | Bonding apparatus | Lee Joong-Ha |
10784184 | Semiconductor device including through silicon vias distributing current | Rho Soojung |
10784198 | Power rail for standard cell block | Sengupta Rwik |
10784216 | Semiconductor device and semiconductor package including the same | Kim Won-Young |
10784244 | Semiconductor package including multiple semiconductor chips and method of manufacturing the semiconductor package | Han Won-Gil |
10784260 | Semiconductor device | Park Jongho |
10784262 | Semiconductor device | Cho Guyoung |
10784266 | Integrated circuit device | Kim Dong-oh |
10784272 | Semiconductor memory devices | Lee Kiseok |
10784281 | Three-dimensional semiconductor memory devices | Kang Joo-Heon |
10784294 | Image sensor including multi-tap pixel | Jin Young-gu |
10784301 | Image sensors including an amorphous region and an electron suppression region | Hong Hyungi |
10784308 | Display device including light emitting diode and method of manufacturing the same | Kim Jae Yoon |
10784309 | High resolution display device | Hwang Kyungwook |
10784311 | Three-dimensional semiconductor memory devices | Park Mu-Hui |
10784314 | Image sensor including color filters | Yoo Jong Hyun |
10784344 | Semiconductor devices and methods of manufacturing the same | Song Seung-Min |
10784376 | Semiconductor device and method of fabricating the same | Kim Ki Hwan |
10784379 | Semiconductor device including a shared semiconductor pattern having faceted sidewalls and method for fabricating the same | Kim Seok Hoon |
10784401 | Light emitting composite and light emitting structure and optical sheet and electronic device | Lee Eun Kyung |
10784405 | Semiconductor light emitting device | Tak Young Jo |
10784442 | Method of manufacturing a magnetoresistive random access memory device | Kim Whan-Kyun |
10784542 | System and method with battery management | Basu Suman |
10784706 | Wireless power transmitter and method for controlling the same | Lee Chong-Min |
10784708 | Method for transmitting wireless power in wireless charging system including a wireless power transmitting unit and wireless power receiving unit | Lee Kyung-Woo |
10784864 | Low power integrated clock gating system and method | Berzins Matthew |
10784949 | Method and apparatus for managing user plane operation in wireless communication system | Agiwal Anil |
10785079 | System and method for providing time offset and frequency offset estimation for vehicle to everything communication system | Dezfooliyan Amir |
10785283 | Apparatus and method for transmitting and receiving files in a wireless communication system supporting cloud storage service | Shin Bong-Jhin |
10785368 | Method of displaying contents upon call request, and electronic device providing same | Jung Hoonsoub |
10785387 | Electronic device for taking moving picture by adjusting threshold associated with movement of object in region of interest according to movement of electronic device and method for operating same | Kim Dongsoo |
10785409 | Electronic device combining plurality of images based on image brightness and method therefor | Jang Soongeun |
10785429 | Image sensor having improved efficiency by reducing noise and time taken for capturing image | Kim Moo Young |
10785432 | Image sensor | Lim Jung wook |
10785440 | Method for transmitting image and electronic device thereof | Park Jeong-Hyun |
10785476 | Method and device for encoding or decoding image by using blocks determined by means of adaptive order | Choi Ki-ho |
10785490 | Video coding module and method of operating the same | Jeong Yowon |
10785560 | Waveguide for a height channel in a speaker | Bezzola Andri |
10785626 | Method of controlling device and device thereof | Oh Ki-jeong |
10785668 | Method and apparatus for rapidly reporting frequency measurement results in next generation mobile communication system | Kim Donggun |
10785675 | Method and device for controlling traffic of electronic device in wireless communication system | Lee Hyung-Ho |
10785676 | Electronic device and traffic control method thereof | Yu Geum Hwan |
10785713 | Device and method for accessing multiple networks in wireless communication system | Kim Jin-Hyoung |
10785725 | Mobile terminal and method for managing power thereof | Kim Sang-Ho |
10785815 | Electronic apparatus and controlling method thereof | Ha Jung-su |
10785826 | Method of establishing a direct connection between a mobile device, and an image display apparatus, and method thereof | Jee Ho-jeen |
10785887 | Electronic device including cooling function and controlling method thereof | Jeong Chihwan |
10786169 | Bio-processor for measuring each biological signals and wearable device having the same | Shin Seung-Chul |
10786186 | Non-invasive biometric sensor based on organic photodetector | Heo Chul Joon |
10786196 | Display apparatus and control method thereof for skin care analysis | Son Joo-young |
10786416 | Assisting torque setting method and apparatus | Hyung Seungyong |
10786787 | Methods of fluorinating filters used in the manufacture of a semiconductor device | Garza César M. |
10786895 | Operation method for activation of home robot device and home robot device supporting the same | Lee So Hee |
10788238 | Air conditioner | Cho Sang Ki |
10788240 | Air conditioner | Lee Dong Yoon |
10788528 | Crack detection chip and crack detection method using the same | Kwon Chan-Sik |
10788651 | Tele-lens and imaging device | Bae Jae-cheol |
10788681 | Backlight unit for 3D image display and method of manufacturing the backlight unit | Lee Sunghoon |
10788725 | Phase modulation active device, method of driving the same, and optical apparatus including the phase modulation active device | Lee Duhyun |
10788727 | Liquid crystal light deflector | Kim Young |
10788729 | Laser beam phase-modulation device, laser beam steering device and laser beam steering system including the same | Kim Sunil |
10788828 | Method and apparatus for operating autonomous driving controller of vehicle | Jang Cheolhun |
10788874 | Method and electronic device for controlling power between electronic devices | Lee Tae-Kyung |
10788905 | Touch-fingerprint complex sensor, operating method thereof, and electronic apparatus including the touch-fingerprint complex sensor | Kim Jinmyoung |
10788908 | Electronic device including optical structure | Jung Min Su |
10788922 | Fingerprint/touch sensor and electronic apparatus including the same | Park Jaechul |
10788923 | Touch screen controller, touch screen system including the touch screen controller, and method of operating the touch screen controller | Kong Tae-hwang |
10788946 | Display apparatus, source apparatus, and methods of providing content | Oh Sung-bo |
10788955 | Method for controlling display and electronic device supporting the same | Jang Dong Ho |
10788956 | Screen display method and apparatus of a mobile terminal | Kim Tae Yeon |
10788960 | Display apparatus and control method for processing content data based on user input | Ryu Young-jun |
10788973 | Electronic picture frame and image display method thereof | Jho Soo-hyun |
10788977 | System and method for displaying information on transparent display device | Lee Chang-soo |
10788980 | Apparatus and method for displaying application | Park Ji-hun |
10789019 | Storage device capable of managing jobs without intervention of a processor | Kim Youngsik |
10789033 | System and method for providing widget | Shin Jin-woo |
10789043 | Electronic apparatus, input device and method for control thereof | Lee Yong-woo |
10789112 | Device lifespan estimation method, device design method, and computer readable storage medium | An Jang Hyuk |
10789127 | Method of operating memory controller for performing encoding and decoding by using a convolution-type low density parity check code | Yu Geunyeong |
10789160 | Utilizing different data storage policies in response to different characteristics of data | Seo Sung Yong |
10789190 | Application processor supporting interrupt during audio playback, electronic device including the same and method of operating the same | Kim Sun-Kyu |
10789193 | Device connected to other device by single wire and method of operating system including the devices | Lee Jae-shin |
10789372 | Primary device, an accessory device, and methods for processing operations on the primary device and the accessory device | Ge Ying |
10789455 | Liveness test method and apparatus | Yoo Byungin |
10789473 | Method and device for providing augmented reality service | Jung Han-sub |
10789521 | Magnetic stripe data transmission device and method | Kum Junsig |
10789582 | Method and apparatus for determining item based on interaction environment | Roh Yeon-hee |
10789714 | Apparatus and method for detecting reflection | Kang Dongwoo |
10789750 | Modeling method and apparatus using fluid animation graph | Kang Nahyup |
10789762 | Method and apparatus for estimating parameter of virtual screen | Nam Dong Kyung |
10790002 | Giant spin hall-based compact neuromorphic cell optimized for differential read inference | Rakshit Titash |
10790026 | Non-volatile memory device and system capable of executing operations asynchronously, and operation execution method of the same | Han Il-Su |
10790122 | Plasma processing apparatus and method of manufacturing semiconductor device using the same | Hong Jung-Pyo |
10790133 | Precleaning apparatus and substrate processing system | Park Keum Seok |
10790147 | Method of manufacturing metal hardmask and semiconductor device | Lee Jang-Hee |
10790168 | Plasma treatment apparatus and method of fabricating semiconductor device using the same | Shim Seung Bo |
10790176 | Substrate carrier | Bae Du-Sik |
10790186 | Semiconductor device including a passivation spacer and method of fabricating the same | Hong Jiseok |
10790200 | Wafer measurement apparatus, wafer measurement system, and method of manufacturing semiconductor device using the same | Shim Sung-Bo |
10790213 | Heat radiation device, semiconductor package comprising the same, and semiconductor device comprising the same | Kim Jae Choon |
10790224 | Carrier substrate and method of manufacturing semiconductor package using the same | Lee Jae Ean |
10790230 | Layer structure including diffusion barrier layer and method of manufacturing the same | Song Hyunjae |
10790239 | Semiconductor package and board for mounting the same | Lim Jae Hyun |
10790255 | Fan-out semiconductor package | Kim Woon Chun |
10790264 | Semiconductor package | Hong Ji-seok |
10790270 | Stacked semiconductor package | Lee Dong-ha |
10790273 | Integrated circuits including standard cells and method of manufacturing the integrated circuits | Do Jung-ho |
10790278 | Semiconductor device including vertical field effect transistors having different gate lengths | Kim Mingyu |
10790282 | Semiconductor devices | Choi Soo-Jung |
10790291 | Non-volatile memory device | Lee Youn-Yeol |
10790294 | Vertical memory device | Shim Jae Joo |
10790299 | Semiconductor device and method of fabricating the same | Shim Sunil |
10790305 | Integrated circuit including clubfoot structure conductive patterns | Do Jung-ho |
10790325 | Imaging apparatus and image sensor including the same | Arbabi Amir |
10790356 | Semiconductor device including metal-2 dimensional material-semiconductor contact | Lee Minhyun |
10790358 | Three-dimensional semiconductor memory devices | Choi Moorym |
10790361 | Semiconductor device having a fin structure and a manufacturing method thereof | Kim Dong-woo |
10790368 | Vertical FET devices including a contact on protruding portions of a substrate | Jung Young Chai |
10790415 | Semiconductor light-emitting device | Kim JungSung |
10790551 | Method and electronic device for detecting battery swelling | Park Junghui |
10790565 | Cavity filter | Gao Fei |
10790574 | Housing of electronic device and electronic device | Hao Rui |
10790595 | Antenna module and manufacturing method thereof | Kim Doo Il |
10790694 | Wireless power transmitters and receivers, and method for permitting a wireless power receiver by a wireless power transmitter | Kwon Hyuk-Choon |
10790701 | Wireless power transmitter, wireless power receiver, and control methods thereof | Lee Kyung-Woo |
10790852 | Cyclic redundancy check (CRC) system for detecting error in data communication | Kalam Abdul Latheef Abdul |
10790858 | Method for transmitting/receiving data by UE and UE therefor | Choi Mun-hwan |
10790866 | Electronic device comprising antenna for wireless communication | Park Jungsik |
10790881 | Electronic devices including circuits configured to adjust peak intensity of current | Moon Youngjin |
10790929 | Nested lookup table for symbol detection with initial candidate reduction | Etezadi Farrokh |
10790942 | Method and apparatus for feeding back HARQ-ACK information | Wang Yi |
10790943 | Method and apparatus for processing reverse transmission resources in a mobile communication system | Kim Soeng Hun |
10790958 | High-speed interface apparatus and deskew method thereof | Lee Han Soo |
10791000 | External communication device, display device, display system, and control method therefor | Yoon Eung Sik |
10791064 | Method and apparatus for allocating server in wireless communication system | Moon Jicheol |
10791124 | Method and terminal device for encrypting message | Shin Jun-bum |
10791163 | Communication apparatus, method, and system for using multimedia service | Kim Dohyung |
10791316 | Method for transmitting data about three-dimensional image | Yip Eric |
10791441 | Terminal device and method for controlling the same | Cho Hyung-rae |
10791465 | Methods and apparatus for activating and deactivating secondary cells in a carrier aggregation environment | Baghel Sudhir Kumar |
10791480 | Method and device for transmitting and receiving data in mobile communication system | Kim Soeng Hun |
10791486 | Method and system for supporting fast recovery of user equipment | Xu Lixiang |
10791492 | Method and apparatus for monitoring internet connection status in wireless communication system | Yoon Kang-Jin |
10791497 | Method and apparatus for supporting discontinuous reception mode of connected mode in mobile communication system | Kim Sangbum |
10791505 | Server providing list of wireless hot-spots for mobile terminal and control method therefor | Kim Su-myeon |
10791527 | Apparatus for signaling of control messages for fronthaul interface | Rama Chandran Sanil |
10791562 | Method and apparatus for transmitting and receiving data in wireless communication system | Ryoo Sunheui |
10791586 | Mobile device and method of pairing the same with electronic device | Ko Jae-woo |
10791947 | Method and apparatus for updating reference verification information used for electrocardiogram signal verification | Chao Zhang |
10791954 | Portable apparatus and method of changing screen of content thereof | Moon Sun-ho |
10791979 | Apparatus and method to train autonomous driving model, and autonomous driving apparatus | Lee Dong Hwa |
10792212 | Torque setting method and apparatus | Hyung Seungyong |
10792371 | c-Met targeting compound-bioactive material conjugate and use thereof | Chae Su Young |
10793267 | Unmanned aerial vehicle | Lee Wu Seong |
10793436 | Two-dimensional transition metal chalcogenide nanostructure, device including the same, and method of preparing the two-dimensional transition metal chalcogenide nanostructure | Shin Hyeonjin |
10793775 | Etching composition and method for fabricating semiconductor device by using the same | Kim Soo Jin |
10793986 | Washing machine | Kim Jun Ho |
10794599 | Cooking oven with mounted camera | Jang Hyeong Jin |
10794622 | Refrigerator | Jeong Jin |
10794628 | Refrigerator and method of controlling the same | Park Sang Min |
10794942 | Apparatus and method for processing spectrum | Park Yun S |
10795070 | Backlight unit and holographic display apparatus including the same | Kim Yunhee |
10795077 | Display apparatus | Jeon Tae-hee |
10795177 | Prism sheet for auto-stereoscopic 3D display and display device including same | Mukhtarov Farid |
10795239 | Drainage structure and electronic device having same | Nam An-Jin |
10795262 | Method of manufacturing integrated circuit device | Park Jin |
10795263 | Compositions for removing photoresist | Oh Jung-Min |
10795320 | Wearable electronic device including metal strap | Han Yonghwa |
10795435 | System and method for hybrid eye tracker | Fontanel Fannie |
10795442 | Method of providing vibration and electronic device for supporting same | Lee Won Jun |
10795464 | Method for operating touch pad and electronic device for supporting same | Lee Sung Jun |
10795537 | Display device and method therefor | Jeon Eun Jung |
10795568 | Method of displaying menu based on depth information and space gesture of user | Park Keun Joo |
10795583 | Automatic data placement manager in multi-tier all-flash datacenter | Yang Zhengyu |
10795594 | Storage device | Park Hyun-chul |
10795657 | Method of managing applications and computing device using the same | Pandey Nirmal |
10795720 | Electronic device for controlling application and operation method thereof | Choi Yeon-Kyu |
10795760 | Key value SSD | Ki Yang Seok |
10795764 | Method to deliver in-DRAM ECC information through DDR bus | Niu Dimin |
10795843 | System and method for supporting multi-path and/or multi-mode NMVe over fabrics devices | Olarig Sompong Paul |
10795963 | Apparatus and method for inviting subscription to contact information | Oh Gyu-Bong |
10795988 | Device and method of requesting external device to execute task | Lee Jong-hyuk |
10796007 | Method for operating semiconductor device, capable of dumping a memory with security | Park Keun Young |
10796050 | Method for generating aging model and manufacturing semiconductor chip using the same | Kim Moon Su |
10796054 | Chip design method of optimizing circuit performance according to change in PVT operation conditions | Kim Ji-youn |
10796068 | Standard cell design system, standard cell design optimization method thereof, and semiconductor design system | Kwon Uihui |
10796105 | Device and method for converting dialect into standard language | Tarakji Ahmad Bisher |
10796127 | Ultrasonic transducers embedded in organic light emitting diode panel and display devices including the same | Park Kyung Bae |
10796145 | Method and apparatus for separating text and figures in document images | Anisimovskiy Valery Valerievich |
10796297 | Method and electronic device for secure magnetic pulse transmission | Lee Hui Jae |
10796299 | Electronic device and transaction method using the same | Lee Woo-Sup |
10796398 | Electronic device for displaying image and method for controlling the same | Jeon Jin-Young |
10796407 | Foveated domain storage and processing | Goel Manish |
10796409 | Application processor including reconfigurable scaler and devices including the processor | Yoon Sung Chul |
10796419 | Electronic apparatus and controlling method of thereof | Hwang Dosik |
10796439 | Motion information generating method and electronic device supporting same | Son Dong-Il |
10796488 | Electronic device determining setting value of device based on at least one of device information or environment information and controlling method thereof | Lee Jangwoo |
10796579 | Method, electronic apparatus, and system of sharing vehicle performance information among vehicles | Sharma Harit |
10796688 | Electronic apparatus for performing pre-processing based on a speech recognition result, speech recognition method thereof, and non-transitory computer readable recording medium | Song Myung-suk |
10796766 | Nonvolatile memory device and a method of programming the nonvolatile memory device | Joe Sung-min |
10796767 | Memory device and operating method thereof | Yu Changyeon |
10796803 | Method for integrating and providing collected data from multiple devices and electronic device for implementing same | Lee Kwangjo |
10796824 | Optical signal transferring apparatus, electronic apparatus, source device, and methods of operating the same | Kim Sunwoo |
10796883 | Discrete capacitance switching circuit and capacitor array circuit including the same | Kim Jin-Ho |
10796919 | Method of fabricating semiconductor device | Kim Dong-Hyuk |
10796920 | Methods of manufacturing integrated circuit devices | Kwon Dohyun |
10796930 | Semiconductor device with decreased warpage and method of fabricating the same | Li Yinan |
10796950 | Semiconductor devices and methods of fabricating the same | Lee Myeong-Dong |
10796991 | Semiconductor device | Yun Jang-Gn |
10796997 | Semiconductor package | Lee Jae Ean |
10797021 | Semiconductor packages having improved thermal discharge and electromagnetic shielding characteristics | Kim Won-keun |
10797030 | Semiconductor packages | Jeong Sangnam |
10797040 | Method of manufacturing display module using LED | Yeon Ji Hye |
10797051 | Semiconductor device and method of manufacturing the same | Kim Yoonjae |
10797056 | Semiconductor device and method of manufacturing the same | Kim Jin-A |
10797066 | Memory devices with three-dimensional structure | Lee Youn-Yeol |
10797068 | Semiconductor devices | Lim Joon-Sung |
10797071 | Semiconductor memory device and method of manufacturing the same | Kim Jun Hyoung |
10797074 | Three-dimensional semiconductor memory devices | Yang Jaehyun |
10797092 | Image sensor having an interconnection layer connecting to stacked transparent electrodes and covering a black pixel region | Lee Gwi-Deok Ryan |
10797095 | Image sensors and methods of forming the same | Lee Yun Ki |
10797099 | Image sensor and method of manufacturing the same | Kim Sun-hyun |
10797115 | Display panel and display apparatus using the same | Lee Kye Hoon |
10797134 | Integrated circuit devices | Kim Myoung-soo |
10797143 | Semiconductor devices and method of forming the same | Lee Keun |
10797160 | Methods of fabricating semiconductor devices | Sim Hyun-Jun |
10797165 | Semiconductor device | Yoo Jongryeol |
10797199 | Apparatus and method for manufacturing LED module | Yoon Jung-Hoon |
10797228 | Magnetoresistive random access memory device | Kim Woo-Jin |
10797249 | Organometallic compound, organic light-emitting device including the organometallic compound, and diagnostic composition including the organometallic compound | Lee Jiyoun |
10797352 | Curved secondary battery having three-dimensional structure and method of manufacturing the same | Jeong Huisu |
10797368 | Metal air battery system | Ko Jeongsik |
10797391 | Electronic device including antenna module | Lee Jongpil |
10797397 | Antenna module including dielectric material and electronic device including antenna module | Kim Yoongeon |
10797405 | Module comprising antenna and RF element, and base station including same | Baek Kwanghyun |
10797501 | Method and apparatus with battery charging | Jung Daeryong |
10797509 | Method and system for managing wireless charging | Kim Yu Su |
10797530 | Power transmitting method and power transmitter for communication with power receiver | Byun Kang-Ho |
10797531 | Method and power transmitter for controlling power transmission | Byun Kang-Ho |
10797538 | Wireless power receiver and controlling method thereof | Kim Yu-Su |
10797662 | Amplifying circuit including miller compensation circuit | Joo Seong-won |
10797666 | Port velocity limiter for vented box loudspeakers | Li Yuan |
10797700 | Apparatus for transmitting and receiving a signal, a method of operating the same, a memory device, and a method of operating the memory device | Lee Changkyo |
10797739 | Nonlinear self-interference cancellation with sampling rate mismatch | Maleki Hamed |
10797903 | Control device and method thereof | Park Eun-hee |
10797913 | Reciprocity based FDD FD-MIMO DL channel CSI acquisition | Hu Yeqing |
10797925 | Method for determining reserved tones and transmitter for performing PAPR reduction using tone reservation | Park Joo-sung |
10798153 | Terminal apparatus and server and method of controlling the same | Kim Yu-na |
10798188 | Electronic device and method for processing information associated with driving | Shin Hyunseok |
10798299 | Digital photographing apparatus, methods of controlling the same, and computer-readable storage medium to increase success rates in panoramic photography | Kim Kun-sop |
10798312 | Cellular phone including application processor the generates image output signals based on multiple image signals from camera modules and that performs rectification to correct distortion in the image output signals | Lee Ser Hoon |
10798375 | Encoding method and device therefor, and decoding method and device therefor | Piao Yin-ji |
10798416 | Apparatus and method for motion estimation of three dimension video | Lee Jin Young |
10798450 | Display apparatus and set-top box identification method thereof | Han Jeong-ho |
10798615 | Broadcast signal receiving apparatus and control method thereof | Lee Sungsoo |
10798619 | Method and apparatus for performing handover of user equipment in wireless communication system supporting dual connectivity | Nigam Anshuman |
10798658 | Method and apparatus for setting uplink transmitting power in wireless communication system | Kim Youngbum |
10798662 | Method for reporting power headroom and corresponding user equipment | Wang Yi |
10798676 | Method and apparatus for inactive mode operation in wireless communication system | Kim Soenghun |
10798691 | Method for acquiring system frame number by terminal, terminal, and mobile communication system | Kim Sangbum |
10798692 | Method and device for providing control information for different services | Hong Sungnam |
10798705 | Method and apparatus for operating subframe and transmitting channel information for controlling interference in communication system | Choi Seunghoon |
10798713 | Method and apparatus for supporting vehicle to everything service | Mok Youngjoong |
10798714 | Apparatus and method for allocating resources in wireless communication system | Park Hyoyol |
10798761 | Method for establishing protocol data unit session in communication system | Baek Young-Kyo |
10798765 | Method using a time point for sharing data between electronic devices based on situation information | Kim A-Ram |
10798830 | Display apparatus | Hwang Kwang Sung |
10798832 | Display apparatus | Kim Byoung Jung |
10798836 | Powered hinge mechanisms | Manuel Steven |
10798849 | Electronic device having heat collection/diffusion structure | Lee Haejin |
10799080 | Cleaner | Cho Jeong Hee |
10800522 | Flight control method and electronic device for supporting the same | Choi In Hyuk |
10800972 | Etching composition and method for fabricating semiconductor device by using the same | Lee Hyo Sun |
10801149 | Washing machine | Chun Kwang Min |
10801150 | Washing machine capable of controlling control panel related to operation of heaters | Kang Min-Ji |
10801155 | Washing machine | Kim Jin Doo |
10801158 | Dryer apparatus and control method thereof | Bang Eun-suk |
10801484 | Hermetic compressor | Lee Jeong-bae |
10801741 | Air-conditioning system | Kim Tae Il |
10802043 | Method for sensing external shock and electronic device therefor | Eom Kihun |
10802048 | Universal test socket, semiconductor test device, and method of testing semiconductor devices | Yamada Daisuke |
10802118 | Time-resolving sensor using SPAD + PPD or capacitors in pixel for range measurement | Wang Yibing Michelle |
10802119 | Lidar device and method of measuring distance using the same | Yoon Heesun |
10802142 | Using ultrasound to detect an environment of an electronic device | Schoessler Philipp |
10802144 | Method and device of measuring the distance to an object | Lee Han-Soo |
10802199 | Backlight device, holographic display including the same, and method of manufacturing holographic optical element having a multilayered structure | Choi Chilsung |
10802283 | Wearable device and method for outputting virtual image | Kang Seong-Woon |
10802286 | Camera device, display device, and method for correcting motion in device | Byun Jeong Moon |
10802342 | Display device | Kang Ki Hyung |
10802375 | Optically-controlled switch | Makurin Mikhail Nikolaevich |
10802544 | Flexible display device and method of controlling same | Kwak Ji-yeon |
10802574 | Semiconductor device and method of operating the same | Kim Je Kook |
10802578 | Method for displaying image, storage medium, and electronic device | Lee Sun-Kee |
10802622 | Electronic device and method for controlling same | Kang Doo-Suk |
10802623 | Electronic device and screen display method thereof | Choi Hyun-mook |
10802643 | Method and electronic device for detecting fingerprint | Park Jae Hyung |
10802690 | Display apparatus and controlling method thereof | Kim Sang-ho |
10802708 | Method and apparatus for supporting communication in electronic device | Lee Sung Yeon |
10802714 | Optimizing access to universal integrated circuit card (UICC) files in a user equipment (UE) | Ramakrishnarao Ravikiran |
10802728 | Storage device and operating method of storage device | Lee Kwangwoo |
10802784 | Transmission of data related to an indicator between a user terminal device and a head mounted display and method for controlling the transmission of data | Lee Kwang-yong |
10802851 | Display apparatus and controlling method thereof | Kim Yang-soo |
10802885 | Method and apparatus for data processing based on multicore | Lee Wonbo |
10802912 | Semiconductor memory device and memory system having the same | Cha Sang Uhn |
10803132 | Application search results based on a current search query and a previous search query | Ben-Tzur Jonathan |
10803226 | Integrated circuit for multiple patterning lithography, a computing system and a computer-implemented method for designing an integrated circuit | Do Jung-Ho |
10803276 | Electronic device including biometric sensor | Kwon Oh-Hyuck |
10803315 | Electronic device and method for processing information associated with food | Cho Jungchan |
10803319 | Electronic device for classifying video and operating method thereof | Yoo Jaehyeon |
10803323 | Electronic device and method of detecting driving event of vehicle | Jang Seo-woo |
10803367 | Method and apparatus for recognizing characters | Kim Hyun-Soo |
10803378 | System and method for designing efficient super resolution deep convolutional neural networks by cascade network training, cascade network trimming, and dilated convolutions | Ren Haoyu |
10803389 | Apparatus and method for determining user's mental state | Lee Ho-Sub |
10803452 | Method and apparatus for performing payment | Kim Eun Jik |
10803674 | System and method for changing a virtual reality environment dynamically | Kocharlakota Sridhar |
10803735 | Agent apparatus, electrical apparatus, and method of controlling agent apparatus | Ryu Young Jun |
10803785 | Electronic device and method for controlling output timing of signal corresponding to state in which content can be received based on display location of content displayed on display | Hong Yunpyo |
10803786 | Display module and display apparatus with a frame | Yoon Sang Ki |
10803827 | Display apparatus and display method | Koo Ki-hyeok |
10803832 | Synchronizing holographic displays and 3D objects with physical video panels | Chung David H. |
10803862 | Electronic device and method for processing user speech | Jang Dong-Ho |
10803868 | Sound output system and voice processing method | Jeong Kab Kyun |
10803870 | Electronic device performing operation using voice command and method of operating electronic device | Je Seongmin |
10803874 | Electronic device, control method thereof, and computer readable recording medium | Jung Chisang |
10803877 | Signal processing methods and apparatuses for enhancing sound quality | Sung Ho-sang |
10803878 | Method and apparatus for high frequency decoding for bandwidth extension | Choo Ki-hyun |
10803881 | System and method for acoustic echo cancelation using deep multitask recurrent neural networks | Fazeli Amin |
10803925 | Sense amplifier having offset cancellation | Kim Young-Wook |
10803929 | Static random-access memory with virtual banking architecture, and system and method including the same | Pulluru Lava Kumar |
10803947 | Three-dimensional nonvolatile memory and related read method designed to reduce read disturbance | Nam Sang-Wan |
10803952 | Vertical memory device having improved electrical characteristics and method of operating the same | Lee Yo-han |
10803958 | Non-volatile memory device and a method of operating the same | Bang Jin-bae |
10803971 | Device for supporting error correction code and test method thereof | Pyo Suk-soo |
10804120 | Temperature controller and a plasma-processing apparatus including the same | Ha Seong-Moon |
10804132 | Apparatus for manufacturing semiconductor | Kim Kyoung-jun |
10804145 | Methods of fabricating semiconductor devices | Kim Yeong Gil |
10804158 | Methods of fabricating semiconductor devices including differing barrier layer structures | Cho Namgyu |
10804160 | Semiconductor device and method of manufacturing the same | Jeon Kyung Yub |
10804194 | Semiconductor device and method of manufacturing the same | Zhang Gang |
10804198 | Semiconductor devices having nonlinear bitline structures | Choi Yunjung |
10804212 | Semiconductor device and package including modified region of less density at edge of device or substrate | Ko Yeong-Kwon |
10804218 | Semiconductor package | Kim Young-Lyong |
10804219 | Semiconductor device | Sohn Wan Gi |
10804248 | Method and device for controlling operation using temperature deviation in multi-chip package | Park Min-Sang |
10804257 | Integrated circuit and semiconductor device | Lee Seung-young |
10804264 | Integrated circuit device | Chung Jae-yup |
10804265 | Semiconductor device and method for fabricating the same | Yoo Sang Min |
10804269 | Method of fabricating semiconductor device | Choi Kyungin |
10804277 | Semiconductor device and method of fabricating the same | Kim Jin A |
10804289 | Three-dimensional semiconductor device | Yang Han Vit |
10804292 | Vertical memory devices and methods of manufacturing the same | Yun Jang-Gn |
10804293 | Nonvolatile memory device, vertical NAND flash memory device and SSD device including the same | Park Sang-Won |
10804303 | Image sensors comprising an organic photo-detector, a photo-detector array and dual floating diffusion nodes and electronic devices including the same | Park Kyung Bae |
10804304 | Image sensors | Noh Hyun-pil |
10804320 | Insulation layer arrangement for magnetic tunnel junction device | Han Yoonsung |
10804327 | Organic photoelectric device and image sensor and electronic device | Tsutsumi Kiyohiko |
10804363 | Three-dimensional semiconductor memory device and method of fabricating the same | Hwang Sung-Min |
10804382 | Integrated ferroelectric capacitor/field effect transistor structure | Ando Takashi |
10804391 | Vertical field-effect transistor (VFET) devices and methods of forming the same | Kwon Tae Yong |
10804403 | Method of fabricating semiconductor devices | Ha Daewon |
10804466 | Memory device and method of manufacturing the same | Jeong Ji-Hyun |
10804504 | Exterior package for flexible electrochemical device and electrochemical device including the exterior package | Do Euncheol |
10804570 | All solid type three-dimensional battery and method of manufacturing the same | Jeong Huisu |
10804608 | Antenna and electronic device including dielectric overlapped with at least portion of the antenna | Seo Seunghan |
10804728 | Device for adjusting path of power and method for operating the same | Kim Dong Zo |
10804741 | Wireless power transmission device and wireless power transmission system | Choi Young-ho |
10804746 | Method and apparatus for controlling wireless charging during mode transition of a wireless power receiving device | Lee Kyung-Woo |
10804823 | Motor and washing machine having the same | Ueno Tomonori |
10804855 | Method and device for selectively supplying voltage to multiple amplifiers by using switching regulator | Kim Jooseung |
10804904 | Apparatus and method for detecting synchronization loss in multi-lane transmitter | Das Tamal |
10804907 | Non-linear spread spectrum profile generator using linear combination | Kim Seungjin |
10804975 | Hybrid beamforming-based open-loop MIMO transmission method and apparatus therefor | Kim Taeyoung |
10804993 | Method and apparatus for downlink and uplink CSI acquisition | Onggosanusi Eko |
10805046 | Apparatus and method using polar code for multiple input multiple output (MIMO) channel | Kim Kwang-chul |
10805048 | Method and apparatus for transmitting and receiving duplicate packets in next-generation mobile communication system | Kim Donggun |
10805062 | Electronic device and system for synchronizing playback time of sound source | Jo Jae Hyun |
10805122 | Wireless communication devices and channel estimation methods thereof | Kim Jin-ho |
10805125 | System and method for interference cancelation from one numerology on another numerology in mixed numerologies | Maleki Hamed |
10805134 | Method for determining reserved tones and transmitter for performing PAPR reduction using tone reservation | Park Joo-sung |
10805226 | Resource manager, system, and method for communicating resource management information for smart energy and media resources | Imes Kevin R. |
10805287 | Apparatus and method for providing and managing security information in communication system | Jung Soo-Yeon |
10805293 | Method for providing service update and electronic device supporting the same | Kim Joo Hyun |
10805375 | User terminal device, method and network system for displaying webpage | Cho Chi-hyun |
10805397 | Apparatus and method for zone management | Lee Yong-Oh |
10805450 | Device capable of notifying operation state change thereof through network and communication method of the device | Yu Seung-dong |
10805518 | Electronic device for obtaining security image using security processing module | Kang Hwayong |
10805522 | Method of controlling camera of device and device thereof | Son Dongil |
10805526 | Imaging apparatus, imaging method, and computer program product | Moriya Tsuyoshi |
10805555 | Processor that processes multiple images to generate a single image, image processing device including same, and method for image processing | Lee Ser Hoon |
10805573 | Audio-visual system and method for controlling the same | Kim Ha-Na |
10805601 | Multiview image display device and control method therefor | Han Seung-ryong |
10805634 | Super-resolution processing method for moving image and image processing apparatus therefor | Kapoor Parichay |
10805637 | Video encoding method and apparatus, video decoding method and apparatus | Choi Min-seok |
10805644 | Device and method for entropy encoding and decoding | Piao Yin-ji |
10805649 | System and method for blending multiple frames into a single frame | Pekkucuksen Ibrahim E. |
10805667 | Broadcast receiver, mobile device, service providing method, and broadcast receiver controlling method | Lee Sang-kwon |
10805706 | Battery changeable-type earbuds and apparatus for the same | Jeong Huisu |
10805774 | Methods and apparatus for managing control data | Kapatralla Irshad Ahamed |
10805781 | Method and apparatus for establishing a connection between devices | Patil Mayuresh Madhukar |
10805844 | Method and apparatus for performing communication by UE in wireless communication system supporting circuit switched fallback service | Jeong Sang-Soo |
10805847 | Method and apparatus for controlling WLAN bearer | Ke Xiaowan |
10805852 | Method and eNB equipment for supporting seamless handover | Xu Lixiang |
10805871 | Discovery signal transmission/reception method and apparatus for use in mobile communication system | Kim Youngbum |
10805886 | Apparatus and method for transmission of uplink sounding reference signals in a wireless network | Nam Young-Han |
10805891 | Synchronization procedure and resource control method and apparatus for communication in D2D system | Park Seunghoon |
10805893 | System and method for providing universal synchronization signals for new radio | Kwon Hyukjoon |
10805934 | Method and apparatus for wireless communication considering collisions among device to device transmissions | Kim Ki-hun |
10805947 | Method for uplink packet scheduling and device thereof | Zhang Shichang |
10805948 | Method and device for sending and receiving scheduling data in a wireless communication system using beamforming | Jung Jung-Soo |
10805964 | Apparatus and method for transmitting a reservation signal in a wireless communication system | Jung Byounghoon |
10805965 | Method and apparatus for controlling content shared between devices in wireless communication system | Vedula Kiran Bharadwaj |
10805977 | Method and apparatus for reconfiguring a bearer | Van Lieshout Gert Jan |
10805989 | Induction heating cooking device | Kim Hee Sup |
10806356 | Electronic device and method for measuring heart rate based on infrared rays sensor using the same | Lee Donghyun |
10806389 | Electronic device and method for providing personalized biometric information based on biometric signal using same | Hong Hyunsu |
10806414 | Mobile X-ray imaging apparatus | Nam Jae-won |
10806603 | Method for walking assist and device operating the same | Choi Byung-Kwon |
10806966 | Motion assistance apparatus | Shim Youngbo |
10808080 | Monomer, polymer, compensation film, optical film, and display device | Androsov Dmitry |
10808142 | Method of preparing graphene quantum dot, hardmask composition including the graphene quantum dot obtained by the method, method of forming patterns using the hardmask composition, and hardmask formed from the hardmask composition | Kim Sangwon |
10808169 | Methods of grinding semiconductor nanocrystal polymer composite particles | Lee Jeong Hee |
10808174 | Process for preparing a quantum dot, a quantum dot prepared therefrom, and an electronic device including the same | Park Young Seok |
10808952 | Humidifier and home appliance | Kim Mun Sub |
10808989 | Refrigerator | Yoon Su Han |
10808992 | Gas sensor, refrigerator including same and control method therefor | Park Jang Pyo |
10808993 | Refrigerator | Joo Sun Hwan |
10809127 | Method and apparatus for analyzing spectral information | Yoon Youngzoon |
10809135 | Device for detecting temperature and electronic device having the same | Choi Seung Bum |
10809297 | Eye opening measurement circuit calculating difference between sigma levels, receiver including the same, and method for measuring eye opening | Lee June-Hee |
10809421 | Stacked transparent film and window for display device and display device | Song Sun Jin |
10809423 | Composition for coating film to prevent conspicuous fingerprints, coating film to prevent conspicuous fingerprints using the composition, and article having the coating film | Ham Cheol |
10809527 | Method for sharing contents and electronic device supporting the same | Song Woo Taek |
10809554 | Display apparatus | Park Yoon Ki |
10809614 | Pellicle for photomask and method of fabricating the same | Kim Mun Ja |
10809624 | Extreme ultraviolet exposure apparatus and method, and method of manufacturing semiconductor device by using the exposure method | Lee Seung-yoon |
10809663 | Method and apparatus for processing image | Kim Hojung |
10809664 | Holographic display apparatus for providing expanded viewing window | Seo Wontaek |
10809679 | Server and method of controlling user environment by server | Kim Ji-Eun |
10809710 | Method for controlling unmanned aerial vehicle and electronic device for controlling unmanned aerial vehicle | Lee Jong Kee |
10809711 | Electronic device and method for controlling the same | Lee Young-Bae |
10809713 | Method for controlling unmanned aerial vehicle and unmanned aerial vehicle supporting the same | Park Chan Woo |
10809714 | Cleaning robot and remote controller included therein | So Jea Yun |
10809780 | Active disturbance rejection based thermal control | Ping Zhan |
10809791 | Method for displaying content and electronic device thereof | Kim Na-Young |
10809793 | Power control method and apparatus for low power system of electronic device | Lee Suhho |
10809826 | Electronic device and method for displaying affordance for providing charging of battery of external device through display | Kwon Zion |
10809835 | Electronic device comprising pressure sensor | Byeon Hyung-Sup |
10809844 | Mobile terminal having a touch screen and method for providing a user interface therein | Kang Ji Young |
10809861 | Electronic device including touch sensor-bonding structure | Kim Jinman |
10809863 | Coordinate measuring apparatus for measuring input position of coordinate indicating apparatus, and method of controlling the same | Park Sung-Soo |
10809871 | Electronic device and method for controlling screen | Choi Kyung-Lim |
10809894 | Electronic device for displaying object or information in three-dimensional (3D) form and user interaction method thereof | Chhabra Manish |
10809896 | Display apparatus and control method thereof | Jeong Hun-seop |
10809902 | User terminal apparatus and control method thereof | Park Eun-chan |
10809961 | Display apparatus that connects display modules and method for controlling thereof | Kim Sangwon |
10809967 | Analog/digital audio converter and a method thereof | Son Tae-yong |
10810016 | Operating methods of computing devices comprising storage devices including nonvolatile memory devices, buffer memories and controllers | Gu Bon Cheol |
10810058 | Method for providing content to user according to user's preference and electronic device therefor | Kim Young-Hoon |
10810144 | System and method for operating a DRR-compatible asynchronous memory module | Lim Sun Young |
10810292 | Electronic device and method for storing fingerprint information | Kim Hyeonho |
10810311 | Internet-of-things module | Kim Jae Hoon |
10810360 | Server and method of providing collaboration services and user terminal for receiving collaboration services | Lee Jae-keun |
10810424 | Method and apparatus for generating virtual driving lane for traveling vehicle | Jeon Paul Barom |
10810449 | Electronic device and method of operating same | Kim Hakjoo |
10810453 | Apparatus and method for reproducing handwritten message by using handwriting data | Kim Do-Hyeon |
10810482 | System and method for residual long short term memories (LSTM) network | Kim JaeYoung |
10810489 | Neuron peripheral circuits for neuromorphic synaptic memory array based on neuron models | Hosokawa Kohji |
10810745 | Method and apparatus with image segmentation | Kang Nahyup |
10810774 | Electronic apparatus and method for controlling the same | Lee Jae-sung |
10810789 | Image display apparatus, mobile device, and methods of operating the same | Kim Young-il |
10810811 | Electronic device and method for managing electronic key thereof | Kwon Hyukjoon |
10810927 | Electronic device and method for controlling display in electronic device | Lee Hong-Kook |
10810928 | Data line driving circuit, display driving circuit, and method driving display | Lim Hyun-Wook |
10810943 | Display driver, display system, and operation method of the display driver | Yum Joo-Hyuk |
10810948 | Display apparatus and control method thereof | Kim Kyoungwook |
10810952 | Display device and method | Lim Sung Jin |
10810968 | Electronic device and electronic device control method | Min Kyoung Bo |
10810971 | Multi-view display system and method therefor | Clarke Nigel A. |
10811002 | Electronic device and method for controlling the same | Cho Chi-Hyun |
10811008 | Electronic apparatus for processing user utterance and server | Woo Kyoung Gu |
10811016 | Method of controlling terminal using context awareness and terminal thereof | Choi Yoon Jung |
10811019 | Signal encoding method and device and signal decoding method and device | Sung Ho-sang |
10811022 | Apparatus and method for encoding/decoding for high frequency bandwidth extension | Choo Ki-hyun |
10811074 | Storage device and method for operating storage device | Jung Sang Won |
10811077 | Refresh control circuit, memory device including the same and method of operating the same for hammer refresh operation | Shin Hoon |
10811078 | Semiconductor memory devices, memory systems and methods of operating semiconductor memory devices | Cha Sang-Uhn |
10811079 | Semiconductor memory apparatus and method of driving the same | Park Chan Min |
10811094 | Memory devices and operation methods thereof | Gangasani Venkataramana |
10811107 | Semiconductor memory device and memory system having the same | Na Young Ho |
10811118 | Test interface boards, test systems, and methods of operating test interface boards | Joo Sung-Ho |
10811287 | Spin coater and substrate treating apparatus having the same | Jeon Hyun-Joo |
10811352 | Semiconductor package | Jeong Kwang Ok |
10811356 | Integrated circuit devices including a vertical memory device | Jung Young-jin |
10811357 | Standard cell and an integrated circuit including the same | Lee Jae-Boong |
10811379 | Semiconductor package | Kim Doo Il |
10811381 | Wafer to wafer bonding method and wafer to wafer bonding system | Lee Joon-Ho |
10811408 | Semiconductor device including a gate insulation pattern and a gate electrode pattern | Seo Hyeoungwon |
10811415 | Semiconductor device and method for making the same | Sengupta Rwik |
10811421 | Vertical memory devices and methods of manufacturing the same | Park Sang-yong |
10811430 | Three-dimensional semiconductor memory devices | Baek Seokcheon |
10811450 | Image sensors | Kim Bomi |
10811462 | Semiconductor device and method for fabricating the same | Terai Masayuki |
10811505 | Gate electrode having upper and lower capping patterns | Lee Jonghan |
10811541 | Semiconductor device having germanium containing active pattern and method for fabricating the same | Kim Jin Bum |
10811568 | Semiconductor light emitting device and semiconductor light emitting device package using the same | Kim Tae Hun |
10811604 | Nonvolatile memory apparatus including resistive-change material layer | Lee Minhyun |
10811621 | Organometallic compound, organic light-emitting device including the organometallic compound, and a diagnostic composition including the organometallic compound | Baik Chul |
10811622 | Organometallic compound, organic light-emitting device including the organometallic compound, and diagnostic composition including the organometallic compound | Yoon Seongjun |
10811726 | Solid electrolyte for all-solid lithium secondary battery, all-solid lithium secondary battery, and method of preparing the solid electrolyte | Aihara Yuichi |
10811741 | Battery pack for uniform cooling of modules and cooling method of battery pack | Song Tae Won |
10811765 | Method for performing wireless communications and electronic device supporting the same | Huh Jaeyoung |
10811896 | Wireless power transmitter, electronic device and controlling method thereof | Lee Kyung-Woo |
10811910 | Method and apparatus for protecting wireless power receiver from excessive charging temperature | Lee Woo-Ram |
10812031 | Electronic device and method for adjusting gain of digital audio signal based on hearing recognition characteristics | Vadim Kudryavtsev |
10812054 | Digitally-controlled oscillators having current mirrors and negative-feedback circuits therein that support high power supply rejection ratio (PSRR) and low noise characteristics | Choo Kang-yeop |
10812055 | Flip flop circuit | Mittal Sajal |
10812088 | Synchronous sampling in-phase and quadrature-phase (I/Q) detection circuit | Huang Zhiqiang |
10812117 | Electronic device and impedance matching method for antenna thereof | Kim Sanguk |
10812124 | Method for operating antenna and electronic device implementing the same | Park Jongkui |
10812162 | Method and apparatus for indication of reference signals in wireless systems | Nam Young-Han |
10812165 | Method and apparatus for measuring and reporting beams in a beamforming based system | Nigam Anshuman |
10812168 | Apparatus and method for transmitting or receiving signal using beamforming in wireless communication system | Kim Yohan |
10812171 | Interference cancellation method and apparatus for wireless communication system | Lee Ikbeom |
10812225 | Communication on licensed and unlicensed bands | Papasakellariou Aris |
10812242 | Method and apparatus for transmitting different uplink control information in wireless communication system | Choi Seunghoon |
10812418 | Message generation method and wearable electronic device for supporting the same | Koo Ja Ok |
10812428 | Electronic device for transmitting and receiving message having interaction for outputting hidden message and method for controlling the electronic device | Ahn Sohmin |
10812536 | Method and apparatus for providing quality of service for web-based real-time communication | Baek Young-Kyo |
10812542 | Method and device for function sharing between electronic devices | Jeon Yong-Joon |
10812636 | Electronic device with metal frame antenna | Kim Jaehyung |
10812637 | Electronic device for performing operation based on status information thereof and operating method thereof | Jung Jongwoo |
10812711 | Semantic mapping for low-power augmented reality using dynamic vision sensor | Sapienza Michael |
10812720 | Image stabilization for electronic devices such as cameras | Guo Kai |
10812730 | Sensor auto-configuration | Levy Nathan Henri |
10812738 | Electronic device configured to reduce light loss of possible light image and obtain distance information of an object | Yun Sung Young |
10812741 | Pulse generator of image sensor and method of driving the same | Kim Kyung Tae |
10812750 | Electronic device and operation method therefor | Pena Placer Simon |
10812765 | Image processing apparatus, imaging apparatus, image processing method, and image processing program | Taoka Mineki |
10812768 | Electronic device for recording image by using multiple cameras and operating method thereof | Youn Joon-Ho |
10812787 | Method of operating an image sensor, image sensor performing the same, and electronic system including the same | Lee Hyeok-Jong |
10812804 | Image processing device and image processing method performing slice-based compression | Park Byeong-jo |
10812958 | Bluetooth low energy (BLE) device transmitting BLE advertising data, and method of transmitting BLE advertising data | Thoukydides Alexander |
10812983 | Transmission and reception method and apparatus for transmitting signal using narrowband in wireless cellular communication system | Yeo Jeongho |
10812989 | Method and apparatus for forecasting cell capacity saturation in wireless communication system | Jeong Seoungho |
10813008 | Communication method and electronic device | Kim Hye-Jeong |
10813107 | Obtaining control channel elements of physical downlink control channels for cross-carrier scheduling | Papasakellariou Aris |
10813151 | Method and device for executing application | Ko Jae-woo |
10813166 | Method and device for group communication | Baek Yun-Sun |
10813177 | Cooking apparatus and method of controlling the same | Park Nam Ju |
10813512 | Cyclone dust collector and vacuum cleaner having the same | Cho Dong-jin |
10813561 | Touch-type blood pressure measurement apparatus and method | Kwon Yong Joo |
10814219 | Method of displaying graphic object differently according to body portion in contact with controller, and electronic device | Kim Jongyoon |
10814220 | Method for controlling display of electronic device using multiple controllers and device for the same | Moon Choon-Kyoung |
10814371 | Cooking appliance and method of manufacturing housing of cooking appliance | Sul Nam Ki |
10814868 | Method and apparatus for controlling vehicle | Seo Youngwan |
10815343 | Composition for preparing polyimide or poly(imide-amide) copolymer, polyimide or poly(imide-amide) copolymer, article including polyimide or poly(imide-amide) copolymer, and display device including the article | Sohn Byunghee |
10815569 | Shower head of combinatorial spatial atomic layer deposition apparatus | Wenxu Xianyu |
10815604 | Automatic detergent supply apparatus and washing machine having the same | Kim Yong Kwon |
10816255 | Refrigerator | Song Young Il |
10816258 | Refrigerator and method for controlling the same | Kim Jin Wook |
10816480 | Method of detecting a defect on a substrate, apparatus for performing the same and method of manufacturing semiconductor device using the same | Jeang Eun-Hee |
10816594 | Apparatus for testing a signal speed of a semiconductor package and method of manufacturing a semiconductor package | Song Ki-Jae |
10816596 | Test chamber for memory device, test system for memory device having the same and method of testing memory devices using the same | Kim Min-Woo |
10816800 | Electronic device and method of controlling the same | Kim Jae-deok |
10816802 | Transparent display apparatus, method for controlling the same, and computer-readable recording medium | Kim Jong-ok |
10816828 | Multi-stack graphene structure and device including the same | Joo Wonjae |
10817022 | Electronic device and control method therefor | Cho Kyu-hyun |
10817034 | Wearable electronic device and operating method therefor | Park Chan-Soo |
10817054 | Eye watch point tracking via binocular and stereo images | Jeon Kang-won |
10817078 | Stylus pen and electronic device including same | Yoo Chung Keun |
10817084 | Apparatus and method for providing memo function | Seo Heung Kyo |
10817138 | Device and method for input process | Park Keun Joo |
10817163 | Method and device for managing item | Critchlow Stephen Paul |
10817174 | Method of operating a display unit and a terminal supporting the same | Park Eunyoung |
10817179 | Electronic device and page merging method therefor | Koo Jinkyu |
10817199 | Memory system and SoC including linear address remapping logic | Cho Dongsik |
10817214 | Storage device set including storage device and reconfigurable logic chip, and storage system including storage device set | Park Jae-geun |
10817218 | Storage device having storage area divided into isolated physical spaces that are independently controllable, host device controlling such storage device, and operation method of such storage device | Jo Myung Hyun |
10817239 | Multi-display based device | Hong Duk Ki |
10817332 | Electronic device having multi-operating system and method for managing dynamic memory for same | Jeong Bok-deuk |
10817405 | Storage device and debugging system thereof | Kim Hee Jong |
10817440 | Storage device including reconfigurable logic and method of operating the storage device | Ryu Sueng-Chul |
10817445 | Semiconductor devices including command priority policy management and related systems | Kim Dong-Min |
10817624 | Memory system and storage device capable of permanently deleting data stored in a non-volatile memory | Park Jin-Hwan |
10817637 | System and method of designing integrated circuit by considering local layout effect | Ha Naya |
10817640 | Integrated circuit including different types of cells, and method and system of designing the same | Kim Jae-Hoon |
10817701 | Electronic device including sensor | Hwang Byungseon |
10817704 | Method and apparatus for extracting feature from input image | Suh Sungjoo |
10817844 | Method for sharing schedule and electronic device thereof | Jung Sung-Lyun |
10817871 | Mobile device and control method thereof | Rhee Young-ho |
10817974 | Memory device and memory system including the same | Baek Byung-joon |
10817979 | Image display device and method of operating the same | Kim Ho-seon |
10817985 | Apparatuses and methods for performing artificial intelligence encoding and artificial intelligence decoding on image | Kim Jaehwan |
10817986 | Method and apparatus for streaming data | Park Youngo |
10817987 | Method and apparatus for streaming data | Park Youngo |
10817988 | Method and apparatus for streaming data | Park Youngo |
10817989 | Apparatuses and methods for performing artificial intelligence encoding and artificial intelligence decoding on image | Kim Jaehwan |
10817990 | Apparatus and method for performing artificial intelligence encoding and artificial intelligence decoding on image | Yang Heechul |
10817996 | Devices for and methods of combining content from multiple frames | Pekkucuksen Ibrahim E. |
10818025 | Stereo matching method and apparatus | Park Seungin |
10818045 | Medical imaging apparatus and method of processing medical image | Cho Min-kook |
10818057 | Spherical content editing method and electronic device supporting same | Park Mi Ji |
10818075 | Content output method and electronic device for supporting same | Park Eun Sol |
10818209 | Display apparatus | Lee Young Chol |
10818270 | Display driving method, and electronic device including the display driver integrated circuit for supporting the same | Bae Jong Kon |
10818285 | Electronic device and speech recognition method therefor | Lee Jungin |
10818289 | Method for operating speech recognition service and electronic device for supporting the same | Jaygarl Ho Jun |
10818328 | Nonvolatile memory device, operation method of the nonvolatile memory device, and operation method of memory controller controlling the nonvolatile memory device | Kim Sung Joon |
10818347 | Semiconductor memory device for supporting operation of neural network and operating method of semiconductor memory device | Vasyltsov Ihor |
10818352 | Resistive memory devices having address-dependent parasitic resistance compensation during programming | Lee Jun-gyu |
10818367 | Controller and method of operating the same | Yun Eun-Jin |
10818375 | Semiconductor memory devices, memory systems and methods of operating semiconductor memory devices | Son Jong-Pil |
10818503 | Method of etching at low temperature and plasma etching apparatus | Lee Cheonkyu |
10818522 | Process chamber for a supercritical process and apparatus for treating substrates having the same | Park Sang-Jine |
10818547 | Method of manufacturing semiconductor device having a structure pattern having a plurality of trenches | Lim Geun-Won |
10818549 | Semiconductor devices including contact plugs | Gwak Min Chan |
10818560 | Vertical field-effect transistor (VFET) devices and methods of forming the same | Hong Sa Hwan |
10818603 | Semiconductor package having redistribution layer | Jee Young Kun |
10818604 | Semiconductor package | Kang Myung Sam |
10818621 | Fan-out semiconductor package | Lee Doo Hwan |
10818649 | Display device and method of fabricating the same | Sa Kongtan |
10818657 | Semiconductor device and method for controlling gate profile using thin film stress in gate last process | Min Sun-Ki |
10818671 | Semiconductor devices | Lee Ki-Seok |
10818672 | Semiconductor memory device and method of manufacturing the same | Lee Hyun-jung |
10818678 | Three-dimensional semiconductor memory device and method of fabricating the same | Hwang Sung-Min |
10818684 | Vertical memory devices and methods of manufacturing the same | Cheon Ji-Sung |
10818687 | Three-dimensional semiconductor memory device with vertical structures penetrating a dummy insulating pattern in a connection region | Kim Jongwon |
10818689 | Three-dimensional semiconductor memory device and method of fabricating the same | Lee Kyunghwan |
10818727 | Semiconductor devices | Son Myoungsu |
10818728 | Three-dimensional semiconductor device | Park Joyoung |
10818765 | Silicene electronic device | Oh Youngtek |
10818802 | Semiconductor device | Lee Jong Ho |
10818826 | Light emitting diode apparatus and manufacturing method thereof | Kang Jin-hee |
10818839 | Apparatus for and method of fabricating semiconductor devices | Jung Jaeho |
10818858 | Electroluminescent device and display device comprising the same | Kim Tae Ho |
10818859 | Electroluminescent device, and display device comprising thereof | Park Kun Su |
10818913 | Negative electrode for metal battery, metal battery comprising the same, and method of preparing the negative electrode for metal battery | Lee Yonggun |
10818932 | Metal air battery and method of manufacturing gas diffusion layer included in metal air battery | Lee Dongjoon |
10819010 | Electronic device including antenna | Lee Hyung Joo |
10819011 | Electronic device comprising an antenna | Lee Han Bin |
10819018 | Conductive structure disposed to correspond to antenna module and electronic device including the same | Seo Seunghan |
10819133 | Device for performing wireless charging and method thereof | Ha Young Mi |
10819160 | Wireless power transmitter | Park Jae-Hyun |
10819280 | Crystal oscillator and reference clock generator including same | Jang Jae-hyuk |
10819327 | Duty timing detector detecting duty timing of toggle signal, device including duty timing detector, and operating method of device receiving toggle signal | Nam Hyunseok |
10819342 | Low-power low-setup integrated clock gating cell with complex enable selection | Berzins Matthew |
10819368 | Method for compressing and restoring time series data | Song Myung Geun |
10819381 | Electronic device including glass plate | Lee Sangbong |
10819463 | Wireless communication with modulation and demodulation set based on channel information | Park Kwon-yeol |
10819467 | Transmitter and signal processing method thereof | Myung Se-ho |
10819490 | Method and apparatus for transmitting/receiving uplink signaling information in a single carrier FDMA system | Kwak Yong-Jun |
10819494 | Method for receiving and transmitting data in full duplex system | Lim Jongbu |
10819554 | Polar transmitter with zero crossing avoidance | Sharpe Jacob Christopher |
10819807 | Method and system for displaying object, and method and system for providing the object | Cha Sang-ok |
10819836 | Conduit structure of electronic device and electronic device including the same | Lim Jinho |
10819839 | Operation method and system of mobile terminal | Lim Hun |
10819884 | Method and device for processing multimedia data | Shchur Oleksandr |
10819897 | Electronic device and method for controlling autofocus of camera | Kim Eunho |
10819912 | Apparatus and method for processing image received through a plurality of cameras | Jin Sung-Ki |
10819929 | Image sensor for compensating for signal difference between pixels | Jung Tae Sub |
10819958 | Home monitoring method and apparatus | Kim Kyung-Jae |
10819965 | Image processing device and method for operating image processing device | Jun Sung Ho |
10819978 | Image encoding method and apparatus, and image decoding method and apparatus | Tamse Anish |
10819989 | Method for inter prediction and device therefor, and method for motion compensation and device therefor | Park Young-o |
10819992 | Methods and apparatuses for performing encoding and decoding on image | Park Pilkyu |
10819993 | Methods and apparatuses for performing encoding and decoding on image | Park Pilkyu |
10820007 | Method and apparatus for decoding inter-layer video, and method and apparatus for encoding inter-layer video | Park Min-woo |
10820055 | Method and system for displaying a menu which has an icon and additional information corresponding to stored image data, wherein the icon can display the image data with the additional information | Kim Eung-sun |
10820092 | Audio output apparatus capable of outputting multi channel audio and display apparatus applying the same | Lee Gyeong-tae |
10820094 | Waterproof electronic device | Park Sukchul |
10820155 | Method and device for position-based service | Seo Sin-Seok |
10820168 | Mobile communication terminal and method of recommending application or content | Cha Sang-ok |
10820187 | Electronic device for managing embedded subscriber identification module and method for same | Cho Heejeong |
10820188 | Electronic device and method for providing communication service based on subscriber identity information in electronic device | Kim Sungoh |
10820213 | Method and apparatus for analyzing communication environment based on property information of an object | Park Yoonsung |
10820227 | System and method for multimedia broadcast multicast service (MBMS) counting operation | Shrivastava Vinay Kumar |
10820287 | Synchronization method and apparatus in wireless communication system | Kim Youngbum |
10820300 | Method and apparatus for coexistance of device-to-device communications and cellular communications in mobile communications system | Noh Hoondong |
10820325 | Apparatus and method for controlling channel access in wireless communication system | Park Seung-Hoon |
10820330 | Scheduling information transmitting method and apparatus in D2D communication, and scheduling information receiving method and apparatus in D2D communication | Zhang Shichang |
10820331 | Method for controlling wireless communication in beamforming-based cellular system | Yoo Hyunil |
10820361 | Method and system for application-controlled multiple Wi-Fi connections | Wu Qian |
10820370 | Method and apparatus for performing efficient layer 2 function in mobile communication system | Kim Sangbum |
10820398 | Mobile X-ray apparatus and method of controlling power in mobile X-ray apparatus | Kim Myeong-je |
10820419 | Memory system and storage device including printed circuit board where channel groups have both point to point topology and daisy chain topology | Seo Byung-guk |
10820434 | Display apparatus | Choi Dae Su |
10820439 | Sealing structure and electronic device including the same | Pham Dong |
10820858 | Apparatus and method for estimating biometric information | Yoon Young Zoon |
10821572 | Method of controlling a temperature of a chemical mechanical polishing process, temperature control, and CMP apparatus including the temperature control | Jeong Suk-Hoon |
10821834 | Method and device for regenerative braking of transportation device | Lee Sun-Jae |
10822694 | Substrate processing apparatus and method of cleaning the same | Chung Sukjin |
10822734 | Washing machine and washing water supply device | Kim Min Hyung |
10822737 | Washing machine | Park Jae Seuk |
10823433 | Air conditioner | Cho Sung-June |
10823434 | Dehumidifier | Shin Moon Sun |
10823486 | Refrigerator | Han Jae Myung |
10823589 | Electronic device and method for controlling sensitivity of sensor on basis of window attributes | Kim Jong-Ah |
10823613 | Leaky waveguide, spectroscopic method, and spectroscope including the leaky waveguide | Lee Jaesoong |
10823623 | System and method for modeling and correcting frequency of quartz crystal oscillator | Babitch Daniel |
10823682 | Water measurement apparatus | Sugiura Takamasa |
10823779 | Apparatus and method for manufacturing substrates | Lee Youngchul |
10823814 | Sound direction detection sensor including multi-resonator array | Kang Sungchan |
10823857 | Radiation detector, tomography imaging apparatus thereof, and radiation detecting apparatus thereof | Cho Min-kook |
10823909 | Display apparatus | Jeon Taehee |
10823958 | Electronic device for encoding or decoding frames of video and method for controlling thereof | Park Chan-Yul |
10823994 | Display apparatus | Yoon Tae Youn |
10824011 | Photoluminescent polarizers having metal film with surface plasmon coupling and electronic devices including the same | Jun Shin Ae |
10824043 | Optical modulating device and apparatus including the same | Park Junghyun |
10824071 | Method of exposing a semiconductor structure, apparatus for controlling a lithography process performed by a lithography apparatus across a semiconductor structure, non-transitory computer readable medium having instructions stored thereon for generating a weight function | Lee Moo-song |
10824232 | Sound outputting apparatus, electronic apparatus, and control method thereof | Hwang Tae-ho |
10824236 | Electronic device, wearable device, and method for controlling object displayed through electronic device | Kim Young-Ri |
10824268 | Method and apparatus for providing user keypad in a portable terminal | Nam Goong Bo Ram |
10824283 | Fingerprint recognizable touch screen apparatus and method of operating the same | Kim Dongkyun |
10824291 | Device and method of displaying windows by using work group | Lee Sang-chul |
10824307 | Information transmission device and operating method therefor | Liu Ying |
10824314 | User terminal and control method of the same | Lee Seung Hun |
10824325 | Electronic device and method of recognizing touches in the electronic device | Kim Minkyu |
10824348 | Method of executing conditional data scrubbing inside a smart storage device | Olarig Sompong Paul |
10824392 | Electronic apparatus for processing user utterance for controlling an external electronic apparatus and controlling method thereof | Kim Joo Hwan |
10824499 | Memory system architectures using a separate system control path or channel for processing error information | Hu Chaohong |
10824507 | Semiconductor memory device, controller, and memory system | Lee Myung Kyu |
10824555 | Method and system for flash-aware heap memory management wherein responsive to a page fault, mapping a physical page (of a logical segment) that was previously reserved in response to another page fault for another page in the first logical segment | Guddekoppa Vishak |
10824564 | Operation method of memory controller and operation method of storage device including the same | Jun Yu-hun |
10824575 | Buffer device supporting training operations for a plurality of memory devices, and memory module and memory system each including the buffer device | Lee Jang-woo |
10824576 | Smart I/O stream detection based on multiple attributes | Bhimani Janki S. |
10824580 | Semiconductor device | Kim Byung Ho |
10824656 | Method and system for providing adaptive keyboard interface, and method for inputting reply using adaptive keyboard based on content of conversation | Hwang Sung Jae |
10824707 | Method and device for providing security content | Kang Nam-wook |
10824840 | Electronic device supporting fingerprint verification and method for operating the same | Song Kyung Hoon |
10824893 | Method of evaluating performance of bio-sensor, authentication method using bio-image, and electronic apparatus adopting the authentication method | Heo Jingu |
10825009 | Payment additional service information processing method and electronic device for supporting the same | Kim In Ho |
10825133 | Method and apparatus for processing image | Vladyslav Zakharchenko |
10825139 | Apparatuses and methods for performing artificial intelligence encoding and artificial intelligence decoding on image | Kim Jaehwan |
10825140 | Apparatus and method for performing artificial intelligence encoding and artificial intelligence decoding on image | Yang Heechul |
10825141 | Decoding apparatus and operating method of the same, and artificial intelligence (AI) upscaling apparatus and operating method of the same | Park Youngo |
10825203 | Methods and apparatuses for performing artificial intelligence encoding and artificial intelligence decoding on image | Kim Jaehwan |
10825204 | Artificial intelligence encoding and artificial intelligence decoding methods and apparatuses using deep neural network | Jeon Sunyoung |
10825205 | Methods and apparatuses for performing artificial intelligence encoding and artificial intelligence decoding on image | Kim Jaehwan |
10825206 | Methods and apparatuses for performing artificial intelligence encoding and artificial intelligence decoding on image | Kim Jaehwan |
10825228 | Electronic apparatus for generating animated message by drawing input | Kim Keunsoo |
10825377 | Display apparatus, control method and compensation coefficient calculation method thereof | Lee Ho-seop |
10825378 | Electronic apparatus and method for controlling thereof | Kim Sangwon |
10825380 | Display panel including inorganic light emitting device and method for driving the display panel | Kim Jinho |
10825445 | Method and apparatus for training acoustic model | Song Inchul |
10825453 | Electronic device for providing speech recognition service and method thereof | Oh Young Il |
10825456 | Method and apparatus for performing preset operation mode using voice recognition | Won Sung-Joon |
10825463 | Electronic device and method for controling the electronic device thereof | Lee Jaecheol |
10825497 | Semiconductor device including spin-orbit torque line and method of operating the same | Kim Jae Hoon |
10825517 | Memory device for compensating for current of off cells and operating method thereof | Gangasani Venkataramana |
10825530 | Method of erasing data in nonvolatile memory device by changing level of voltage and duration of time to apply the voltage for target erase block | Song Seong-Jin |
10825532 | Method of erasing data in nonvolatile memory device, nonvolatile memory device performing the same and memory system including the same | Shim Won-Bo |
10825614 | Energy harvesting device using electroactive polymer nanocomposites | Choi Kyounghwan |
10825666 | Plasma monitoring apparatus and plasma processing system | Kim Kyeong-Hun |
10825674 | Apparatus and method for mass spectrometry, and method for analyzing semiconductor wafer | Kim Kook Joo |
10825698 | Substrate drying apparatus, facility of manufacturing semiconductor device, and method of drying substrate | Cho Yong-Jhin |
10825703 | Chuck stage particle detection device | Lee Mu Yer |
10825710 | Support substrates, methods of fabricating semiconductor packages using the same, and methods of fabricating electronic devices using the same | Lee Kunsil |
10825712 | Vacuum chuck and semiconductor manufacturing apparatus having the same | Kim Young-min |
10825723 | Semiconductor device and method for making the same | Hong Joon Goo |
10825766 | Semiconductor device with multi-layered wiring and method for fabricating the same | Kim Ji Young |
10825768 | Semiconductor device including resistor structure | Lee Tae-Hee |
10825774 | Semiconductor package | Oh Juhyeon |
10825775 | Semiconductor package integrating active and passive components with electromagnetic shielding | Kang Myung Sam |
10825776 | Semiconductor packages having semiconductor chips disposed in opening in shielding core plate | Jung Yoonha |
10825777 | Method of fabricating a semiconductor device with an overlay key pattern | Min Taehong |
10825778 | Semiconductor package having mark with identification information | Bae Sung Hawn |
10825795 | Method of manufacturing semiconductor devices | Kim Yeong-Seok |
10825800 | Semiconductor package including heat sink | Kim Tae-sung |
10825809 | Semiconductor device having gate isolation layer | Ha Seung Seok |
10825810 | Semicondcutor device including a semiconductor extension layer between active regions | Kim Sungmin |
10825819 | Semiconductor device including spacer and method of manufacturing the same | Kim Hyo Sub |
10825830 | Vertical semiconductor devices | Jung Tae-Chul |
10825832 | Semiconductor device including gates | Gu Ji Mo |
10825833 | Semiconductor device including partially enlarged channel hole | Choi Eunyeoung |
10825862 | Variable resistance memory device | Cho Junghyun |
10825865 | Three-dimensional semiconductor device | Park Joyoung |
10825889 | Semiconductor device including capacitor and method of forming the same | Kang Sang Yeol |
10825893 | Semiconductor devices | Cho Kyu-ho |
10825934 | Vertical semiconductor devices | Kwon Joon-Young |
10825986 | Semiconductor devices including a stacked cell structure | Park Jong-Chul |
10825990 | Image sensor and methods of fabricating and measuring image sensor | Eom Da Il |
10825999 | Organometallic compound, organic light-emitting device including the organometallic compound, and diagnostic composition including the organometallic compound | Lee Kum Hee |
10826159 | Electronic device including antenna | Kim Gyu Sub |
10826160 | Electronic device comprising antenna | Kwak Yong Soo |
10826162 | Antenna and electronic device including the same | Jeon Seunggil |
10826197 | Phased array antenna module and communication device including the same | Yu Hyun-seok |
10826326 | Portable terminal having wireless charging module | Kim Ki-Hyun |
10826332 | Wireless power transmitter and control method therefor | Yeo Sung-Ku |
10826336 | Display apparatus, display system, and driving method of the same | Ha Ka-san |
10826365 | Motor | Nakagawa Yukinori |
10826382 | Electronic apparatus and control method thereof | Choi Shinwook |
10826389 | Charge pump device and image sensor including the same | Seo Minwoong |
10826436 | Power supply modulator and wireless communication apparatus including the same | Kim Dong-su |
10826497 | Impedance matched clock driver with amplitude control | Tripathi Vaibhav |
10826544 | Signal filtering and signal processing apparatus and method | Kwon Ui Kun |
10826550 | Electronic device and method for managing specific absorption rate | Choi Hyun-Suk |
10826660 | Method and apparatus for transmitting and receiving audio data in Bluetooth low energy-based wireless communication system | Hyun Sung-Hwan |
10826732 | Method and apparatus for controlling spectral regrowth | Kim Daeyoung |
10826913 | Apparatus and method for providing security service in communication system | Naughton-Green Jonathan David |
10827045 | Data structure for physical layer encapsulation | Mourad Alain |
10827125 | Electronic device for playing video based on movement information and operating method thereof | Lee Dongwoo |
10827126 | Electronic device for providing property information of external light source for interest object | Kwon Soon Hwan |
10827144 | Image sensor chip that feeds back voltage and temperature information, and an image processing system having the same | Cho Sang Hyun |
10827145 | Analog to digital converting circuit and an operation method thereof | Kim Yunhong |
10827175 | Signal encoding method and apparatus and signal decoding method and apparatus | Sung Ho-sang |
10827197 | Method and apparatus for encoding multilayer video and method and apparatus for decoding multilayer video | Choi Byeong-doo |
10827291 | Audio apparatus adaptable to user position | Park Jee-hoon |
10827303 | Method and apparatus for providing proximity-based information | Kang Dae-Ho |
10827306 | Intelligent event information presentation method and terminal | Rhim Eunhee |
10827318 | Method for providing emergency service, electronic device therefor, and computer readable recording medium | Kang Su-Jung |
10827357 | Method for controlling service set for wireless LAN and apparatus therefor | Kim Moonsoo |
10827377 | Method and apparatus for performing discontinuous reception operation by connected mode user equipment in a mobile communication system | Kim Soeng-Hun |
10827409 | Method and apparatus for improving mobility in wireless communication system | Kim Sunghoon |
10827418 | Apparatus and method for transmitting device to device discovery message in communication system supporting device to device scheme | Agiwal Anil |
10827481 | Method and apparatus for transmitting and receiving control channels by restricting a set of the control channels in wireless communication system | Kwak Yong-Jun |
10827483 | Method and apparatus, in mobile communication system, for effectively providing configuration information about small cell that has small cell service region | Kim Sangbum |
10827489 | Method, device and system for communication using plurality of wireless communication schemes | Lim Sang-soon |
10827507 | Device to device communication method and apparatus | Agiwal Anil |
10827510 | Electronic device and wireless network access method in electronic device | Park Dong-Hoo |
10827514 | Method and apparatus for efficient utilization of resources for interference cancellation and suppression in wireless communication systems | Lee Hyojin |
10827541 | Method and apparatus for transmitting or receiving data and control information in wireless communication system | Park Sungjin |
10827605 | Substrate comprising plurality of signal lines and electronic device comprising same | Kim Man-Ho |
10827607 | Electronic device including rigid-flex circuit board | Park Sungwon |
10827633 | Electronic device including flexible display | Yoo Chung-Keun |
10828224 | Power transmitting device and motion assistance apparatus including the same | Park Youngjin |
10828561 | Display apparatus and control method thereof | Lee Young Ho |
10828871 | Carrier substrate and method of manufacturing semiconductor package using the same | Jin Han Na |
10829690 | Slurry composition for chemical mechanical polishing, method of preparing the same, and method of fabricating semiconductor device by using the same | Kim Bo-yun |
10829744 | Microorganism having enhanced cellulose synthase gene stability and method of producing cellulose by using the same | Rhee Hongsoon |
10830254 | Blower and air conditioning apparatus having the same | Goto Shinji |
10830876 | Distance-measuring device and method thereof | Otsuka Tatsuhiro |
10830968 | Photodetector structure | Cho Keun Yeong |
10831082 | Apparatus and method for controlling laser light propagation direction by using a plurality of nano-antennas | Shorokhov Alexander Sergeevich |
10831095 | Critical dimension measurement system and method of measuring critical dimensions using same | Park Won Joo |
10831115 | Reticle management method and semiconductor device fabrication method including the same | Moon Yongseung |
10831283 | Method and electronic device for predicting a response from context with a language model | Kandur Raja Barath Raj |
10831289 | Method and device for handling event invocation using a stylus pen | Bollineni Vijay |
10831293 | Flexible display apparatus and method for controlling thereof | Jung Ji-hyun |
10831302 | Detachable electronic device and operating method thereof | Sunwoo Seunghui |
10831312 | Mobile apparatus displaying end effect and control method thereof | Lee Ho-Young |
10831343 | User terminal device and displaying method thereof | Kang Dong-Goo |
10831362 | Mobile terminal and object change support method for the same | Heo Nam Jo |
10831392 | Volatile and nonvolatile memory management method and electronic device | Kim Chulmin |
10831405 | Storage device temporarily suspending internal operation to provide short read response time for read request from host | Lee Chulseung |
10831490 | Device and method for scheduling multiple thread groups on SIMD lanes upon divergence in a single thread group | Jin Seung-Hun |
10831792 | Sensor information using method and electronic device using the same | Jeong Seong Ook |
10832030 | Method and apparatus of selecting candidate fingerprint image for fingerprint recognition | Rhee Seon Min |
10832127 | Three-dimensional integration of neurosynaptic chips | Cao Qing |
10832135 | Automatic thresholds for neural network pruning and retraining | Ji Zhengping |
10832138 | Method and apparatus for extending neural network | Choi Heeyoul |
10832373 | Electronic device and data processing method thereof | Sohn Ho-sik |
10832411 | Electronic apparatus and method of controlling the same | Kim Sung-hyun |
10832432 | Method for training convolutional neural network to reconstruct an image and system for depth map generation from an image | Anisimovskiy Valery Valerievich |
10832447 | Artificial intelligence encoding and artificial intelligence decoding methods and apparatuses using deep neural network | Jeon Sunyoung |
10832552 | Electronic device and method for providing notification using same | Jang Jihoon |
10832556 | Method for determining battery state on basis of atmospheric pressure and electronic device | Lee Jungwoo |
10832566 | Remote control apparatus, control method thereof and electronic system | Lim Chaeyoung |
10832615 | Display panel including inorganic light emitting device and driving circuit | Kim Jinho |
10832633 | Sink device and power control method therefor | Park Jong-jin |
10832655 | Method and user device for providing context awareness service using speech recognition | Park Jin |
10832669 | Electronic device and method for updating channel map thereof | Park Ji-hun |
10832670 | Voice input processing method and electronic device for supporting the same | Park No Joon |
10832674 | Voice data processing method and electronic device supporting the same | Jaygarl Ho Jun |
10832774 | Variation resistant 3T3R binary weight cell with low output current and high on/off ratio | Hatcher Ryan M. |
10832781 | Integrated circuit device | Yun Jang-Gn |
10832782 | Nonvolatile memory device, storage device including nonvolatile memory device, and operating method of nonvolatile memory device | Park Jooyong |
10832939 | Semiconductor device and method of fabricating the same | Choi Hoon-Sung |
10832948 | Semiconductor device and method for fabricating the same | Han Kyu Hee |
10832983 | Semiconductor device having a trench type device isolation film and method for fabricating the same | Choi Ji Min |
10832986 | Fan-out semiconductor package | Cho Jung Hyun |
10832988 | Integrated circuit having contact jumper | Do Jung-Ho |
10832998 | Semiconductor package | Heo Jee Ae |
10833002 | Connection structure and method of forming the same | Kim Jongyoun |
10833032 | Semiconductor device | Son Seong-Min |
10833040 | Semiconductor package | Baek Yong Ho |
10833041 | Fan-out semiconductor package | Hwang Jun Oh |
10833046 | Stack tool for reflow and stack apparatus having the same | Kim Tea Geon |
10833047 | Apparatuses of bonding substrates and methods of bonding substrates | Kim Hoe Chul |
10833070 | Fan-out semiconductor package module | Gong Jung Chul |
10833085 | Semiconductor device having a plurality of channel layers and method of manufacturing the same | Shin Woo Cheol |
10833088 | Semiconductor memory device and method of fabricating the same | Im Dong-Hyun |
10833093 | Semiconductor devices | Baek Seok Cheon |
10833113 | Image sensor and method for fabricating the same | Lee Yun Ki |
10833114 | Image sensors | Kim Bum Suk |
10833117 | Image sensor including a first and a second isolation layer | Shim Eun Sub |
10833124 | Semiconductor devices including data storage patterns | Kim Kyo Seop |
10833129 | Image sensor with stacked structures | Kim Kwan-sik |
10833250 | Magnetoresistive random access memory device and method of manufacturing the same | Bak Jung-Hoon |
10833251 | Composition for cleaning magnetic patterns | Kim Ho-Young |
10833308 | Composite membrane, preparation method thereof, and lithium-air battery including the composite membrane | Choi Won-sung |
10833397 | Foldable device comprising antenna | Lee Woosup |
10833403 | Antenna and electronic device including same | Kim Jaehyung |
10833425 | Antenna device and electronic device including the same | Park Jungmin |
10833516 | Charging port module for mobile electronic device and mobile electronic device including charging port module | Kim Hajin |
10833706 | Polar encoding and decoding using predefined information | Kim Dae-son |
10833804 | Receiver and method for processing a signal thereof | Myung Se-ho |
10834148 | Method and apparatus for supporting facility control of terminal | Kim Daedong |
10834343 | Image sensor including color splitting element and method of operating the image sensor | Nam Sunghyun |
10834411 | Display driver circuit supporting operation in a low power mode of a display device | Kim Woojoo |
10834435 | Display apparatus and content display method thereof | Cheon Jeong-sik |
10834495 | Electronic device including speaker | Lee Byounghee |
10834596 | Method for blocking connection in wireless intrusion prevention system and device therefor | Choi Daesung |
10834629 | Method for handling congestion timer upon receiving message from network | Kumar Lalith |
10834655 | Method and device for preserving mobility information in terminal state transition and effectively re-accessing in heterogeneous cell network in mobile communication system | Kim Woo Seong |
10834675 | Electronic device and power saving method thereof | Lee Eun Kyoung |
10834682 | Method and apparatus for controlling uplink power in wireless communication system | Ryoo Sun-Heui |
10834708 | Method and apparatus for NR sidelink SS/PBCH block | Si Hongbo |
10834718 | Method and device for setting plurality of DMRS structures in wireless cellular communication system | Shin Cheolkyu |
10834772 | Method and apparatus for establishing dual-connectivity to transmit data in new radio communication architecture | Wang Hong |
10834779 | System and method for providing multimedia broadcast multicast service (MBMS) operation | Shrivastava Vinay Kumar |
10834787 | Induction heating cooking device | Jung Gwang Jin |
10834814 | Foldable electronic device and control method thereof | Cho Shiyun |
10835130 | Noninvasive blood glucose measurement method and apparatus | Cho Chul-ho |
10835142 | Electrocardiogram (ECG) sensor chip, system on chip (SoC), and wearable appliance | Shin Seung Chul |
10835405 | Method and apparatus for walking assist | Hyung Seungyong |
10835442 | Method and apparatus for recognizing user motion | Kim Kyung-Rock |
10835782 | Electronic device, system, and method for determining suitable workout in consideration of context | Kim Jaewon |
10835919 | Spin chuck jig and method of lifting spin chuck using the same | Ybarra Michael D. |
10837115 | Pre-treatment composition before etching SiGe and method of fabricating semiconductor device using the same | Kim Soojin |
10837655 | Air current changeable full front blowing type air conditioner | Kwon Ki-hwan |
10837669 | Method and apparatus for effectively controlling plurality of indoor devices | Kim Kyungjae |
10837872 | Diagnosis control method of air conditioner | Son Kil Soo |
10837934 | Water detection circuit, electronic device including the same, and water detection method | Park Se-jong |
10838055 | Method and apparatus to identify object | Kim Byung Kwan |
10838172 | Optical lens assembly, device, and image forming method | Kang Byung-kwon |
10838228 | Three-dimensional imaging device and electronic device including same | Kim Hanyuool |
10838266 | Display device | Lim Sang-Ho |
10838359 | Hologram reproducing apparatus and method thereof | Shestak Sergey |
10838458 | Foldable electronic device including display protection structure | Park Jungwon |
10838463 | Input apparatus in electronic device and control method thereof | Park Sungeun |
10838508 | Apparatus and method of using events for user interface | Lee Kyoobin |
10838612 | Apparatus and method for processing drag and drop | Jwa Changhyup |
10838765 | Task execution method for voice input and electronic device supporting the same | Kim Jin Woong |
10838852 | System and method to extend NVME queues to user space | Pinto Oscar Prem |
10838894 | Electronic device and method for preventing corrosion to connector | Jo Yeon-Rae |
10839063 | Method, apparatus, and system for providing temporary account information | Shnurenko Igor |
10839194 | Electronic device including waterproof structure of sensor key assembly | Jung Yanggyun |
10839239 | Electronic device and method for identifying falsification of biometric information | Kim Suna |
10839391 | Method and apparatus for secure offline payment | Madhu Venkata Subramanian |
10839547 | Camera pose determination and tracking | Gupta Ankur |
10839563 | Method and apparatus for processing image interaction | Son Minjung |
10839565 | Decoding apparatus and operating method of the same, and artificial intelligence (AI) up-scaling apparatus and operating method of the same | Park Youngo |
10839806 | Voice processing method and electronic device supporting the same | Jeon Joo Hyuk |
10839827 | Method for determining sound and device therefor | Kim Do-hyung |
10839864 | Dynamic power control system for memory device and memory device using the same | Kwon Tae Hong |
10839903 | Resistive memory devices | Park Hyun-Kook |
10839910 | Three-dimensional nonvolatile memory and related read method designed to reduce read disturbance | Nam Sang-Wan |
10839929 | Memory device | Jung Moon Ki |
10840127 | Integrated circuit (IC) device | Ahn Jun-hyeok |
10840139 | Semiconductor device and method for fabricating the same | Seong Geum Jung |
10840142 | Semiconductor device including a three-dimensional channel | Jeong Heedon |
10840150 | Semiconductor device and method for manufacturing the same | Jeon Kyung Yub |
10840159 | Semiconductor chip including chip pad, redistribution wiring test pad, and redistribution wiring connection pad | Park Myeong-soon |
10840174 | Metallic synapses for neuromorphic and evolvable hardware | Fetterolf Shawn P. |
10840175 | Film package, chip-on-film package, and package module | Koo Jungeun |
10840187 | Three-dimensional semiconductor device | Kim Young-woo |
10840191 | Film package and package module including the same | Jung Jae-Min |
10840221 | Semiconductor module | Kim Ilsoo |
10840244 | Semiconductor device | Maeda Shigenobu |
10840252 | Three-dimensional semiconductor memory device | Kim Junhyoung |
10840256 | Vertical memory devices and methods of manufacturing the same | Yun Jang-Gn |
10840285 | Image sensor | Kim Kook Tae |
10840292 | Semiconductor devices including infrared sensor with infrared photodiode and color sensor with color photodiode | Jin Young Gu |
10840302 | Image sensor including nanostructure color filter | Han Seunghoon |
10840331 | Semiconductor device | Cho Guyoung |
10840332 | Semiconductor device | Bhuwalka Krishna Kumar |
10840338 | Semiconductor device including graphene and method of manufacturing the semiconductor device | Shin Keunwook |
10840374 | Semiconductor devices with shaped portions of elevated source/drain regions | Shin Chung-Hwan |
10840412 | Semiconductor light emitting device | Yoon Ju Heon |
10840435 | Magnetic tunnel junction device and magnetic resistance memory device | Sonobe Yoshiaki |
10840513 | Solid electrolyte for a negative electrode of a secondary battery and methods for the manufacture of an electrochemical cell | Thomas-Alyea Karen E. |
10840583 | Arrangement structure for communication device and electronic device including the same | Lee Jong Hyuck |
10840594 | Beam steering device and optical apparatus including the same | Shim Dongsik |
10840624 | NGSFF and M.2 differentiation and mutual protection circuit | Wang David T. |
10840728 | Portable device and apparatus for wirelessly charging the portable device from energy transmitted by a transmitter | Kim Nam Yun |
10840730 | Electronic device and method for controlling power supply | Lim Kyung-Woo |
10840896 | Digital measurement circuit and memory system using the same | Chae Kwan Yeob |
10840955 | Method and apparatus for cancelling interference signal in wireless communication system | Kim Taeyoon |
10840962 | Electronic device and grip recognition method thereof | Kim Sanguk |
10840983 | Apparatus and method for integrated beamforming in wireless communication system | Jeon Younghyun |
10840987 | Method and apparatus for transmitting/receiving CSI in cellular communication system supporting carrier aggregation | Kim Young Bum |
10841043 | Method and apparatus for transmitting acknowledgement/nonacknowledgement signals in a wireless communication system | Li Yingyang |
10841052 | Multi-numerology based data transmitting and receiving method and apparatus capable of frequency hopping in OFDM system | Shim Seijoon |
10841072 | System and method for providing fast-settling quadrature detection and correction | Huang Zhiqiang |
10841249 | System and method for bot platform | Lim Patrick H. |
10841265 | Apparatus and method for providing information | Ban Dae-hyun |
10841290 | Apparatus and method for controlling display in electronic device having processors | Koo Bonhyun |
10841361 | Apparatus and method for transmitting streaming data in wireless communication system | Lee Hyungho |
10841386 | Method and device for determining master gateway | Chun Jaiick |
10841510 | Electronic device and method for image processing | Lee Woo-Yong |
10841511 | Electronic device and method for image processing | Lee Woo-Yong |
10841527 | Image sensors in which pixel array and memory cell array are merged and electronic devices including the same | Park Seokhan |
10841529 | Mobile terminal adjusting image quality of display, and method of operating the same | Kang Hyun |
10841557 | Content navigation | Martineau Justin |
10841570 | Calibration device and method of operating the same | Kytsun Petro |
10841656 | Electronic apparatus and controlling method thereof | Baek Gurnki |
10841659 | Video encoding apparatus and video encoding system | Jun Sung Ho |
10841692 | Display apparatus including a directional sound guide | Jung Donghyun |
10841768 | Method and equipment for determining IOT service, and method and equipment for controlling IOT service behavior | Ke Xiaowan |
10841812 | Method for receiving reference signal and electronic device therefor | Kim Taeyoon |
10841814 | Apparatus and method for mitigating interference in wireless communication system | Kim Dong-Sik |
10841818 | Method, apparatus, and system for terminal for measurement configuration of different reference signals and cell measurement report mechanism | Jung Byounghoon |
10841824 | Channel status information feedback method and apparatus for a distributed antenna mobile communication system | Kim Young Bum |
10841909 | Multiplexing large payloads of control information from user equipments | Papasakellariou Aris |
10841917 | Method and apparatus for transmitting and receiving data using plurality of carriers in mobile communication system | Kim Soenghun |
10841940 | Method and apparatus for decoding downlink control signal in wireless cellular communication system | Yeo Jeongho |
10841944 | Adaptive retransmission method and device for delay reduction in wireless cellular communication system | Noh Hoondong |
10842057 | Shield can assembly and electronic device including the same | Jang Ki-Youn |
10842436 | Electronic device and body composition measuring method of electronic device capable of automatically recognizing body part to be measured | Choi Ah-Young |
10842442 | Electronic apparatus and control method thereof | Lim Hyung-joon |
10842450 | Storage unit and mobile x-ray imaging apparatus including the same | Jang Ku-Il |
10842466 | Method of providing information using plurality of displays and ultrasound apparatus therefor | Kang Ho-kyung |
10843587 | Method of determining state of charge of battery and battery managing apparatus | Tagade Piyush |
10844491 | Gas supply unit and substrate processing system | Chung Sukjin |
10844870 | Air cleaner | Kim Jin Baek |
10845059 | Electric oven | Mizusaki Soichiro |
10845220 | Method of sensing rotation of rotation member and electronic device performing same | Song Younghoon |
10845593 | Display device for displaying virtual images | Choi Kyuhwan |
10845600 | Controllable modifiable shader layer for head mountable display | Perdices-Gonzalez Sergio |
10845612 | Camera module and electronic device including same | Masahiro Shirono |
10845627 | Meta device and manufacturing method thereof | Kim Sunil |
10845646 | Display module and display apparatus having the same | Cho Byoung Jin |
10845850 | Hinge structure and electronic device including the same | Kang Jaeho |
10845860 | Power control method and apparatus for reducing power consumption | Yoon Taiho |
10845869 | HMD device and control method therefor | Lee Sang-young |
10845877 | Apparatus and method of forming localized vibration field, and method of disposing exciters | Kim Sung-joo |
10845904 | Display comprising sensing function, and electronic device comprising same | Kim Youngdo |
10845940 | Electronic device and display method of electronic device | Moon Tae Yong |
10845941 | Image display apparatus and method | Kim Sung-hyun |
10845957 | Display apparatus and control method thereof | Kwon Oh-Yun |
10845974 | Terminal device and method of controlling same | Lee Jung-kun |
10845986 | Remote control device and method of controlling other devices using the same | Kim Dae-Hyun |
10845989 | Portable device comprising a touch-screen display, and method for controlling same | Seo Joon-kyu |
10846009 | Memory device having global line groups in which data input and output units are different from each other | Kim Kyungryun |
10846019 | Semiconductor device | Kim Jung Hoon |
10846043 | Display method and apparatus in portable terminal | Jeong Yong-Soo |
10846097 | Mispredict recovery apparatus and method for branch and fetch pipelines | Jumani Reshma C. |
10846100 | Electronic device and method for controlling same | Jeong Bok-deuk |
10846155 | Method for NVMe SSD based storage service using RPC and gRPC tunneling over PCIe + | Kachare Ramdas P. |
10846169 | Semiconductor memory devices, memory systems and methods of operating semiconductor memory devices | Cha Sang-Uhn |
10846171 | Error correction code decoders, semiconductor memory devices and memory systems | Cho Sung-Hye |
10846174 | Method of recovering data and memory system and raid storage system using the same | Lee Myung-Kyu |
10846213 | Memory device and method of operating the same | Jo In-soon |
10846214 | Nonvolatile memory system and method of operating the same | Kim Jung-Hoon |
10846233 | Memory controller and application processor for controlling utilization and performance of input/output device and method of operating the memory controller | Kang Hyun-joon |
10846376 | Electronic apparatus and control method thereof | Jeong Dong-hwa |
10846399 | Method and device for protecting information from side channel attack | Park Jin-bum |
10846477 | Method and apparatus for recommending word | Lee Hae-jun |
10846487 | Machine translation method and apparatus | Lee Hodong |
10846504 | Electronic device including biometric sensor | Kim Jong-Il |
10846507 | Apparatus for recognizing pressure and electronic apparatus including the same | Kim Jinmyoung |
10846579 | Apparatus and method for emitting magnetic signal using plurality of frequencies | Park Jeong-Hoon |
10846695 | Payment operation method and electronic device for supporting the same | Kim Ki Bong |
10846696 | Apparatus and method for trusted execution environment based secure payment transactions | Liu An |
10846830 | Display apparatus and control method thereof | Lim Hyung-jun |
10847024 | System and method of controlling external apparatus connected with device | Shin Hang-sik |
10847152 | Method for operating speech recognition service, electronic device and system supporting the same | Oh Byong Mok |
10847208 | Memory device system-on-chip including the same and method of operating the same | Lee In-Hak |
10847228 | Nonvolatile memory device and method of programming in the same | Lee Yo-Han |
10847240 | Memory device with test circuit which generates asychronous signal based on delay and controls peripheral circuit based on asynchronous signal, operating method of memory device, and operating method of test system including memory device | Jang Seong-Gwon |
10847244 | Storage device including repairable volatile memory and method of operating the same | Kim Sang-hun |
10847281 | Power cable and power adaptor including same | Kang Jeong-il |
10847311 | Antenna device for near field wireless communication and portable terminal having the same | Cho Kyusik |
10847362 | Method of fabricating semiconductor device | Park Gyu-Hee |
10847369 | Wafer bonding method, method for manufacturing semiconductor device, and apparatus therefor | Ueyama Shinji |
10847416 | Semiconductor device including self-aligned contact and method of fabricating the semiconductor device | Bai Keun Hee |
10847435 | Semiconductor package structure and fabrication method thereof | Kim Youngho |
10847447 | Semiconductor device having planarized passivation layer and method of fabricating the same | Kim Young Lyong |
10847454 | Semiconductor devices | Lee Eui Bok |
10847464 | Semiconductor device including conductive structure having nucleation structure and method of forming the same | Kim Tae Yeol |
10847468 | Semiconductor package including interposer | Kim Jong-youn |
10847473 | Printed circuit boards with anti-warping molding portions and related semiconductor packages and methods of fabricating | Ko Ji-Han |
10847474 | Semiconductor package and electromagnetic interference shielding structure for the same | Kim Woon Chun |
10847476 | Semiconductor package | Myung Jun Woo |
10847514 | Semiconductor device with fin field effect transistors | Yoo Je-Min |
10847537 | Three-dimensional semiconductor memory device | Son Young Hwan |
10847565 | Back side illumination image sensors having an infrared filter | Lee Yun Ki |
10847603 | Integrated circuit device and method of fabricating the same | Moon Sun-min |
10847611 | Semiconductor device including patterns and layers having different helium concentrations and method of fabricating the same | Choi Kyungin |
10847630 | Semiconductor device and method of manufacturing the same | Bae Deok Han |
10847713 | Cryogenic oxidation of metal layer of magnetic-tunnel-junction (MTJ) device | Kim Whan Kyun |
10847799 | Negative electrode for lithium metal battery and lithium metal battery comprising the same | Lee Yonggun |
10847806 | Electrochemical device and method of preparing the same | Yang Hojung |
10847841 | Electrolyte additive for lithium battery, organic electrolyte solution including the same, and lithium battery including the same | Park Insun |
10847845 | Three dimensional all-solid-state lithium ion battery and method of fabricating the same | Kim Kyounghwan |
10847946 | Light source package | Kim Kyoungjun |
10847981 | Battery management method and apparatus | Lee Sun-Jae |
10848133 | Low power RC oscillator with switched bias current | Kim Jonghan |
10848181 | Transmitting apparatus and signal processing method thereof | Jeong Hong-sil |
10848183 | Method and apparatus for encoding and decoding low density parity check codes | Jeong Hong-sil |
10848205 | Method and apparatus for beam selection in mobile communication system | Kim Soenghun |
10848273 | Apparatus and method for decoding using cyclic redundancy check in wireless communication system | Jang Min |
10848280 | Method and apparatus for implementing dual connectivity | Van Der Velde Himke |
10848283 | Method and device for operating machine type device in wireless communication system | Xue Peng |
10848285 | Method and apparatus for transmitting sounding reference signal in wireless communication system | Yoo Hyun Il |
10848300 | Method and apparatus for detecting synchronization signal | Cho Sung-Yoon |
10848529 | Method and apparatus for sharing presentation data and annotation | Hong Jung-kih |
10848531 | Method and apparatus for sharing content | Kang Tae-young |
10848558 | Method and apparatus for file management | Yeom Dong-Hyun |
10848589 | Method and apparatus for receiving profile by terminal in mobile communication system | Park Jonghan |
10848615 | Electronic device and method for call back notification service | Kim Pilwon |
10848652 | Camera module including plural driving units having different magnetic field directions | Byon Kwang Seok |
10848669 | Electronic device and method for displaying 360-degree image in the electronic device | Lee Gun-Ho |
10848686 | Method of providing image and electronic device for supporting the method | Moon Sung-Hwan |
10848696 | Apparatus for encoding image, apparatus for decoding image and image sensor | Lee Wonseok |
10848704 | Remote controller and method for controlling screen thereof | Lee Seok-jae |
10848746 | Apparatus including multiple cameras and image processing method | Han Seung-Min |
10848780 | Method and device for encoding/decoding motion vector | Lee Jin-young |
10848871 | Content output system, display apparatus and control method thereof | Baek Ji-seon |
10848901 | Sound output apparatus and method for processing sound signal based on dynamic range corresponding to volume set | Baijal Anant |
10848945 | Apparatus and method for transmitting and receiving signals in mesh network | Choi Dae-Kyu |
10848971 | Method and device for downloading profile in mobile communication system | Park Jonghan |
10848999 | Method and apparatus for reporting channel state information | Yang Yunchuan |
10849009 | Method of handling control plane data in a wireless network | Kumar Lalith |
10849038 | Method and system of managing voice call and IP media sessions in a wireless network environment | Jamadagni Satish Nanjunda Swamy |
10849056 | Method of performing cell selection and re-selection using PMAX parameters and system adapted thereto | Kim Soenghun |
10849073 | Electronic device for supporting user state-based geofencing services | Seong Seonik |
10849088 | Method for supporting efficient PDU session activation and deactivation in cellular networks | Park Jungshin |
10849100 | Method and apparatus for receiving multimedia broadcast/multicast service in mobile communication system | Jang Jaehyuk |
10849118 | Method and apparatus for transmitting and receiving control and data channels in wireless communication system | Kim Taehyoung |
10849122 | Cache-based data transmission methods and apparatuses | Wang Hong |
10849127 | Display apparatus and method of setting operating channel of the same | Kim Jinho |
10849128 | Apparatus and method for transmitting signals using beam in wireless communication system | Choe Balg Eum |
10849141 | Coexistence of different radio access technologies or services on a same carrier | Papasakellariou Aris |
10849184 | Method and apparatus for discontinuous reception of connected terminal in a mobile communication system | Kim Soeng-Hun |
10849353 | Cooking apparatus | Sung Han Jun |
10849471 | Robot cleaner and method for driving the same | So Jea-yun |
10849473 | Vacuum cleaner | Lim Tae Woon |
10849502 | System and method for providing health data of peripheral device | Choi Byung-hun |
10849578 | Medical device | Keertikumar Davevikram |
10849589 | X-ray imaging apparatus and control method thereof | Song Sang Ha |
10849597 | Method of providing copy image and ultrasound apparatus therefor | Lee Jae-ho |
10850985 | Method of forming nanocrystalline graphene, and device including nanocrystalline graphene | Jung Alum |
10851238 | Polymeric composition, method for producing polymeric composition, electronic apparatus, and method for manufacturing electronic apparatus | Pang Kyeong |
10851294 | Fluoride phosphor composite, method of manufacturing fluoride phosphor composite, white light emitting apparatus, display apparatus, lighting device, and electronic device | You Ji Ho |
10851297 | Composition, patterned film, and electronic device including the same | Kim Taekhoon |
10851298 | Electronic device including quantum dots | Won Yuho |
10851486 | Washing machine | Jang In Cheol |
10851488 | Door locking device and washing machine having the same | Kim Ju-Yeong |
10851782 | Rotary-type compressor | Ashimori Takeaki |
10852000 | Control panel and household appliance having the same | Heo Soo Hyoung |
10852010 | Air conditioner and control method thereof | Ha Jong Kweon |
10852031 | Air conditioner and method for controlling the same | Kim Tae Woo |
10852053 | Refrigerator | Seo Yong Bum |
10852054 | Refrigerator | Kim Min Soo |
10852080 | Controllers, apparatuses, and methods for thermal management using adaptive thermal resistance and thermal capacity | Im Yun-hyeok |
10852559 | Light guide plate, backlight unit, and holographic display apparatus including the backlight unit | Choi Chilsung |
10852636 | Method of designing layout of photomask and method of manufacturing photomask | Jung Yong-Seok |
10852644 | Optical proximity correction method and method of fabricating lithography mask by using the same | Jung Byung-je |
10852645 | Method of minimizing errors of optical proximity correction in semiconductor pattern and device for performing the same | Shin Moo Joon |
10852719 | Server, electronic device, and electronic device information providing method | Rhim Eun-Hee |
10852841 | Method of performing function of device and device for performing the method | Ryu Jong-hyun |
10852858 | Cover device, and electronic device and method for identifying cover device | Paik Su-Jin |
10852904 | Apparatus and method for providing adaptive user interface | Sepczuk Damian Miroslaw |
10852907 | Display apparatus and controlling method thereof | So Yong-jin |
10852909 | User interface provision method and electronic device for supporting same | Choi Seung Min |
10852913 | Remote hover touch system and method | Yoganandan Arun Rakesh |
10852942 | Portable device comprising a touch-screen display, and method for controlling same | Seo Joon-kyu |
10852944 | Method for displaying soft key and electronic device thereof | Kim Chang-Hwan |
10853015 | Electronic device and control method therefor | Kong Jin-Ah |
10853017 | Display apparatus having multiple displays | Park Jin Su |
10853024 | Method for providing information mapped between a plurality of inputs and electronic device for supporting the same | Choi Yoonjung |
10853158 | Storage device and event notification method for storage device | Jeong Sungwon |
10853168 | Apparatus to insert error-correcting coding (ECC) information as data within dynamic random access memory (DRAM) | Marietta Bryan D |
10853214 | Application processor, automotive electronic processor, and computing device including application processor | Lee Jae-Heon |
10853304 | System on chip including clock management unit and method of operating the system on chip | Jeon Ho-yeon |
10853408 | Method for providing graphic effect corresponding to configuration information of object and electronic device thereof | Lee Ho Young |
10853470 | Configuration of applications to desired application states | Sankaranarasimhan Manikandan |
10853503 | Selective encoding method and electronic device using same | Lee Woo-Joong |
10853617 | Method, apparatus, and system for recognizing fingerprint | Choi Chang Kyu |
10853665 | Method and device to generate virtual lane | Kang Hyoa |
10853667 | Method and apparatus with linearity detection | Ji Dae Hyun |
10853678 | Object recognition method and apparatus | Han SeungJu |
10853723 | Neural network training method and apparatus, and data processing apparatus | Moon Taesup |
10853790 | Method of operating payment device for selectively enabling payment function according to validity of host | Yoon Joong Chul |
10853910 | Method and apparatus for processing omni-directional image | Woo Jihwan |
10853922 | Method and device for correcting image | Lee Sihyung |
10853958 | Method and device for acquiring depth information of object, and recording medium | Choi Sung-do |
10853960 | Stereo matching method and apparatus | Lee Kee Chang |
10853974 | Point cloud compression using non-orthogonal projection | Budagavi Madhukar |
10853979 | Electronic device and method for displaying screen thereof | Lee Jaemyoung |
10854010 | Method and device for processing image, and recording medium | Kim Jung-bum |
10854131 | Display device and control method thereof, and recording media | Hur Jae-myung |
10854132 | Method for processing image and electronic device supporting the same | Bae Jong Kon |
10854147 | Visual effect provision method and electronic device using the same | Sydorenko Dmytro |
10854169 | Systems and methods for virtual displays in virtual, mixed, and augmented reality | Estruch Tena Marc |
10854171 | Multi-user personal display system and applications thereof | Clarke Nigel A. |
10854215 | Residual echo estimator to estimate residual echo based on time correlation, non-transitory computer-readable medium storing program code to estimate residual echo, and application processor | Kim Seongwoo |
10854250 | Memory device including a circuit for detecting word line defect and operating method thereof | Lee Jae-Yun |
10854262 | Memory device | Jung Sang-Hoon |
10854275 | Memory device and operation method thereof | Son Jongpil |
10854289 | Resistive memory device providing reference calibration, and operating method thereof | Antonyan Artur |
10854292 | Sensing circuits and methods of operating nonvolatile memory devices based on operating temperatures | Shin Hyun-Jin |
10854375 | Electronic device including slotted conductive housing for eddy current control during wireless charging | Song Keum Su |
10854452 | Method of manufacturing semiconductor devices | Kim Hyun Jo |
10854465 | Method of fabricating pattern structure | Maeda Shigenobu |
10854485 | Electrostatic chuck, substrate processing apparatus, and method of manufacturing semiconductor device using the same | Kim Minsung |
10854517 | Methods of manufacturing semiconductor chip | Bae Byung-moon |
10854528 | Semiconductor package including organic interposer | Myung Jun Woo |
10854551 | Semiconductor package and method of fabricating the same | Yu Hae-Jung |
10854561 | Semiconductor package | Park Mi Jin |
10854562 | Semiconductor device | Shin Seok-Ho |
10854585 | Semiconductor package with improved power integrity | Kim Yong Hoon |
10854591 | Semiconductor device including a repeater/buffer at upper metal routing layers and methods of manufacturing the same | Wang Wei-E |
10854601 | Semiconductor device including gate separation region | Min Sun Ki |
10854608 | Integrated circuit device | Lee Min-seong |
10854612 | Semiconductor device including active region with variable atomic concentration of oxide semiconductor material and method of forming the same | Cho Minhee |
10854614 | Semiconductor device and method of manufacturing the same | Kim Pyung-Ho |
10854622 | Vertical memory devices and methods of manufacturing the same | Yoon Young-Bae |
10854623 | Memory device | You Jang Hyun |
10854630 | Semiconductor device including vertical channel layer | Kim Kwang-soo |
10854631 | Semiconductor memory device having a channel structure vertically passing through a plurality of memory layers and having memory cell blocks and dummy memory cell blocks | Kim Jong Won |
10854632 | Vertical memory devices and methods of manufacturing the same | Kanamori Kohji |
10854655 | Image sensors and methods of forming the same | Lee Yun Ki |
10854666 | Protective film composition and method of manufacturing semiconductor package by using the same | Eum Myoung-chul |
10854677 | Image sensor | Jeon Taek Soo |
10854709 | Semiconductor device and method of manufacturing the same | Jung Kyooho |
10854754 | Semiconductor device | Kim Sung Soo |
10854827 | Organometallic compound and organic light-emitting device including the same | Choi Whail |
10854831 | Thin film transistor including a pair of auxiliary structures corresponding to source/drain and method of manufacturing the same | Choi Ajeong |
10854832 | Photoelectric devices and image sensors and electronic devices | Park Kyung Bae |
10854836 | Light emitting device, method of manufacturing same and display device including same | Kim Tae Ho |
10854877 | All-solid-state secondary battery | Ito Seitaro |
10854879 | Composite membrane for lithium battery, cathode for lithium battery, and lithium battery comprising the composite membrane | Choi Hongsoo |
10854956 | Electronic device including antenna | Son Mu Chang |
10854957 | Electronic device including antenna | Moon Heecheul |
10854958 | Electronic device including grip sensor and antenna | Kang Kyung Kyun |
10855012 | Electronic device for supporting USB interface and method for controlling USB interface | Lim Ho-yeong |
10855090 | Battery management apparatus, battery module, and battery pack | Jeon Jin Yong |
10855099 | Electronic device and method for wire and wireless charging in electronic device | Kim Yusu |
10855185 | Semiconductor circuit including a DC-DC converter and a voltage regulator | Song Ki Jae |
10855406 | Apparatus and method for scheduling uplink transmission in wireless communication system | Yoo Hwa Sun |
10855412 | Characterization of in-chip error correction circuits and related semiconductor memory devices/memory systems | Chung Hoi-Ju |
10855434 | Channel state information for adaptively configured TDD communication systems | Papasakellariou Aris |
10855472 | Electronic device and operation method thereof | Ha Seung-Hyun |
10855493 | Low-complexity doppler estimator | Fletcher Paul N. |
10855497 | Semiconductor device including a high-speed receiver being capable of adjusting timing skew for multi-level signal and testing equipment including the receiver | Hayashi Yoshihiko |
10855560 | Method and apparatus for managing user quality of experience (QoE) in mobile communication system | Park Kibeom |
10855615 | Device and method for sharing content using the same | Choi Sun |
10855683 | System and method for facilitating user interaction with a simulated object associated with a physical location | Spivack Nova T. |
10855720 | Method and apparatus for managing non-integrity protected message | Kumar Lalith |
10855899 | Method and apparatus for identifying a device from a camera input | Eun Dong-jin |
10855927 | Event detecting device including an event signal generator and an output signal generator | Jung Hee Jae |
10856033 | User terminal apparatus, display apparatus, user interface providing method and controlling method thereof | Lee Doh-hyeong |
10856128 | Method and device for inter-device communication | Kang Hyun-Jeong |
10856129 | Method and apparatus for performing short range wireless communication in communication system | Ko Il-Suk |
10856144 | Method, server, and terminal for transmitting and receiving data | Jang Jong-hyuk |
10856190 | Resource management method and apparatus for use in wireless communication system | Won Sung Hwan |
10856214 | Method and user equipment (UE) for provisioning minimum system information (MSI) in wireless communication system | Ingale Mangesh Abhimanyu |
10856224 | Method and system for enabling discontinuous reception (DRX) over an unlicensed band in cellular networks | Nigam Anshuman |
10856254 | Method for communication in system in which 4G and 5G coexist, and device therefor | Baek Sangkyu |
10856271 | Multiplexing control and data information from a user equipment in a physical data channel | Papasakellariou Aris |
10856272 | Multiplexing control and data information from a user equipment in a physical data channel | Papasakellariou Aris |
10856280 | Method and apparatus for downlink control information design for network coordination | Noh Hoondong |
10856298 | Resource information providing device, resource information providing method, and computer-readable recording medium | Song Min-jin |
10856430 | Electronic device including flexible display | Yoo Chung-Keun |
10856442 | Outdoor unit of air conditioner, cooling unit applied to the outdoor unit, and method for manufacturing the cooling unit | Choi Kwang Ho |
10856747 | Method and system for measuring heart rate in electronic device using photoplethysmography | Han Jooman |
10856808 | Bio-signal processing apparatus and biometric information detection apparatus and method | Yoon Seung Keun |
10857895 | Battery charging method and apparatus | Oh Duk Jin |
10858482 | Composition of preparing poly(imide-benzoxazole) copolymer, poly(imide-benzoxazole) copolymer, article containing poly(imide-benzoxazole) copolymer, and display device including same | Hong Sung Woo |
10858775 | Washing machine and method of controlling the same | Kim Kyoung Sup |
10858776 | Washing machine | Lee Hak Jae |
10859492 | Optical sensor and method of operating the optical sensor | Kim Sangkyu |
10859499 | Apparatus and method for quantitative molecular sensing based on raman peak shift | Lee Jeongoen |
10859631 | Method and apparatus for measuring state of battery | Song Tae Won |
10859640 | Device and method of detecting leakage current generation condition in USB interface | Ko Eun-hye |
10860033 | Movable object and method for controlling the same | Park Soon Yong |
10860061 | Electronic device with bonding structure | Park Daehyeong |
10860084 | Method and apparatus for reducing power consumption based on use pattern of user | Um Tae-Kwang |
10860087 | Method and apparatus for processing screen using device | Kim Myung-sik |
10860146 | Electronic device having a plurality of piezoelectric elements | Kim Moonsoo |
10860166 | Electronic apparatus and image processing method for generating a depth adjusted image file | Kim Hyun-jee |
10860191 | Method for adjusting screen size and electronic device therefor | Han Jonghyun |
10860233 | Half-match deduplication | Livne Noam |
10860271 | Electronic device having bended display and control method thereof | Oh Saeah |
10860321 | Electronic device and method for processing instruction using the same | Kim Hwee Soo |
10860323 | Method and apparatus for processing instructions using processing-in-memory | Choi Kiyoung |
10860455 | Storage device for generating trace data and method of operating the same | Go Gwang-ok |
10860700 | User authentication method and apparatus with adaptively updated enrollment database (DB) | Ko Minsu |
10860801 | System and method for dynamic trend clustering | Yadav Anil |
10860841 | Facial expression image processing method and apparatus | Guo Tianchu |
10860850 | Method of recognition based on iris recognition and electronic device supporting the same | Lee Kwang Hyun |
10860875 | Device and method to register user | Han Seungju |
10860885 | Method and system for management and operation over image in a computing system | Dahiya Rajan |
10860887 | Method and apparatus for recognizing object, and method and apparatus for training recognition model | Yoo Byungin |
10860923 | High-density neuromorphic computing element | Obradovic Borna J. |
10860988 | Managing data items contributed by a plurality of applications | Pierce Jeffrey S. |
10861131 | Image magnifying apparatus | Taoka Mineki |
10861153 | User terminal apparatus and control method thereof | Kang Seong-min |
10861326 | Method and device for sharing image information in communication system | Chun Hae-in |
10861375 | Method of operating source driver, display driving circuit, and method of operating display driving circuit | Lim Hyun-wook |
10861387 | Electronic device and operation control method of electronic device | Kim Jung-Hyun |
10861450 | Method and apparatus for managing voice-based interaction in internet of things network system | Tukka Vijaya Kumar |
10861516 | Semiconductor memory device and operating method of semiconductor memory device | Choi Hun-Dae |
10861561 | Threshold estimation in NAND flash devices | Halperin Elisha |
10861695 | Method of forming a low-k layer and method of forming a semiconductor device | Lee Sunyoung |
10861724 | Substrate inspection apparatus and substrate processing system including the same | Sun Jongwoo |
10861747 | Semiconductor device and method of fabricating the same | Kim Young-Hun |
10861784 | Fan-out semiconductor package and electronic device including the same | Kim Sun Ho |
10861826 | Substrate assembly semiconductor package including the same and method of manufacturing the semiconductor package | Oh Kyung Suk |
10861853 | Semiconductor devices | Hong Se Ki |
10861860 | Method of manufacturing a semiconductor device | Hong Seungsoo |
10861863 | Three-dimensional semiconductor memory device | Kim Jongwon |
10861864 | Three-dimensional semiconductor devices | Jeong Da Woon |
10861874 | Vertical semiconductor devices | Lee Kyung-Hwan |
10861876 | Three-dimensional semiconductor memory devices | Baek Seokcheon |
10861877 | Vertical memory devices | Kim Jun Hyoung |
10861886 | Image sensor and image processing system having pixel structure to improve demodulation contrast performance | Jin Young-gu |
10861887 | Image sensor | Lee Gwi-Deok Ryan |
10861891 | Image sensor and method for fabricating the same | Kim Bum Suk |
10861934 | Semiconductor devices including protruding insulation portions between active fins | Maeda Shigenobu |
10861950 | Integrated circuit including field effect transistors having a contact on active gate compatible with a small cell area having a small contacted poly pitch | Sengupta Rwik |
10861967 | Cell architecture based on multi-gate vertical field effect transistor | Do Jungho |
10861996 | Near-infrared light sensors including 2-dimensional insulator | Jo Sanghyun |
10862004 | Ultraviolet semiconductor light emitting devices | Park Young Hwan |
10862025 | Magnetic memory devices | Lee Joonmyoung |
10862080 | Battery and electronic device including the same | Yoon Jong Moon |
10862106 | Composite positive electrode active material, positive electrode including the same, and lithium battery including the positive electrode | Kim Donghan |
10862107 | Composite anode active material, anode and lithium battery including the composite anode active material, and method of preparing the composite anode active material | Ogata Ken |
10862110 | Composite, electrochemical active material composite using the composite, electrode including the composite or electrochemical active material composite, lithium battery including the electrode, field emission device including the composite, biosensor including the composite, semiconductor device including the composite, and thermoelectric device including the composite | Son Inhyuk |
10862111 | Composite, electrochemical active material composite using the composite, electrode including the composite or electrochemical active material composite, lithium battery including the electrode, field emission device including the composite, biosensor including the composite, semiconductor device including the composite, and thermoelectric device including the composite | Son Inhyuk |
10862112 | Composite, electrochemical active material composite using the composite, electrode including the composite or electrochemical active material composite, lithium battery including the electrode, field emission device including the composite, biosensor including the composite, semiconductor device including the composite, and thermoelectric device including the composite | Son Inhyuk |
10862113 | Cathode active material, secondary battery comprising the same, and method of manufacturing the positive active material | Park Kwangjin |
10862164 | Polymer electrolyte, method of preparing the polymer electrolyte, and lithium metal battery including the same | Lee Myungjin |
10862246 | Foreign substance detecting circuit and electronic device including the same | Bae Jun-han |
10862325 | Method and apparatus for charging battery | Lim Ju Wan |
10862340 | Wireless power transmission apparatus and wireless power transmission method thereof | Jung Jihoon |
10862510 | Transmitter and shortening method thereof | Kim Kyung-Joong |
10862525 | Communication device to perform wireless communication and wireless power transfer, and electrode device to transmit and receive electrical signal from target | Hong Young Jun |
10862526 | Modem and RF chips, application processor including the same and operating method thereof | Huh Jun-Ho |
10862568 | Method and electronic device for configuring radio frequency setting | Kim Sung Soo |
10862604 | Interference control method and device in wireless communication system | Jeon Joseph |
10862623 | Transmitting apparatus and modulation method thereof | Myung Se-ho |
10862631 | Method and device for combining reception signals in wireless communication system | Bae Youngtaek |
10862633 | Transmitting apparatus and receiving apparatus and controlling method thereof | Oh Young-ho |
10862649 | Method and apparatus for allocating PTRS in wireless communication system | Yoo Hyunil |
10862653 | Control and data multiplexing in communication systems | Papasakellariou Aris |
10862660 | Method and apparatus for scheduling data in a wireless communication system | Kim Young-Bum |
10862684 | Method and apparatus for providing service on basis of identifier of user equipment | Hong Dohy |
10862718 | Baud rate modulating magnetic stripe data transmitter, system, and method | Huang Enyang |
10862724 | Transmission structures and formats for DL control channels | Papasakellariou Aris |
10862833 | Message processing method and electronic device supporting the same | Kim Kyu Hyun |
10862881 | Method of managing shared files and device for authenticating subscriber by using same | Seo Myoung Hee |
10863008 | Apparatus and method for processing header compressed packet in electronic device | Babu Kamma Ganesh |
10863015 | Electronic device and method for controlling call function | Tracz Pawel |
10863017 | Apparatus and method for automatic call receiving and sending depending on user posture in portable terminal | Kim Hyoung-Il |
10863023 | Devices and methods of providing response message in the devices | Czarnecki Piotr |
10863057 | Synchronizing image captures in multiple sensor devices | Sheikh Hamid Rahim |
10863076 | Apparatus and method for controlling auto focus function in electronic device | Cho Sang-Heum |
10863091 | Method and apparatus for correcting influence of movements of electronic device on image | Song Won Seok |
10863131 | Image sensor including parallel output of pixel signals from a pixel unit and image processing system including the same | Jeong Il Yun |
10863134 | Display device | Kang Jeong-il |
10863157 | Guided tone mapping of high dynamic range video based on a Bezier curve for presentation on a display device | Kim Yeong-Taeg |
10863166 | Method and apparatus for generating three-dimensional (3D) road model | Jung Hwiryong |
10863177 | Video decoding apparatus, computing system including the same, and video decoding method | Jung Young-Beom |
10863215 | Content providing apparatus, method of controlling the same, and recording medium thereof | Oh Sung-bo |
10863298 | Method and apparatus for reproducing three-dimensional audio | Chon Sang-bae |
10863344 | Systems and methods for better resource utilization in 5G networks using enablement layer | Palaniappan Ramanathan |
10863416 | Method and apparatus for terminating cellular network connection of unauthenticated terminal | Baek Youngkyo |
10863421 | Apparatus and method to support ultra-wide bandwidth in fifth generation (5G) new radio | Park Seunghoon |
10863423 | Wireless communication apparatus for managing access to plurality of wireless networks and management method thereof | Lee Jun-kyoung |
10863430 | Method and device for connecting single AP device among multiple AP devices on same network to terminal | Ko Hyeon-mok |
10863435 | Electronic device and method for controlling communication thereof | Cho Youngha |
10863436 | Apparatus and method for transceiving data by user terminal | Jeong Sang-Soo |
10863440 | Mobile device for power reduction and method thereof | Song Seong-Wook |
10863447 | Method and apparatus for multi-antenna transmission in vehicle to vehicle communication | Guo Li |
10863454 | Method and device for transmitting power headroom information in communication system | Ryu Hyunseok |
10863457 | Apparatus and method for controlling antennas in wireless communication system | Jung Doyoung |
10863460 | Method and apparatus for synchronization operation in cellular internet of things networks | Xue Peng |
10863477 | Methods for supporting access and paging of low complexity user equipment | Xu Lixiang |
10863483 | Method and apparatus for controlling a electronic device in a communication system | Kim Soenghun |
10863486 | Method and system for handling of special scell selection in dual connectivity | Van Der Velde Himke |
10863503 | Method and apparatus for transmitting and receiving group downlink control channel in wireless communication system | Choi Seung Hoon |
10863530 | Method and apparatus for adjusting timing in wireless communication system | Lim Jongbu |
10863557 | Mobile device and control method thereof | Yoon Jong-hyun |
10863572 | Method and apparatus for supporting multiple connections in wireless LAN system | Jeong Sangsoo |
10863576 | Method and apparatus for managing MCPTT service | Pattan Basavaraj Jayawant |
10863579 | Method and apparatus for accelerating ciphering and deciphering in wireless communication system | Kim Donggun |
10863926 | Fall detection device and method for controlling thereof | Lee Han-sung |
10864889 | Vehicle operating method and vehicle operating apparatus | Yang Hye-jung |
10865513 | Washing machine and home appliance | Kim Jae Sin |
10865886 | Pressure control valve and apparatus and method for processing a substrate including the same | Lee Jin-Won |
10866000 | Air conditioner | Jung Chang-woo |
10866018 | Air conditioner and control method thereof | Takeichi Hisashi |
10866086 | Structured-light projector and electronic apparatus including structured-light projector | You Jangwoo |
10866299 | Method and apparatus for determining azimuth for transmission by base station | An Chanho |
10866417 | Lens unit and see-through type display apparatus including the same | Sung Geeyoung |
10866427 | Method and apparatus for outputting pose information | Jung Hwiryong |
10866460 | Display apparatus | Mukhtarov Farid |
10866463 | Strip, and backlight unit and liquid crystal display including the same | Cho Oul |
10866507 | Pellicle and reticle including the same | Moon Yongseung |
10866616 | Electronic device including cover glass and method for processing the cover glass | Seo Youngmin |
10866637 | Gesture classification apparatus and method using EMG signal | Bae Chisung |
10866652 | System and method for distributed device tracking | Peri Christopher |
10866686 | Method and terminal for recognizing text | Kim Min-soo |
10866694 | Electronic device comprising multiple displays and method for operating same | Kim Joon-Hwan |
10866706 | Electronic device for displaying application and operating method thereof | Keam Byeongkuk |
10866714 | User terminal device and method for displaying thereof | Kim Yun-kyung |
10866724 | Input and output method in touch screen terminal and apparatus therefor | Park Chan-Hyoung |
10866748 | Memory device and method of controlling power of the same | Kim Nam-Hoon |
10866797 | Data storage device and method for reducing firmware update time and data processing system including the device | Choi Sang Hoon |
10866855 | Memory system varying operation of memory controller according to internal status of memory device | Hong Hyejeong |
10866858 | Memory systems having reduced memory channel traffic and methods for operating the same | Berman Amit |
10866891 | Systems and methods for efficient compressed cache line storage and handling | Hagersten Erik Ernst |
10866897 | Byte-addressable flash-based memory module with prefetch mode that is adjusted based on feedback from prefetch accuracy that is calculated by comparing first decoded address and second decoded address, where the first decoded address is sent to memory controller, and the second decoded address is sent to prefetch buffer | Chang Mu-Tien |
10866900 | ISA extension for high-bandwidth memory | Chang Mu-Tien |
10866914 | Method and apparatus for controlling operations of electronic device | Oh Hun-Cheol |
10867023 | Wearable device and method of operating the same | Yun In-kuk |
10867069 | Trustzone graphic rendering method and display device using the same | Jeong Donghwa |
10867074 | Electronic device and control method thereof | Lee Yun Jin |
10867110 | Method of fabricating a semiconductor device | Lee Sooyong |
10867136 | Automatic interpretation method and apparatus | Lee Hodong |
10867174 | System and method for tracking a focal point for a head mounted device | Suzuki Masaki |
10867202 | Method of biometric authenticating using plurality of camera with different field of view and electronic apparatus thereof | Chang Wonsuk |
10867236 | Interface neural network | Lee Jun Haeng |
10867366 | System and method for dynamic transparent scaling of content display | Li Xiaoguang |
10867372 | Electronic device and method for correcting images based on image feature information and image correction scheme | Lim Sungjun |
10867405 | Object learning and recognition method and system | Yoo Byung In |
10867547 | Method for driving plurality of pixel lines and electronic device thereof | An Jungchul |
10867551 | Degradation compensation device and organic light emitting display device including the same | Ok Ji Heon |
10867575 | Image display apparatus and operating method thereof | Lee Hyun-soo |
10867585 | Electronic apparatus and method for displaying a content screen on the electronic apparatus thereof | Lee Woong-ki |
10867639 | Memory device including charge pump circuit | Baek Se-heon |
10867643 | Multi-port memory device and a method of using the same | Huen Hingkwan |
10867645 | Memory device including plurality of latches and system on chip including the same | Yoo Young-shin |
10867657 | Semiconductor memory device having clock generation scheme based on command | Shin Seungjun |
10867672 | Resistive memory device and programming method of the same | Cho Yong-Sung |
10867673 | Nonvolatile memory device including transfer element | Janjua Bilal Ahmad |
10867682 | Memory device and method of reading data | Jeon Su Chang |
10867683 | Storage device | Shim Young-Seop |
10867690 | Memory modules and methods of operating memory systems including the same | Kim Hyun-Joong |
10867784 | Semiconductor device and method of manufacturing the same | Song Jeonggyu |
10867796 | Method of forming a pattern | Park Jongchul |
10867802 | Method of manufacturing semiconductor device | Park Sung-Min |
10867857 | Method of cutting substrate and method of singulating semiconductor chips | Jeon Chang-Seong |
10867908 | Semiconductor device having buffer structure surrounding through via | Ding Shaofeng |
10867923 | Semiconductor device | Ahn Sang Hoon |
10867948 | Metal pillar in a film-type seconductor package | Kim Jung-woo |
10867970 | Semiconductor package | Seo Sun-kyoung |
10867974 | Semiconductor package and method of fabricating the same | Kim Sang-Uk |
10867997 | Semiconductor device | Yoon Changseop |
10868007 | Semiconductor device | You Jung-Gun |
10868021 | Semiconductor memory devices | Son Jongpil |
10868034 | Vertical memory devices with three-dimensional channels | Yun Jang-Gn |
10868038 | Memory devices | Baek Seok Cheon |
10868059 | Image sensors for distance measurement | Jin Young-gu |
10868073 | Method of fabricating semiconductor package | Kang Un-Byoung |
10868193 | Nanosheet field effect transistor cell architecture | Sengupta Rwik |
10868264 | Electronic device with movable flexible display and operating method thereof | Shin Jaeyoung |
10868305 | Composite cathode active material, cathode and lithium battery containing the same, and method of preparing the composite cathode active material | Choi Byungjin |
10868361 | Antenna structure and electronic device including same | Ahn Jungho |
10868362 | Antenna structure and electronic device comprising antenna | Yun Sumin |
10868367 | Antenna apparatus | Lee Seung-Bok |
10868399 | Adapter | Joo Sung Yong |
10868502 | Switched capacitor circuit to make amount of change in reference voltage even regardless of input level | Kim Yong-Woo |
10868514 | Method for manufacturing resonance apparatus | Park Hosoo |
10868524 | Semiconductor circuit and semiconductor circuit layout system | Lee Young O |
10868541 | Code generator including asynchronous counter and synchronous counter, and operating method thereof | Choi Wonhyun |
10868566 | Error correction device, operating method of error correction device, and controller including error correction device | Yang Kyeongcheol |
10868570 | Error detection code generation circuits of semiconductor devices, memory controllers including the same and semiconductor memory devices including the same | Cha Sang-Uhn |
10868584 | Antenna device and electronic device including same | Han Seungku |
10868603 | Method and apparatus to enable CSI reporting based on space-frequency compression | Rahman Md Saifur |
10868604 | Method and apparatus for reference signal for measurements | Onggosanusi Eko |
10868605 | Method and apparatus for channel state information estimation | Wang Rui |
10868608 | Method and apparatus for beam association between downlink/uplink | Noh Jeehwan |
10868635 | Channel encoding and decoding methods and apparatuses using zero bit padding | Park Jung-hyun |
10868644 | System and method for common phase error and inter-carrier interference estimation and compensation | Maleki Hamed |
10868693 | Home device control device and operation method thereof | Lee Seungjae |
10868814 | System and method for flow-based architecture | Morris Tristan |
10868903 | Electronic device and control method therefor | Seo Ji-Hwan |
10868954 | Electronic device for providing graphic indicator for focus and method of operating electronic device | Lee Seung-Han |
10868956 | Picture-taking technique for self-photography using device having camera and device therefor | Vinjam Vishnu Vardhan |
10868957 | Apparatus and method for processing image to reconstruct image | Kang Deokyoung |
10868959 | Method for processing image and electronic device for supporting same | Choe Jihwan |
10868968 | Display apparatus and control method thereof | Woo Jun-hee |
10868988 | Image sensors with multiple functions and image sensor modules including the same | Lee Tae-Yon |
10868993 | Method controlling image sensor parameters | Ko Ha Young |
10869018 | Optical imaging system for 3D image acquisition apparatus and 3D image acquisition apparatus including the optical imaging system | Kim Kyu Sik |
10869028 | Object tracking method and apparatus and three-dimensional (3D) display apparatus using the same | Heo Jingu |
10869101 | Display apparatus and control method thereof | Kim Wan-su |
10869146 | Portable terminal, hearing aid, and method of indicating positions of sound sources in the portable terminal | Lee Won-Gi |
10869192 | System and method of counter management and security key update for device-to-device group communication | Agiwal Anil |
10869219 | Method and apparatus for controlling traffic of terminal in mobile communication system | Lee Suwon |
10869223 | Method and apparatus for efficient operation upon packet duplication activation and deactivation in next generation wireless communication system | Kim Donggun |
10869251 | Method and apparatus for controlling measuring frequency of forbidden registration area in a mobile communication system | Jeong Kyeong-In |
10869261 | Method and apparatus for determining communication method between base station and terminal in wireless communication system | Jang Jaehyuk |
10869271 | Terminal and base station for controlling a connection state | Jeong Sangsoo |
10869295 | Method and apparatus for receiving paging information | Fu Jingxing |
10869316 | UE discovery method and system in D2D network | Xue Peng |
10869319 | Method and apparatus for controlling interference in wireless communication system | Yun Yeohun |
10869351 | Methods and user equipment for recovering from issues of connectivity between a PLMN and a UE | Dandra Prasad Basavaraj |
10869587 | Cyclone dust collecting apparatus and vacuum cleaner comprising same | Han Jung Gyun |
10870942 | Washing machine | Kwon Baek Gyu |
10871172 | Fan guard assembly and outdoor unit having the same | Kim Jin-baek |
10871251 | Waterproof quick coupler | Lee Yong-ik |
10871396 | Optical emission spectroscopy calibration device and system including the same | Kim Jongsu |
10871751 | Antenna for wearable device | Yun Su Min |
10871798 | Electronic device and image capture method thereof | Lee Hwa Jun |
10871882 | Efficient access to frequently utilized actions on computing devices | Jayakumar Praveen |
10871891 | Electronic device and method for controlling multi-windows in the electronic device | Choi Bo-Kun |
10871894 | Apparatus and method of copying and pasting content in a computing device | Polyulya Yuriy |
10871898 | Display apparatus for providing preview UI and method of controlling display apparatus | Lee Ji-yeon |
10872155 | Computing system for managing firmware and firmware managing method thereof | Oh Youngdae |
10872220 | Method for acquiring biometric information and electronic device supporting the same | Cho Joung Min |
10872255 | Method of processing biometric image and apparatus including the same | Hwang Hyoseok |
10872333 | System, devices, and method to automatically launch an application on a mobile computing device based on a near-field communication data exchange | Dua Robin |
10872420 | Electronic device and method for automatic human segmentation in image | Shukla Alok Shankarlal |
10872522 | Electronic apparatus and controlling method thereof | Kim Dongwook |
10872556 | Display method and apparatus for electronic device | Sim Hyun-Woo |
10872649 | Memory device configured to perform asymmetric write operation according to write direction | Lee Kyung Min |
10872653 | Memory modules, memory systems, and methods of operating memory modules | Kim Jong-Hoon |
10872665 | Memory device performing data comparison write and memory system including the same | Oh Eun Chu |
10872672 | Nonvolatile memory device, memory system including nonvolatile memory device, and method of controlling nonvolatile memory device | Kim Deahan |
10872675 | Storage device monitoring and storing on cell counts of blocks and operating method thereof | Oh Hyunkyo |
10872710 | Dielectric composites, and multi-layered capacitors and electronic devices comprising thereof | Jung Doh Won |
10872725 | Ceramic dielectric, method of manufacturing the same, ceramic electronic component, and electronic device | Moon Kyoung-Seok |
10872730 | Electronic device including variable capacitor including photo-conductive material and method for controlling the same | Seong Kihyug |
10872784 | Etching gas mixture, method of forming pattern by using the same, and method of manufacturing integrated circuit device by using the etching gas mixture | Kim Do-hoon |
10872802 | Method of debonding a carrier substrate from a device substrate, apparatus for performing the same, and method of singulating semiconductor chips including the same | Chang Gun-Ho |
10872817 | Semiconductor device and method of manufacturing the same | Yoon Daeho |
10872851 | Semiconductor package | Kim Eun Jin |
10872859 | Semiconductor device | Kim Young Hun |
10872869 | Semiconductor devices and methods of manufacturing the same | Jin Jeonggi |
10872875 | Bonding head and method for bonding semiconductor package, and semiconductor package | Lee Sanghoon |
10872901 | Integrated circuit device and method of manufacturing the same | Yun Jang-gn |
10872927 | Image sensors having separation structures | Kim Changhwa |
10873034 | Condensed cyclic compound and organic light-emitting device including the same | Lee Saeyoun |
10873039 | Organometallic compound, organic light-emitting device including the organometallic compound, and diagnostic composition including the organometallic compound | Jeon Aram |
10873084 | Lithium secondary battery | Ito Seitaro |
10873126 | Electronic device including cover having antenna module coupled thereto | Yu Dongho |
10873240 | Motor | Hwang Kwang-Yeol |
10873275 | Triboelectric generator | Shin Hyeonjin |
10873277 | Triboelectric generator | Kim Jae-Young |
10873304 | Pole-splitting and feedforward capacitors in common mode feedback of fully differential amplifier | Tripathi Vaibhav |
10873306 | Electronic apparatus and power controlling method thereof | Hwang In-woo |
10873343 | Transmitter apparatus and bit interleaving method thereof | Myung Se-ho |
10873432 | Apparatus and method for locating of phase tracking reference signal in wireless communication system | Hunukumbure Mythri |
10873439 | Method and apparatus for multiplexing channel state information | Onggosanusi Eko |
10873549 | Message processing method and electronic device supporting the same | Kim Kyu Hyun |
10873614 | Method and apparatus for configuration and deployment of media processing in the network | Kolan Prakash |
10873683 | Bidirectional synchronizing camera, camera system including the same and method of operating the camera | Kim Il Joong |
10873808 | Sound direction detection sensor and electronic apparatus including the same | Kang Sungchan |
10873822 | Method and apparatus for rendering sound signal, and computer-readable recording medium | Chon Sang-bae |
10873847 | Method and host device for communicating among multiple devices | Lee Jae-keun |
10873849 | System and method for universal mobile device lock using blockchain | Qiang Sheng |
10873865 | Methods and systems for providing call continuity in a user equipment (UE) | Chandrane Sathia |
10873880 | Method and apparatus for wireless communication in wireless communication system | Kim Sangbum |
10873895 | Apparatus and method for providing relay selection in device-to-device communication system | Agiwal Anil |
10873959 | Method and device for providing service in wireless communication system | Park Jungshin |
10873961 | Method and apparatus for scheduling uplink data in mobile communication system | Moon Jungmin |
10873966 | Signaling of control information in a communication system | Papasakellariou Aris |
10873972 | Method and equipment for channel sensing and signal transmission | Wang Yi |
10874277 | Cleaning robot and method for controlling the same | Lee Dong Hun |
10874282 | Panel assembly, dish washer, and electronic appliances | Kim Eun-seok |
10874301 | Raman signal measuring method and apparatus, and biometric information analyzing apparatus including the Raman signal measuring apparatus | Cho Seongho |
10874309 | Determining emotions using camera-based sensing | Khwaja Ayesha |
10874354 | Apparatus and method for biometric information detection | Park Jin Young |
10874575 | Method and apparatus for controlling balance | Lee Jusuk |
10875009 | Gas-adsorbing material and vacuum insulation material including the same | Sato Nagahisa |
10875882 | Organometallic compound, organic light-emitting device including the organometallic compound, and diagnostic composition including the organometallic compound | Lee Jungin |
10876242 | Washing apparatus and method of controlling the same | Kim Hyun Oh |
10876249 | Dryer and method for controlling same | Kamii Toshihiro |
10876832 | Flexible apparatus and method for controlling operation thereof | Lee Han-sung |
10877198 | Monomer, polymer, compensation film, optical film, and display device | Yoo Joungeun |
10877200 | Beam steering method and device | Joo Wonjae |
10877273 | Optical window system and see-through type display apparatus including the same | Lee Changkun |
10877305 | Display apparatus | Cho Jin Hyun |
10877312 | Reflection system | Choi Yoonsun |
10877349 | Fourier-transform interferometer using meta surface | Park Yeonsang |
10877515 | Display module and display apparatus having the same | Kim Min Sik |
10877520 | Electronic device with full-display | Kim Dong Hun |
10877554 | High efficiency input apparatus and method for virtual reality and augmented reality | Perdices-Gonzalez Sergio |
10877588 | Electronic apparatus comprising force sensor and method for controlling electronic apparatus thereof | Lee Jong Moo |
10877624 | Method for displaying and electronic device thereof | Kim Ji-Hyun |
10877642 | User interface apparatus in a user terminal and method for supporting a memo function | Bae Joo-Yoon |
10877645 | Electronic device and operating method thereof | Lee Haein |
10877816 | Optimal task scheduler | Brill Frank Z. |
10877914 | Electronic apparatus device and control method including a host communicating with a plurality of connectors in a lump through a bus line | Tae Kyung-hyun |
10878215 | Electronic device having a biometric sensor | Cho Joungmin |
10878216 | Apparatus and method for measuring signal and obtaining bio-information | Kang Jae Min |
10878221 | Imaging device capable of performing high-speed image recognition processing | Funaki Masaki |
10878257 | Electronic apparatus and control method thereof | Lim Tae-gyu |
10878295 | Method and apparatus for recognizing image | Choi Hee Min |
10878317 | Method and system for performing analog complex vector-matrix multiplication | Hatcher Ryan M. |
10878325 | Method and device for acquiring state data indicating state of user | Akazawa Yoshiaki |
10878488 | Electronic apparatus and method for summarizing content thereof | Lee Ji-yeon |
10878532 | Display device, system and controlling method therefor | Lee Min-woo |
10878589 | Time-of-flight depth measurement using modulation frequency adjustment | Bitan Gal |
10878636 | System and method for selecting targets in an augmented reality environment | Spivack Nova T. |
10878796 | Mobile platform based active noise cancellation (ANC) | Zhao Ye |
10878869 | Memory device including common mode extractor | Jeon Ju-ho |
10878873 | Semiconductor device | Lee Sang Kil |
10878901 | Semiconductor devices including auxiliary bit lines | Lee Joonhee |
10878908 | Three-dimensional (3D) semiconductor memory devices and methods of manufacturing the same | Jeong Da Woon |
10878919 | Device and method for initializing channel in nonvolatile memory device | Cho Doo Ho |
10879163 | Fan-out semiconductor package having redistribution line structure | Kim Jong-Youn |
10879187 | Semiconductor package and method of fabricating the same | Suk Kyoung Lim |
10879208 | Chip-on-film and method of manufacturing the same | Jung Jae Min |
10879225 | Semiconductor package and method of manufacturing semiconductor package | Kim Won-Keun |
10879237 | Semiconductor device and method of manufacturing the same | Lee Hae-Wang |
10879239 | Integrated circuit device and method of fabricating the same | Jun Hwi-Chan |
10879244 | Integrated circuit device | Shin Hong-sik |
10879248 | Semiconductor devices including capacitors and methods of manufacturing the same | Choi Hoon-Sang |
10879286 | Semiconductor device including floating diffusion and extension pattern | Im Dong Mo |
10879292 | Semiconductor package and method of manufacturing the same | Jang Jaegwon |
10879294 | Image sensor package having multi-level stack structure | Kim Yong-hoon |
10879302 | Image sensors and electronic devices | Lee Kwang Hee |
10879345 | Semiconductor device including a plurality of electrodes and supporters | Yi Ha-young |
10879392 | Semiconductor device | Park Jong Ho |
10879398 | Integrated circuit device and method of manufacturing the same | Lee Ji-seung |
10879475 | Composition, electronic device, and thin film transistor | Lee Eun Kyung |
10879484 | Electroluminescent device, and display device comprising the same | Kim Tae Ho |
10879506 | Battery mounting structure and electronic device having the same | Kim Ki-Won |
10879536 | Cathode and lithium battery including cathode | Kim Youngsoo |
10879597 | Antenna for wearable device | Kang Woo Suk |
10879718 | Method and device for charging battery | Hong Jin Seok |
10879725 | Electronic device, power supply device of electronic device, and power supply method | Hong Sung Min |
10879747 | Apparatus for transmitting wireless power and method of transmitting wireless power according to position type | Hong Jong-Chul |
10879898 | Power gating circuit for holding data in logic block | Shin Insub |
10879965 | Apparatus and method for transmitting reference signal in wireless communication system | Kang Namkoo |
10879967 | Device and method for transmitting and receiving feedback information in wireless communication system | Kim Jung Ju |
10879980 | Base station and terminal in wireless communication system, and control method therefor | Jung Doyoung |
10879992 | MIMO receiver that selects candidate vector set and operation method thereof | Jung Young-seok |
10880051 | Method and apparatus for performing a HARQ feedback and retransmission | Su Di |
10880052 | Method and apparatus for controlling power headroom report and hybrid automatic retransmission in mobile communication system | Kim Wooseong |
10880071 | Programmable blockchain solid state drive and switch | Pandurangan Rajinikanth |
10880081 | Storage device and storage system configured to perform encryption based on encryption key in file unit and method of operating using the same | Kim Seokhwan |
10880141 | Method and apparatus for transmitting and receiving time division duplex frame configuration information in wireless communication system | Ji Hyoungju |
10880146 | Method and device for transmitting/receiving synchronization signal in wireless cellular communication system | Kim Younsun |
10880148 | Apparatus and method for frequency lattice modulation in wireless communication system | Lim Jongbu |
10880157 | Method and device for transmitting data over a selected link in multilink environment | Lee Ho-Yeun |
10880161 | Network information storage service providing method and apparatus for terminal | Son Jungje |
10880425 | User terminal device and control method therefor | Lee Yoonkyong |
10880456 | Image pickup device and electronic system including the same | Kim Duck-Soo |
10880512 | Audio-visual system and method for controlling the same | Kim Ha-Na |
10880548 | Methods and apparatuses for encoding and decoding video according to coding order | Piao Yin-ji |
10880586 | Method and device for transmitting and receiving MMTP packet | Kim Dong-Yeon |
10880588 | Apparatus and method for transmitting/receiving information regarding media content in multimedia system | Bae Jae-Hyeon |
10880596 | Method and apparatus for transmitting and receiving signal in multimedia system | Yang Hyun-Koo |
10880610 | Method for providing additional contents at terminal, and terminal using same | Lee Dong-chang |
10880637 | Sound output apparatus | Park Dongkyu |
10880675 | Registration management method for terminal accessing 5G network on non-3GPP access | Kim Sunghoon |
10880710 | Method and apparatus for communication of terminal in mobile communication system | Baek Youngkyo |
10880713 | Low bandwidth machine type communication in a long term evolution network | Jamadagni Satish Nanjunda Swamy |
10880718 | Apparatus and method for decoding signal in wireless communication system | Kim Chanhong |
10880724 | Apparatus and method for accessing wireless network | Song Seong-Wook |
10880725 | Multi-SIM device and method of operating the same | Park Min-su |
10880736 | Method and apparatus for transmitting and receiving encrypted message between terminals | Park Jong-Han |
10880758 | Method and device for sharing state related information | Yoon Jaesik |
10880800 | Method and apparatus for supporting mobility of terminal in wireless communication system | Jeon Namryul |
10880801 | Method and apparatus for selecting dedicated core network | Jeong Sangsoo |
10880820 | Method and apparatus for processing system information in communication system | Nigam Anshuman |
10880860 | Apparatus and method for measuring positions of devices | Park Seong-Hee |
10880940 | Dual-connectivity establishment method and device | Wang Hong |
Archiver|手机版|科学网 ( 京ICP备07017567号-12 )
GMT+8, 2024-9-27 11:58
Powered by ScienceNet.cn
Copyright © 2007- 中国科学报社