|
█武汉大学科教管理与评价研究中心 陈立新 张琳 黄颖
第36个技术领域是信息存储,主要包括磁存储、光盘存储、随机存储器,以及信息索引、数字存储器的读写装置。2021年,美国专利商标局在该领域共授权专利6201项(增长率为-11%),占总授权量的1.9%,是专利数量第50多的领域。
2021年,美国在该领域获得专利权3061项,占该领域专利授权总量的44%。中国在该领域做出专利发明366项,获得专利权372项,流失专利发明-6项。日本和韩国获得的专利权数量分别为875和925项。
表17.36-1 2021年各国信息存储领域的在美专利发明和专利权数量
国家 和地区 | 发明 数量 | 专利权 数量 | 净流失 数量 | 专利 流失率 | 发明 份额 | 专利权 份额 | 份额 流失量 | |
1 | 美国 | 2607 | 3061 | -454 | -17.4% | 42.0% | 49.4% | -7.3% |
2 | 日本 | 1077 | 875 | 202 | 18.8% | 17.4% | 14.1% | 3.3% |
3 | 韩国 | 869 | 925 | -56 | -6.4% | 14.0% | 14.9% | -0.9% |
4 | 中国 | 366 | 372 | -6 | -1.6% | 5.9% | 6.0% | -0.1% |
5 | 德国 | 80 | 38 | 42 | 52.5% | 1.3% | 0.6% | 0.7% |
6 | 法国 | 53 | 45 | 8 | 15.1% | 0.9% | 0.7% | 0.1% |
7 | 加拿大 | 47 | 27 | 20 | 42.6% | 0.8% | 0.4% | 0.3% |
8 | 英国 | 66 | 79 | -13 | -19.7% | 1.1% | 1.3% | -0.2% |
9 | 瑞士 | 32 | 9 | 23 | 71.9% | 0.5% | 0.1% | 0.4% |
10 | 荷兰 | 10 | 20 | -10 | -100.0% | 0.2% | 0.3% | -0.2% |
11 | 瑞典 | 6 | 12 | -6 | -100.0% | 0.1% | 0.2% | -0.1% |
12 | 以色列 | 97 | 26 | 71 | 73.2% | 1.6% | 0.4% | 1.1% |
13 | 意大利 | 119 | 11 | 108 | 90.8% | 1.9% | 0.2% | 1.7% |
14 | 印度 | 127 | 6 | 121 | 95.3% | 2.0% | 0.1% | 2.0% |
15 | 其他 | 645 | 695 | -50 | -7.8% | 10.4% | 11.2% | -0.8% |
小计 | 6201 | 6201 | 0 | 0% | 100% | 100% | 0% |
图17.36-1 2021年各国信息存储领域的在美专利发明和专利权数量对比
2021年,在信息存储领域上获得美国专利授权最多的机构是美光科技公司、SK海力士公司、三星电子公司。中国专利最多的机构是台湾积体电路制造公司,获得226项专利。
表17.36-2 2021年信息存储领域在美专利授权前10机构
机构名称 | 国家 | 机构英文名称 | 2021 | 2020 | |
1 | 美光科技公司 | 美国 | MICRON TECHNOLOGY, INC. | 913 | 844 |
2 | SK海力士公司 | 韩国 | SK HYNIX INC. | 437 | 504 |
3 | 三星电子公司 | 韩国 | SAMSUNG ELECTRONICS CO., LTD. | 411 | 448 |
4 | 国际商业机器公司 | 美国 | INTERNATIONAL BUSINESS MACHINES CORPORATION | 260 | 338 |
5 | 西部数据科技公司 | 美国 | WESTERN DIGITAL TECHNOLOGIES, INC. | 242 | 218 |
6 | 台湾积体电路制造公司 | 中国 | TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. | 226 | 193 |
7 | 东芝存储器株式会社 | 日本 | TOSHIBA MEMORY CORPORATION | 192 | 332 |
8 | 英特尔公司 | 美国 | INTEL CORPORATION | 155 | 200 |
9 | 闪迪科技有限公司 | 美国 | SANDISK TECHNOLOGIES LLC | 129 | 174 |
10 | 希捷科技有限责任公司 | 美国 | SEAGATE TECHNOLOGY LLC | 126 | 171 |
注:本表数据按照第一权利人进行统计。
图17.36-2 2021年信息存储领域在美专利授权前10机构
感谢大连理工大学刘则渊教授、河南师范大学梁立明教授、科技部中国科学技术发展战略研究院武夷山研究员、大连理工大学丁堃教授、大连理工大学杨中楷教授对本报告的大力支持与帮助。同时,向以不同形式对本报告提出意见和建议的专家学者们表示诚挚的感谢。
如需要中美欧日韩五局及PCT专利数据、专利报告,以及咨询相关专利问题请添加微信号。
附表 2021年该领域美国授权专利
PATENT NO. | TITLE | ASSIGNEE | a36 |
10884033 | Current device readout system | NORTHROP GRUMMAN SYSTEMS CORPORATION | 1 |
10884078 | Ferromagnetic multilayer film, magnetoresistance effect element, and method for manufacturing ferromagnetic multilayer film | TDK CORPORATION | 1 |
10884195 | Techniques to support multiple interconnect protocols for a common set of interconnect connectors | INTEL CORPORATION | 1 |
10884315 | Integrated optical transmission element | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10884340 | Method and system for nanoscale data recording | -- | 1 |
10884465 | Memory controller with processor for generating interface adjustment signals | RAMBUS INC. | 1 |
10884476 | Autonomously controlling a buffer of a processor | INTEL CORPORATION | 1 |
10884480 | Current summing monitoring circuit in a multi-chip package to control power | MICRON TECHNOLOGY, INC. | 1 |
10884640 | Set technique for phase change memory | INTEL CORPORATION | 1 |
10884649 | Tape drive data reclamation | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10884656 | Performing background functions using logic integrated with a memory | MICROSOFT TECHNOLOGY LICENSING, LLC | 1 |
10884657 | Computing device within memory processing and narrow data ports | UPMEM | 1 |
10884665 | Data reading method, storage controller and storage device for optimizing read voltages | SHENZHEN EPOSTAR ELECTRONICS LIMITED CO. | 1 |
10884669 | Controller, operation method of the controller and memory system | SK HYNIX INC. | 1 |
10884829 | Shared buffer memory architecture | INNOVIUM, INC. | 1 |
10884846 | Method for checking the availability and integrity of a distributed data object | AIT AUSTRIAN INSTITUTE OF TECHNOLOGY GMBH | 1 |
10884847 | Fast parallel CRC determination to support SSD testing | ADVANTEST CORPORATION | 1 |
10884849 | Mirroring information on modified data from a primary storage controller to a secondary storage controller for the secondary storage controller to use to calculate parity data | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10884850 | Fault tolerant memory system | ARM LIMITED | 1 |
10884851 | Method for accessing flash memory module and associated flash memory controller and electronic device | -- | 1 |
10884852 | Semiconductor memory devices, memory systems and methods of operating semiconductor memory devices | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10884853 | Fast search of error correction code (ECC) protected data in a memory | INTEL CORPORATION | 1 |
10884854 | Method and system for identifying erased memory areas | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10884855 | Performance in reading memory cells affected by neighboring memory cells | APPLE INC. | 1 |
10884860 | Memory component with error-detect-correct code interface | RAMBUS INC. | 1 |
10884916 | Non-volatile file update media | INTEL CORPORATION | 1 |
10884918 | System implementation of one-time programmable memories | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10884923 | Memory module with local synchronization and method of operation | NETLIST, INC. | 1 |
10884941 | Techniques to store data for critical chunk operations | INTEL CORPORATION | 1 |
10884951 | Memory disablement for data security | MICRON TECHNOLOGY, INC. | 1 |
10884955 | Stacked and folded above motherboard interposer | MORGAN/WEISS TECHNOLOGIES INC. | 1 |
10884969 | Elastic eye widening circuit | INTEL CORPORATION | 1 |
10885296 | Electronic device and fingerprint sensing method | GUANGZHOU TYRAFOS SEMICONDUCTOR TECHNOLOGIES CO., LTD | 1 |
10885424 | Structural plasticity in spiking neural networks with symmetric dual of an electronic neuron | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10885822 | Gate driving circuit and display panel | -- | 1 |
10885825 | Gate driving circuit, dispaly apparatus and driving method thereof | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. | 1 |
10885826 | Shift register, gate driving circuit, and display device | BOE TECHNOLOGY GROUP CO., LTD. | 1 |
10885853 | Shift register and method for driving the same, gate driving circuit and display device | BOE TECHNOLOGY GROUP CO., LTD. | 1 |
10885854 | Gate drive circuit, control method thereof, and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. | 1 |
10885861 | Display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
10885863 | Shifting register and driving method thereof, driving circuit, and driving method of panel | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. | 1 |
10885932 | Write current switching using an effective size of a media thermal spot produced by a heat-assisted magnetic storage device | SEAGATE TECHNOLOGY LLC | 1 |
10885933 | Giant spin-seebeck effect induced magnon transfer torque-assisted MAMR | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10885934 | Magnetoresistance effect device with shaped high-frequency signal line overlapping magnetoresistance effect element | TDK CORPORATION | 1 |
10885935 | Multi-mode, multi-channel magnetic recording head and apparatus | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10885936 | Actuator block having dual sensors for detecting the vibration on magnetic disk drive | KABUSHIKI KAISHA TOSHIBA | 1 |
10885937 | Computer program, method, and system for managing multiple data recording devices | DIGITAL ALLY, INC. | 1 |
10885938 | Tape gripper cartridge cache | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10885939 | Magnetic disk device and read error retry method for magnetic disk device | KABUSHIKI KAISHA TOSHIBA | 1 |
10885940 | Disc storage device rack and disc archive device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION | 1 |
10885941 | Adapting runtime and providing content during an activity | ROVI GUIDES, INC. | 1 |
10885942 | Video-log production system | AT&T INTELLECTUAL PROPERTY I, L.P. | 1 |
10885943 | Multimedia authoring apparatus with synchronized motion and voice feature and method for the same | ARTIFICIAL INTELLIGENCE RESEARCH INSTITUTE | 1 |
10885944 | Systems and methods for dynamic video bookmarking | JBF INTERLUDE 2009 LTD | 1 |
10885945 | Apparatus and methods to perform read-while write (RWW) operations | MICRON TECHNOLOGY, INC. | 1 |
10885946 | Stacked DRAM device and method of manufacture | RAMBUS INC. | 1 |
10885947 | Power gating system and memory system including the power gating system | SK HYNIX INC. | 1 |
10885948 | NAND flash controlling system and method thereof | -- | 1 |
10885949 | Memory bandwidth aggregation using simultaneous access of stacked semiconductor memory die | RAMBUS INC. | 1 |
10885950 | Method and memory system for optimizing on-die termination settings of multi-ranks in a multi-rank memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10885951 | Memory-based distributed processor architecture | NEUROBLADE, LTD. | 1 |
10885952 | Memory data transfer and switching sequence | CADENCE DESIGN SYSTEMS, INC. | 1 |
10885953 | Data buffer with two different operating voltages for input and output circuitry | ARM LIMITED | 1 |
10885954 | Memory devices comprising a write assist circuit | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10885955 | Driver circuit equipped with power gating circuit | MICRON TECHNOLOGY, INC. | 1 |
10885956 | Dynamic random access memory array, semiconductor layout structure and fabrication method thereof | CHANGXIN MEMORY TECHNOLOGIES, INC. | 1 |
10885957 | Apparatuses and methods for memory operations having variable latencies | MICRON TECHNOLOGY, INC. | 1 |
10885958 | Semiconductor device with phase difference detection circuit between a clock and strobe signal | SK HYNIX INC. | 1 |
10885959 | Apparatuses and methods for semiconductor devices including clock signal lines | MICRON TECHNOLOGY, INC. | 1 |
10885960 | Spin device, and operating method therefor and manufacturing method therefor | INDUSTRY-UNIVERSITY COOPERATION FOUNDATION HANYANG UNIVERSITY | 1 |
10885961 | Race-track memory with improved writing scheme | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10885962 | Vertical memory cells and memory devices using the same | -- | 1 |
10885963 | Ferroelectric memory-based synapses | INTEL CORPORATION | 1 |
10885964 | Apparatuses and methods including ferroelectric memory and for operating ferroelectric memory | MICRON TECHNOLOGY, INC. | 1 |
10885965 | Memcapacitor, programming method for memcapacitor and capacitive random access memory | SHENZHEN GOODIX TECHNOLOGY CO., LTD. | 1 |
10885966 | Method and circuit for protecting a DRAM memory device from the row hammer effect | UPMEM | 1 |
10885967 | Systems and methods for improving power efficiency in refreshing memory banks | MICRON TECHNOLOGY, INC. | 1 |
10885968 | Multi-phase clock division | MICRON TECHNOLOGY, INC. | 1 |
10885969 | Semiconductor device verifying signal supplied from outside | LONGITUDE LICENSING LIMITED | 1 |
10885970 | Non-linear activation for sensing circuitry | MICRON TECHNOLOGY, INC. | 1 |
10885971 | Multi-die memory device | RAMBUS INC. | 1 |
10885972 | SRAM with error correction in retention mode | AMBIQ MICRO, INC. | 1 |
10885973 | Memory device and method of controlling memory device | -- | 1 |
10885974 | Superconducting switch | NORTHROP GRUMMAN SYSTEMS CORPORATION | 1 |
10885975 | Dragging first pass read level thresholds based on changes in second pass read level thresholds | MICRON TECHNOLOGY, INC. | 1 |
10885976 | Semiconductor memory device including phase change memory device and method of accessing phase change memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10885977 | Converged structure supporting buffering of recent writes and overwrite disturb remediation | MICRON TECHNOLOGY, INC. | 1 |
10885978 | Nonvolatile nanotube switches with reduced switching voltages and currents | NANTERO, INC. | 1 |
10885979 | Paired intercalation cells for drift migration | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10885980 | Method of manufacture and/or operation of ferroelectric memory array | AP MEMORY CORP., USA | 1 |
10885981 | Content-addressable memory having six-transistor content-addressable memory cells | -- | 1 |
10885982 | Semiconductor memory device and control method therefor | SOCIONEXT INC. | 1 |
10885983 | Nonvolatile memory device and operating method of the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10885984 | Area effective erase voltage isolation in NAND memory | SANDISK TECHNOLOGIES LLC | 1 |
10885985 | Processor in non-volatile storage memory | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10885986 | Low noise bit line circuits | -- | 1 |
10885987 | Reading even data lines or odd data lines coupled to memory cell strings | MICRON TECHNOLOGY, INC. | 1 |
10885988 | Method of controlling memory device including pluralities of memory cells | TOSHIBA MEMORY CORPORATION | 1 |
10885989 | Data storage apparatus and internal voltage trimming circuit and method for trimming an internal voltage | SK HYNIX INC. | 1 |
10885990 | Method of performing programming operation and related memory device | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
10885991 | Data rewrite during refresh window | SANDISK TECHNOLOGIES LLC | 1 |
10885992 | Memory system and operating method thereof | SK HYNIX INC. | 1 |
10885993 | Semiconductor memory device and operating method thereof | SK HYNIX INC. | 1 |
10885994 | Interleaved program and verify in non-volatile memory | SANDISK TECHNOLOGIES LLC | 1 |
10885995 | Memory controller, memory system including memory controller, method of operating memory controller | SK HYNIX INC. | 1 |
10885996 | Processor having a programmable function unit | PACT XPP SCHWEIZ AG | 1 |
10885997 | One time programmable memory cell (OTP) including main OTP cell transistor, redundant OTP transistor, and access transistor | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10885998 | Differential fuse-readout circuit for electronic devices | MICRON TECHNOLOGY, INC. | 1 |
10885999 | Shift register, method for controlling the same, gate driving circuit and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. | 1 |
10886001 | Semiconductor-product testing device, method for testing semiconductor product, and semiconductor product | RENESAS ELECTRONICS CORPORATION | 1 |
10886002 | NAND field use erase plus defect detections | SANDISK TECHNOLOGIES LLC | 1 |
10886003 | Semiconductor memory device, operating method thereof, and memory system | SK HYNIX INC. | 1 |
10886004 | Sorting non-volatile memories | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10886112 | Mn—Zn—W—O sputtering target and production method therefor | DEXERIALS CORPORATION | 1 |
10886124 | Multi-state device based on ion trapping | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10886283 | Compact antifuse element and fabrication process | STMICROELECTRONICS (ROUSSET) SAS | 1 |
10886286 | Vertical memory control circuitry located in interconnect layers | INTEL CORPORATION | 1 |
10886296 | Three-dimensional semiconductor devices including vertical structures with varied spacing | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10886297 | Semiconductor memory device including a laminated body with a plurality of semiconductor layers | TOSHIBA MEMORY CORPORATION | 1 |
10886330 | Memory device having overlapping magnetic tunnel junctions in compliance with a reference pitch | SPIN MEMORY, INC. | 1 |
10886333 | Memory structure including gate controlled three-terminal metal oxide components | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10886456 | Nonvolatile magnetic memory device | SONY CORPORATION | 1 |
10886457 | Spin orbit torque magnetic RAM | KOREA INSTITUTE OF SCIENCE AND TECHNOLOGY | 1 |
10886458 | Multi-resistance MRAM | SANDISK TECHNOLOGIES LLC | 1 |
10886459 | Multi-resistance MRAM | SANDISK TECHNOLOGIES LLC | 1 |
10886460 | Magnetic tunnel junction device with spin-filter structure | KOREA UNIVERSITY RESEARCH AND BUSINESS FOUNDATION | 1 |
10886463 | Method of fabricating a magnetoresistive bit from a magnetoresistive stack | EVERSPIN TECHNOLOGIES, INC. | 1 |
10886466 | Variable resistor, non-volatile memory element using the same, and method of fabricating the same | HANKUK UNIVERSITY OF FOREIGN STUDIES RESEARCH BUSINESS FOUNDATION | 1 |
10886918 | Systems and methods for impedance calibration of a semiconductor device | MICRON TECHNOLOGY, INC. | 1 |
10886933 | Analog-to-digital converter | TEXAS INSTRUMENTS INCORPORATED | 1 |
10886947 | Efficient decoding of n-dimensional error correction codes | TOSHIBA MEMORY CORPORATION | 1 |
10887077 | Method and apparatus for a one bit per symbol timing recovery phase detector | MELLANOX TECHNOLOGIES, LTD. | 1 |
10887393 | Data storage device with embedded software | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10887540 | Solid-state imaging apparatus, method for driving solid-state imaging apparatus, and electronic equipment | SONY SEMICONDUCTOR SOLUTIONS CORPORATION | 1 |
10887541 | Gun fire location apparatus, system and methods of operating the same | -- | 1 |
10887542 | Video reformatting system | SNAP INC. | 1 |
10887555 | Vision system for laboratory workflows | SIEMENS HEALTHCARE DIAGNOSTICS INC. | 1 |
10887658 | System and method for simultaneous broadcast for personalized messages | TIVO CORPORATION | 1 |
10887673 | Method and system for associating recorded videos with highlight and event tags to facilitate replay services | -- | 1 |
10888031 | Memory device with memory modules located within liquid coolant chamber | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP | 1 |
10888895 | Method for producing a thin film, method for producing a magnetic disk, method for producing a nanoimprint mold, and apparatus for producing a thin film | SHOWA DENKO K.K. | 1 |
10889122 | Accessing memory units in a memory bank | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. | 1 |
10891038 | Cloud-based tool for creating video interstitials | GOOGLE LLC | 1 |
10891063 | Apparatus and methods for managing data storage among groups of memory cells of multiple reliability ranks | MICRON TECHNOLOGY, INC. | 1 |
10891072 | NAND flash thermal alerting | MICRON TECHNOLOGY, INC. | 1 |
10891076 | Results processing circuits and methods associated with computational memory cells | GSI TECHNOLOGY, INC. | 1 |
10891080 | Management of non-volatile memory arrays | MENTIUM TECHNOLOGIES INC. | 1 |
10891108 | Calculation device | KABUSHIKI KAISHA TOSHIBA | 1 |
10891185 | Error counters on a memory device | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP | 1 |
10891187 | Memory devices having differently configured blocks of memory cells | MICRON TECHNOLOGY, INC. | 1 |
10891188 | Memory devices having differently configured blocks of memory cells | MICRON TECHNOLOGY, INC. | 1 |
10891189 | Customized parameterization of read parameters after a decoding failure for solid state storage devices | SEAGATE TECHNOLOGY LLC | 1 |
10891190 | Flash memory and operation method thereof | GIGADEVICE SEMICONDUCTOR (BEIJING) INC. | 1 |
10891191 | Apparatuses and methods for generating probabilistic information with current integration sensing | MICRON TECHNOLOGY, INC. | 1 |
10891222 | Memory storage device and operation method thereof for implementing inner product operation | -- | 1 |
10891223 | Storage class memory status | MICRON TECHNOLOGY, INC. | 1 |
10891226 | Virtual address space dump in a computer system | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10891387 | Secure distribution of an image | SMARTFRAME TECHNOLOGIES LIMITED | 1 |
10891428 | Adapting video annotations to playback speed | AUTODESK, INC. | 1 |
10891852 | Precise predictive maintenance method for driving unit | ITS CO., LTD. | 1 |
10891886 | Shift register, gate line driving method, array substrate and display device for high and low resolution areas | BOE TECHNOLOGY GROUP CO., LTD. | 1 |
10891913 | Shift register circuitry, gate driving circuit, and display device | BOE TECHNOLOGY GROUP CO., LTD. | 1 |
10891930 | Methods, systems, devices and computer program products for adapting external content to a video stream | DOLBY INTERNATIONAL AB | 1 |
10891972 | Multi-band multichannel magnetic recording head | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10891973 | High-bandwidth STO bias architecture with integrated slider voltage potential control | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10891974 | Magnetic head with current assisted magnetic recording and method of making thereof | SANDISK TECHNOLOGIES LLC | 1 |
10891975 | Magnetic head with assisted magnetic recording and method of making thereof | SANDISKTECHNOLOGIES LLC. | 1 |
10891976 | Areal density capability improvement with a main pole skin | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10891977 | MAMR recording head with high damping trailing shield seed layer | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10891978 | Multi-mode, multi-channel magnetic recording head and apparatus | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10891979 | Data storage device calibrating bias for fine actuators | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10891980 | Central support for improved structural dynamics of actuators in a multi-actuator hard disk drive | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10891981 | Air-bearing surface designs with a curved trailing air flow dam | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10891982 | Magnetic tape having servo pattern and characterized magnetic layer, and magnetic tape device | FUJIFILM CORPORATION | 1 |
10891983 | Disc device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. | 1 |
10891984 | System and method for automating the configuration and sequencing of temporal elements within a digital video composition | DATACLAY, LLC | 1 |
10891985 | Bi-level specificity content annotation using an artificial neural network | DISNEY ENTERPRISES, INC. | 1 |
10891986 | Semiconductor device | RENESAS ELECTRONICS CORPORATION | 1 |
10891987 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION | 1 |
10891988 | Memory modules and memory systems including a power management integrated circuit | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10891989 | Reduction of ZQ calibration time | MICRON TECHNOLOGY, INC. | 1 |
10891990 | Memory device | -- | 1 |
10891991 | Massively parallel, associative multiplier accumulator | GSI TECHNOLOGY INC. | 1 |
10891992 | Bit-line repeater insertion architecture | SYNOPSYS, INC. | 1 |
10891993 | Wave pipeline | MICRON TECHNOLOGY, INC. | 1 |
10891994 | Semiconductor memory device for inputting and outputting data based on data strobe signal and operating method thereof | SK HYNIX INC. | 1 |
10891995 | Command generation method and semiconductor device related to the command generation method | SK HYNIX INC. | 1 |
10891996 | Signal receiver with skew-tolerant strobe gating | RAMBUS INC. | 1 |
10891997 | Memory array with horizontal source line and a virtual source line | SPIN MEMORY, INC. | 1 |
10891998 | Memory device operating based on a write current for a given operation condition and a method of driving the write current | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10891999 | Perpendicular SOT MRAM | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10892000 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION | 1 |
10892001 | Protocol for refresh between a memory controller and a memory device | RAMBUS INC. | 1 |
10892002 | Selectively controlling clock transmission to a data (DQ) system | MICRON TECHNOLOGY, INC. | 1 |
10892003 | Multi-mode memory device and method having stacked memory dice, a logic die and a command processing circuit and operating in direct and indirect modes | MICRON TECHNOLOGY, INC. | 1 |
10892004 | Sub word line driver of semiconductor memory device | SK HYNIX INC. | 1 |
10892005 | Distributed bias generation for an input buffer | MICRON TECHNOLOGY, INC. | 1 |
10892006 | Write leveling for a memory device | MICRON TECHNOLOGY, INC. | 1 |
10892007 | Variable delay word line enable | -- | 1 |
10892008 | Multi word line assertion | -- | 1 |
10892009 | Magnetic wall utilization-analog memory element and magnetic wall utilization analog memory | TDK CORPORATION | 1 |
10892010 | Method for controlling accumulated resistance property of ReRAM device | -- | 1 |
10892011 | Logic drive using standard commodity programmable logic IC chips comprising non-volatile random access memory cells | -- | 1 |
10892012 | Apparatus, video processing unit and method for clustering events in a content addressable memory | INTEL CORPORATION | 1 |
10892013 | Two-port ternary content addressable memory and layout pattern thereof, and associated memory device | -- | 1 |
10892014 | Memory controller, memory system and operating method thereof | SK HYNIX INC. | 1 |
10892015 | Nonvolatile memory device and method of programming in the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10892016 | 3D memory semiconductor devices and structures | MONOLITHIC 3D INC. | 1 |
10892017 | Memory device and a storage system using the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10892018 | Semiconductor memory device and refreshing method of semiconductor memory device | RENESAS ELECTRONICS CORPORATION | 1 |
10892019 | Methods of erasing data in nonvolatile memory devices and nonvolatile memory devices performing the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10892020 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION | 1 |
10892021 | On-die capacitor for a memory device | SANDISK TECHNOLOGIES LLC | 1 |
10892022 | Responding to power loss | MICRON TECHNOLOGY, INC. | 1 |
10892023 | Three-dimensional memory device programming with reduced disturbance | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
10892024 | Scan optimization from stacking multiple reliability specifications | MICRON TECHNOLOGY, INC. | 1 |
10892025 | Soft erase and programming of nonvolatile memory | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10892026 | Memory management method, memory storage device and memory control circuit unit | -- | 1 |
10892027 | Non-volatile memory devices and systems with read-only memory features and methods for operating the same | MICRON TECHNOLOGY, INC. | 1 |
10892028 | Shift register and method of driving the same, gate driving circuit and display device | BOE TECHNOLOGY GROUP CO., LTD. | 1 |
10892029 | Self-adaptive read voltage adjustment using directional error statistics for memories with time-varying error rates | MICRON TECHNOLOGY, INC. | 1 |
10892030 | Memory system with controller and memory chips, where controller can change a set value read level and instruct memory chip to execute read operation with the changed set value | TOSHIBA MEMORY CORPORATION | 1 |
10892031 | Data storage device and control method for non-volatile memory | -- | 1 |
10892032 | Write and read common leveling for 4-bit wide DRAMS | MARVELL ASIA PTE, LTD. | 1 |
10892259 | Apparatus containing circuit-protection devices | MICRON TECHNOLOGY, INC. | 1 |
10892264 | Memory device having 2-transistor vertical memory cell | MICRON TECHNOLOGY, INC. | 1 |
10892265 | Word line structure and method of manufacturing the same | -- | 1 |
10892272 | Semiconductor memory devices including a stress relief region | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10892341 | Flash memory with assistant gate and method of fabricating the same | -- | 1 |
10892400 | Magnetic memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10892401 | Spin current magnetization rotational element, magnetoresistance effect element and magnetic memory | TDK CORPORATION | 1 |
10892404 | Sacrificial buffer layer for metal removal at a bevel edge of a substrate | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10892406 | Phase change memory structures and devices | INTEL CORPORATION | 1 |
10892407 | Apparatus and methods for electrical switching | MASSACHUSETTS INSTITUTE OF TECHNOLOGY | 1 |
10893226 | Focal plane array processing method and apparatus | MASSACHUSETTS INSTITUTE OF TECHNOLOGY | 1 |
10893232 | Controlled-environment facility video communications monitoring system | SECURUS TECHNOLOGIES, LLC | 1 |
10893234 | System and method of dynamic playback variation for multimedia communication | DAVID CLARK COMPANY INCORPORATED | 1 |
10893307 | Video subtitle display method and apparatus | ALIBABA GROUP HOLDING LIMITED | 1 |
10893319 | Systems and methods for resuming a media asset | ROVI GUIDES, INC. | 1 |
10893347 | Intelligent audio playback and community sharing system | PIO NETWORKING PTE. LTD. | 1 |
10894403 | Semiconductor apparatus, liquid discharge head substrate, liquid discharge head, and liquid discharge apparatus | CANON KABUSHIKI KAISHA | 1 |
10895905 | Storage controller, storage device including the same, and operation method of storage controller | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10896033 | Configurable NAND firmware search parameters | MICRON TECHNOLOGY, INC. | 1 |
10896042 | Vector population count determination via comparison iterations in memory | MICRON TECHNOLOGY, INC. | 1 |
10896092 | Memory components with ordered sweep error recovery | MICRON TECHNOLOGY, INC. | 1 |
10896099 | Memory module with non-volatile backup storage | RAMBUS INC | 1 |
10896111 | Data handling circuitry performing memory data handling function and test circuitry performing test operation during execution of memory data processing | ARM LIMITED | 1 |
10896123 | Enhancing the effectiveness of read scan performance and reliability for non-volatile memory | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10896137 | Non-volatile memory for secure storage of authentication data | CRYPTOGRAPHY RESEARCH, INC. | 1 |
10896138 | Dynamically controlling random access memory retention in a wireless device | APPLE INC. | 1 |
10896143 | Configurable termination circuitry | MICRON TECHNOLOGY, INC. | 1 |
10896215 | Video data filtering | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10896242 | Resistive memory device for matrix-vector multiplications | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10896284 | Transforming data to create layouts | MICROSOFT TECHNOLOGY LICENSING, LLC | 1 |
10896342 | Spatio-temporal action and actor localization | QUALCOMM INCORPORATED | 1 |
10896368 | Analog neural memory system for deep learning neural network comprising multiple vector-by-matrix multiplication arrays and shared components | SILICON STORAGE TECHNOLOGY, INC. | 1 |
10896585 | Method and system for provisioning an electronic device | GOOGLE LLC | 1 |
10896633 | Method for driving display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
10896642 | Displays with gate driver circuitry having shared register circuits | APPLE INC. | 1 |
10896690 | Magnetic head with current assisted magnetic recording and method of making thereof | SANDISK TECHNOLOGIES LLC | 1 |
10896691 | Device and method for recording information regarding servo signals | FUJIFILM CORPORATION | 1 |
10896692 | Magnetic tape | FUJIFILM CORPORATION | 1 |
10896693 | Negative thermal expansion layer for heat assisted magnetic recording media | SEAGATE TECHNOLOGY LLC | 1 |
10896694 | Thermal compensation for laser in heat assisted magnetic recording | MARVELL ASIA PTE, LTD. | 1 |
10896695 | Data storage device comprising dual channel preamp circuit | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10896696 | Tape drive system with tape surface inspection unit | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10896697 | Recording tape cartridge storage case and storage case containing recording tape cartridges | FUJIFILM CORPORATION | 1 |
10896698 | Main board and computer apparatus with connectors of both dual in-line package and surface mount technology | -- | 1 |
10896699 | Memory devices including switch circuit that operates regardless of power supply voltage | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10896700 | Control method of environmental temperature of storage drive | HITACHI, LTD. | 1 |
10896701 | High-speed data readout apparatus and CMOS image sensor using the same | SK HYNIX INC. | 1 |
10896702 | Electronic device and method for driving the same | SK HYNIX INC. | 1 |
10896703 | Memory device with an input signal management mechanism | MICRON TECHNOLOGY, INC. | 1 |
10896704 | Apparatus with a calibration mechanism | MICRON TECHNOLOGY, INC. | 1 |
10896705 | Feedback for multi-level signaling in a memory device | MICRON TECHNOLOGY, INC. | 1 |
10896706 | FX driver circuit | MICRON TECHNOLOGY, INC. | 1 |
10896707 | Selective clock adjustment during read and/or write memory operations | ARM LIMITED | 1 |
10896708 | Magnetic memory device | KABUSHIKI KAISHA TOSHIBA | 1 |
10896709 | Integrated circuit memory device and method of operating same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10896710 | Self-boost, source following, and sense-and-hold for accessing memory cells | MICRON TECHNOLOGY, INC. | 1 |
10896711 | Memory device with memory cell structure including ferroelectric data storage layer, and a first gate and a second gate | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10896712 | Open page biasing techniques | MICRON TECHNOLOGY, INC. | 1 |
10896713 | Access line management for an array of memory cells | MICRON TECHNOLOGY, INC. | 1 |
10896714 | Ferroelectric memory cell with access line disturbance mitigation | MICRON TECHNOLOGY, INC. | 1 |
10896715 | Dynamic memory refresh interval to reduce bandwidth penalty | MICRON TECHNOLOGY, INC. | 1 |
10896716 | Stack refresh control for memory device | MICRON TECHNOLOGY, INC. | 1 |
10896717 | Pseudo-non-volatile memory cells | MICRON TECHNOLOGY, INC. | 1 |
10896718 | Multilayered network of power supply lines | MICRON TECHNOLOGY, INC. | 1 |
10896719 | Techniques for clock signal jitter generation | MICRON TECHNOLOGY, INC. | 1 |
10896720 | Apparatuses and methods for drivers with reduced noise | MICRON TECHNOLOGY, INC. | 1 |
10896721 | Controller architecture for reducing on-die capacitance | SEAGATE TECHNOLOGY LLC | 1 |
10896722 | Integrated assemblies having sense-amplifier-circuitry distributed amongst two or more locations, and having circuitry configured to isolate local column-select-structures from a global structure | MICRON TECHNOLOGY, INC. | 1 |
10896723 | Signal communication circuit implementing receiver and transmitter circuits | AMBIENT SCIENTIFIC INC. | 1 |
10896724 | Non-volatile storage system with reduced program transfers | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10896725 | Efficient utilization of memory die area | MICRON TECHNOLOGY, INC. | 1 |
10896726 | Method for reading a cross-point type memory array comprising a two-terminal switching material | -- | 1 |
10896727 | Auto-referenced memory cell read techniques | MICRON TECHNOLOGY, INC. | 1 |
10896728 | Method of writing data in nonvolatile memory device, with divided subpages or subblocks, and method of erasing data in nonvolatile memory device with divided subpages or subblocks | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10896729 | Data write circuit of resistive memory element | TOHOKU UNIVERSITY | 1 |
10896730 | D-MRAM devices and methods for replicating data and read and write operations | ARM LIMITED | 1 |
10896731 | Content addressable memory circuits with threshold switching memristors | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP | 1 |
10896732 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION | 1 |
10896733 | Semiconductor memory device and method of controlling the same | TOSHIBA MEMORY CORPORATION | 1 |
10896734 | Semiconductor memory device and method of operating the same | SK HYNIX INC. | 1 |
10896735 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION | 1 |
10896736 | Semiconductor memory device and NAND-type flash memory erase method | -- | 1 |
10896737 | Semiconductor device | RENESAS ELECTRONICS CORPORATION | 1 |
10896738 | Apparatuses and methods for direct access hybrid testing | MICRON TECHNOLOGY, INC. | 1 |
10896917 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10896919 | Semiconductor integrated circuit device | RENESAS ELECTRONICS CORPORATION | 1 |
10896930 | Memory including a selector switch on a variable resistance memory cell | MICRON TECHNOLOGY, INC. | 1 |
10896932 | Three dimensional memory array | MICRON TECHNOLOGY, INC. | 1 |
10896973 | Oxide-nitride-oxide stack having multiple oxynitride layers | LONGITUDE FLASH MEMORY SOLUTIONS LTD. | 1 |
10897003 | Laminated ceramic electronic component and electronic component assembly | NGK INSULATORS, LTD. | 1 |
10897007 | Magnetic memory device | KABUSHIKI KAISHA TOSHIBA | 1 |
10897008 | Magnetoresistive stacks with an unpinned, fixed synthetic anti-ferromagnetic structure and methods of manufacturing thereof | EVERSPIN TECHNOLOGIES, INC. | 1 |
10897244 | Apparatuses and methods for voltage dependent delay | MICRON TECHNOLOGY, INC. | 1 |
10897248 | Semiconductor device | RENESAS ELECTRONICS CORPORATION | 1 |
10897263 | Multiple paths bootstrap configuration for sample and hold circuit | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) | 1 |
10897271 | Multi-dimensional quasi-cyclic (QC) low-density parity-check (LDPC) code constructions | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10897490 | Systems and methods for augmenting electronic content | E-PLAN, INC. | 1 |
10897593 | Multiple tape video data splitter | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10897606 | System and method for presenting virtual reality content to a user | MINDSHOW INC. | 1 |
10897618 | Techniques for positioning key frames within encoded video sequences | NETFLIX, INC. | 1 |
10897628 | System and method for improved video operations | VERINT AMERICAS INC. | 1 |
10897656 | National insertion of targeted advertisement | INVIDI TECHNOLOGIES CORPORATION | 1 |
10897659 | System and method for enhanced video image recognition using motion sensors | ALPINEREPLAY, INC. | 1 |
10898805 | Video recording and playback systems and methods | SONY INTERACTIVE ENTERTAINMENT INC. | 1 |
10899883 | (Per)fluoropolyether polymers | SOLVAY SPECIALTY POLYMERS ITALY S.P.A. | 1 |
10901029 | Chip and chip burning method | HUAWEI TECHNOLOGIES CO., LTD. | 1 |
10901283 | Liquid crystal display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
10901454 | Clock buffering to reduce memory hold time | QUALCOMM INCORPORATED | 1 |
10901485 | Clock-forwarding memory controller with mesochronously-clocked signaling interface | RAMBUS INC. | 1 |
10901540 | Digital jukebox device with improved user interfaces, and associated methods | TOUCHTUNES MUSIC CORPORATION | 1 |
10901572 | Reproduction device and display control method | SONY CORPORATION | 1 |
10901599 | Playback system, recording medium, and playback control method | RICOH COMPANY, LTD. | 1 |
10901612 | Alternate video summarization | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10901623 | Memory device including mixed non-volatile memory cell types | MICRON TECHNOLOGY, INC. | 1 |
10901625 | Memory system | TOSHIBA MEMORY CORPORATION | 1 |
10901633 | Memory system for increasing a read reclaim count | SK HYNIX INC. | 1 |
10901651 | Memory block erasure | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10901656 | Memory system with soft-read suspend scheme and method of operating such memory system | SK HYNIX INC. | 1 |
10901661 | Apparatus, system, and method of byte addressable and block addressable storage and retrieval of data to and from non-volatile storage memory | XITORE, INC. | 1 |
10901682 | Electronic device with embedded microchip | AFTERMASTER, INC. | 1 |
10901683 | Cadence determination and media content selection | SPOTIFY AB | 1 |
10901686 | Digital jukebox device with improved user interfaces, and associated methods | TOUCHTUNES MUSIC CORPORATION | 1 |
10901839 | Common high and low random bit error correction logic | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10901840 | Error correction decoding with redundancy data | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10901847 | Maintaining logical to physical address mapping during in place sector rebuild | EMC IP HOLDING COMPANY LLC | 1 |
10901848 | Storage systems with peer data recovery | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10901851 | Delay circuitry to hold up power to a mass storage device and method therefor | SANMINA CORPORATION | 1 |
10901884 | Wear levelling in non-volatile memories | -- | 1 |
10901923 | Electronic device, electronic device system and magnetic disk device | KABUSHIKI KAISHA TOSHIBA | 1 |
10901926 | Semiconductor device | SK HYNIX INC. | 1 |
10901939 | Computer architecture with resistive processing units | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10901995 | Performing a search within a data storage library | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10902047 | Information processing method for displaying a plurality of images extracted from a moving image | CANON KABUSHIKI KAISHA | 1 |
10902057 | Image processing apparatus and method of controlling the same | CANON KABUSHIKI KAISHA | 1 |
10902266 | Variable rate time-lapse with saliency | VIVINT, INC. | 1 |
10902794 | Display device | SAMSUNG DISPLAY CO., LTD. | 1 |
10902811 | Shift register, GOA circuit, display device and driving method | BOE TECHNOLOGY GROUP CO., LTD. | 1 |
10902813 | Shift register and display device provided with same | SHARP KABUSHIKI KAISHA | 1 |
10902814 | Semiconductor device and electronic appliance | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
10902867 | Interconnect die for multichannel tape head assembly | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10902868 | Tape head module having recessed portion to provide an air bearing between a tape medium and a tape bearing surface of the module | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10902869 | Magnetic head having a magnetic layer and first and second conductive layers provided between a shield and a magnetic pole and magnetic recording and reproducing device | KABUSHIKI KAISHA TOSHIBA | 1 |
10902870 | Multi-mode, multi-channel magnetic recording head and apparatus | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10902871 | Low-profile ball screw cam elevator mechanism for cold storage data storage device | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10902872 | Managing index writes to a tape medium | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10902873 | Apparatus with multi-channel tape head module having controllable expansion elements | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10902874 | Magnetic tape having characterized magnetic layer, magnetic tape cartridge, and magnetic tape apparatus | FUJIFILM CORPORATION | 1 |
10902875 | Single reel magnetic tape cartridge with pre-defined tape width difference | FUJIFILM CORPORATION | 1 |
10902876 | Thermal management of laser diode mode hopping for heat assisted media recording | SEAGATE TECHNOLOGY LLC | 1 |
10902877 | Recording and reproducing device and recording and reproducing method | FUJIFILM CORPORATION | 1 |
10902878 | Tape device and controller | FUJITSU LIMITED | 1 |
10902879 | Storage system with actuated media player | SEAGATE TECHNOLOGY LLC | 1 |
10902880 | Moveable motor and cover for mobile drive unit turntable | AMAZON TECHNOLOGIES, INC. | 1 |
10902881 | Recording medium, playback method, and playback device | PANASONIC INTELLECTUAL PROPERTY CORPORATION OF AMERICA | 1 |
10902882 | Split band multichannel magnetic recording head | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10902883 | Systems and methods for playing back multimedia files incorporating reduced index structures | DIVX, LLC | 1 |
10902884 | Methods and apparatus for ordered serial synchronization of multimedia streams upon sensor changes | ECHO360, INC. | 1 |
10902885 | Disk device with gasket aligned with cover burrs | KABUSHIKI KAISHA TOSHIBA | 1 |
10902886 | Memory module with buffered memory packages | NETLIST, INC. | 1 |
10902887 | Memory subsystem power management | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10902888 | Sense amplifier, semiconductor storage device, information processing apparatus, and reading method | SONY SEMICONDUCTOR SOLUTIONS CORPORATION | 1 |
10902889 | Memory having bit line sense amplifier | SK HYNIX INC. | 1 |
10902890 | Method, apparatus and system for a per-DRAM addressability mode | INTEL CORPORATION | 1 |
10902891 | Memory controller with staggered request signal output | RAMBUS INC. | 1 |
10902892 | Input buffer circuit having differential amplifier | MICRON TECHNOLOGY, INC. | 1 |
10902893 | Negative bitline write assist circuit and method for operating the same | INTEL CORPORATION | 1 |
10902894 | Semiconductor devices | SK HYNIX INC. | 1 |
10902895 | Configuration bit sequencing control of nonvolatile domain and array wakeup and backup | TEXAS INSTRUMENTS INCORPORATED | 1 |
10902896 | Memory circuit and method thereof | -- | 1 |
10902897 | Apparatuses and methods for setting a duty cycle adjuster for improving clock duty cycle | MICRON TECHNOLOGY, INC. | 1 |
10902898 | Decoding circuit to select a column select line corresponding to an address signal and semiconductor memory device having the same | SK HYNIX INC. | 1 |
10902899 | Apparatuses and method for reducing row address to column address delay | MICRON TECHNOLOGY, INC. | 1 |
10902900 | Magnetic memory device | KABUSHIKI KAISHA TOSHIBA | 1 |
10902901 | Access line management for an array of memory cells | MICRON TECHNOLOGY, INC. | 1 |
10902902 | Memory system and operation method of memory system | SK HYNIX INC. | 1 |
10902903 | Purgeable memory mapped files | APPLE INC. | 1 |
10902904 | Apparatuses and methods for providing multiphase clocks | MICRON TECHNOLOGY, INC. | 1 |
10902905 | Memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10902906 | Apparatuses and methods for logic/memory devices | MICRON TECHNOLOGY, INC. | 1 |
10902907 | Output drivers, and related methods, memory devices, and systems | MICRON TECHNOLOGY, INC. | 1 |
10902908 | Josephson memory and logic circuits using quasi-long-junction interconnect | NORTHROP GRUMMAN SYSTEMS CORPORATION | 1 |
10902909 | Apparatuses and methods for accessing memory cells | MICRON TECHNOLOGY, INC. | 1 |
10902910 | Phase change memory (PCM) with gradual reset characteristics | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10902911 | Transient current-protected threshold switching devices systems and methods | INTEL CORPORATION | 1 |
10902912 | Electrochemical switching device with protective encapsulation | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10902913 | Semiconductor device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION | 1 |
10902914 | Programmable resistive memory element and a method of making the same | CYBERSWARM, INC. | 1 |
10902915 | Resistance change memory cell circuits and methods | HEFEI RELIANCE MEMORY LIMITED | 1 |
10902916 | Semiconductor circuit, driving method, and electronic apparatus | SONY CORPORATION | 1 |
10902917 | Three-dimensional vertical NOR flash thin-film transistor strings | SUNRISE MEMORY CORPORATION | 1 |
10902918 | Semiconductor storage device | TOSHIBA MEMORY CORPORATION | 1 |
10902919 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION | 1 |
10902920 | Driving conductors to target voltage levels | MICRON TECHNOLOGY, INC. | 1 |
10902921 | Flash memory bitcell erase with source bias voltage | TEXAS INSTRUMENTS INCORPORATED | 1 |
10902922 | Nonvolatile memory device storing data in sub-blocks and operating method thereof | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10902924 | Memory system varying pass voltage based on erase count of target memory block and operating method thereof | SK HYNIX INC. | 1 |
10902925 | Peak and average current reduction for open block condition | SANDISKTECHNOLOGIES LLC | 1 |
10902926 | Charge pump and memory device including the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10902927 | Reducing programming disturbance in memory devices | MICRON TECHNOLOGY, INC. | 1 |
10902928 | Memory system, operation method thereof, and nonvolatile memory device | SK HYNIX INC. | 1 |
10902929 | Zone swapping for wear leveling memory | MICRON TECHNOLOGY, INC. | 1 |
10902930 | Shift register, gate driving circuit and driving method, and display apparatus | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. | 1 |
10902931 | Shift register unit and method for driving the same, gate driving circuit, and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. | 1 |
10902932 | Gate drive circuit, method of driving gate drive circuit, display device, and method of manufacturing array substrate | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. | 1 |
10902933 | Test system for executing built-in self-test in deployment for automotive applications | NVIDIA CORPORATION | 1 |
10902934 | Program and operating methods of nonvolatile memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10902935 | Access schemes for access line faults in a memory device | MICRON TECHNOLOGY, INC. | 1 |
10902987 | Spin-orbit torque type magnetization rotation element, spin-orbit torque magnetoresistance effect element, and method of manufacturing spin-orbit torque type magnetization rotation element | TDK CORPORATION | 1 |
10903002 | Method for manufacturing a magnetic memory element using Ru and diamond like carbon hard masks | SPIN MEMORY, INC. | 1 |
10903015 | Capacitive energy storage device | CARVER SCIENTIFIC, INC. | 1 |
10903068 | Oxide-nitride-oxide stack having multiple oxynitride layers | LONGITUDE FLASH MEMORY SOLUTIONS LTD. | 1 |
10903191 | Semiconductor chip for repairing through electrode | SK HYNIX INC. | 1 |
10903216 | Semiconductor memory device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10903217 | Anti-fuse memory cell and a method for forming the anti-fuse memory cell | GLOBALFOUNDRIES SINGAPORE PTE. LTD. | 1 |
10903218 | Methods of incorporating leaker-devices into capacitor configurations to reduce cell disturb, and capacitor configurations incorporating leaker-devices | MICRON TECHNOLOGY, INC. | 1 |
10903219 | Method for making a flash memory device | INTEL CORPORATION | 1 |
10903220 | Integrated assemblies having anchoring structures proximate stacked memory cells, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. | 1 |
10903223 | Driver placement in memories having stacked memory arrays | MICRON TECHNOLOGY, INC. | 1 |
10903228 | Semiconductor storage device | TOSHIBA MEMORY CORPORATION | 1 |
10903229 | Three-dimensional semiconductor memory device with central connection through region | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10903232 | Three-dimensional memory devices containing memory stack structures with laterally separated charge storage elements and method of making thereof | SANDISK TECHNOLOGIES LLC | 1 |
10903271 | Multilayer back end of line (BEOL)-stackable cross-point memory array with complementary pass transistor selectors | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10903277 | Scalable, stackable, and BEOL-process compatible integrated neuron circuit | HRL LABORATORIES, LLC | 1 |
10903325 | Memory transistor with multiple charge storing layers and a high work function gate electrode | LONGITUDE FLASH MEMORY SOLUTIONS LTD. | 1 |
10903342 | Oxide-nitride-oxide stack having multiple oxynitride layers | LONGITUDE FLASH MEMORY SOLUTIONS LTD. | 1 |
10903360 | Vertically integrated memory cells with complementary pass transistor selectors | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10903363 | Ferroelectric semiconductor device | SK HYNIX INC. | 1 |
10903419 | Resistive memory device and method of manufacturing the resistive memory device | SK HYNIX INC. | 1 |
10903595 | Electronic device | KABUSHIKI KAISHA TOSHIBA | 1 |
10903861 | Method and device for generating soft decision detection parameters | KABUSHIKI KAISHA TOSHIBA | 1 |
10904052 | Multiplexing distinct signals on a single pin of a memory device | MICRON TECHNOLOGY, INC. | 1 |
10904474 | Comprehensive video collection and storage | DIGITAL ALLY, INC. | 1 |
10904594 | Systems and methods for providing variable speeds in a trick-play mode | DIVX, LLC | 1 |
10904626 | Technologies for on-demand content player selection | ZYPE INC. | 1 |
10904638 | Device and method for inserting advertisement by using frame clustering | ELEVEN STREET CO., LTD. | 1 |
10904698 | Detecting location within a network | IVANI, LLC | 1 |
10905032 | System and method for heat dissipation of storage device using movable fans | EMC IP HOLDING COMPANY LLC | 1 |
10905991 | Adsorbent breather for enclosure protection | W. L. GORE & ASSOCIATES, INC. | 1 |
10906400 | Vehicular radio and recording system | -- | 1 |
10906748 | Method and apparatus for identifying a mover on a closed track | ROCKWELL AUTOMATION TECHNOLOGIES, INC. | 1 |
10906908 | Ionic liquid, lubricating agent, and magnetic recording medium | DEXERIALS CORPORATION | 1 |
10907248 | Manufacturing method of magnetic recording medium, film formation system of multilayer film, and film formation adjustment method | KABUSHIKI KAISHA TOSHIBA | 1 |
10908210 | Die crack detection | SANDISK TECHNOLOGIES LLC | 1 |
10908211 | Integrated circuit and detection method for multi-chip status thereof | -- | 1 |
10908212 | Semiconductor memory device including a shift register | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10908233 | Magnetic detection device and method for manufacturing the same | ALPS ELECTRIC CO., LTD. | 1 |
10908234 | Magnetoresistance effect element, magnetic sensor and magnetic memory | TDK CORPORATION | 1 |
10908249 | Method and apparatus for monitoring secondary power device, and electronic system including the apparatus | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10908636 | Skew correction for source synchronous systems | SANDISK TECHNOLOGIES LLC | 1 |
10908655 | Storage and labeling system for a USB flash drive | -- | 1 |
10908669 | Methods and apparatus for power management of a memory cell | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC | 1 |
10908682 | Editing cuts in virtual reality | GOOGLE LLC | 1 |
10908761 | Playlists and bookmarks in an interactive media guidance application system | ROVI GUIDES, INC. | 1 |
10908817 | Signal reduction in a microcontroller architecture for non-volatile memory | SANDISK TECHNOLOGIES LLC | 1 |
10908819 | Media drive system with built-in controller for improved functionality | QUANTUM CORPORATION | 1 |
10908827 | Semiconductor memory devices, and memory systems and electronic apparatuses having the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10908829 | Memory calibration method and system, and vehicle system | AUTOCHIPS INC. | 1 |
10908833 | Data migration method for a storage system after expansion and storage system | HUAWEI TECHNOLOGIES CO., LTD. | 1 |
10908842 | Storage device including write buffer memory and method of operating storage device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10908843 | Memory system for managing free pages based on real time clock and operating method thereof | SK HYNIX INC. | 1 |
10908845 | Managing threshold voltage drift based on a temperature-dependent slope of the threshold voltage drift of a memory sub-system | MICRON TECHNOLOGY, INC. | 1 |
10908846 | Memory system and operation method thereof | SK HYNIX INC. | 1 |
10908970 | Data interface for secure analytic data system integration | SALESFORCE.COM, INC. | 1 |
10908986 | Multi-level recovery reads for memory | SANDISK TECHNOLOGIES LLC | 1 |
10908989 | Variable resistance random-access memory and method for write operation having error bit recovering function thereof | -- | 1 |
10908992 | Controller and operation method thereof | SK HYNIX INC. | 1 |
10908993 | Method to deliver in-DRAM ECC information through DDR bus | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10908994 | Memory system and method of controlling nonvolatile memory | TOSHIBA MEMORY CORPORATION | 1 |
10909011 | Intelligent post-packaging repair | MICRON TECHNOLOGY, INC. | 1 |
10909031 | Memory system and operating method thereof | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10909032 | Address scheduling methods for non-volatile memory devices with three-dimensional memory cell arrays | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10909051 | NAND flash reset control | SEAGATE TECHNOLOGY LLC | 1 |
10909052 | Memory system capable of transmitting data directly between memory devices | SK HYNIX INC. | 1 |
10909065 | Multiprocessor system | FUJI XEROX CO., LTD. | 1 |
10909087 | Rollback on a sequential storage medium to a specific point in time | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10909382 | Video rule engine | AMAZON TECHNOLOGIES, INC. | 1 |
10909383 | Predicting future insertion zone metadata | MIRRIAD ADVERTISING PLC | 1 |
10909444 | Floating gate for neural network inference | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10909445 | Floating gate for neural network inference | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10909449 | Monolithic multi-bit weight cell for neuromorphic computing | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10909893 | Shift register circuit, GOA circuit, display device and method for driving the same | BOE TECHNOLOGY GROUP CO., LTD. | 1 |
10909929 | Scan driver | SAMSUNG DISPLAY CO., LTD. | 1 |
10910002 | Write efficiency management for tape cartridge writing | FACEBOOK, INC. | 1 |
10910003 | Process coupons used in manufacturing flexures | HUTCHINSON TECHNOLOGY INCORPORATED | 1 |
10910004 | Disk drive suspension baseplate having enhanced torque retention and method of manufacture | MMI TECHNOLOGIES PTE LTD. | 1 |
10910005 | Tangential and longitudinal glide ramp for magnetic storage device | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10910006 | Double loop heater | SEAGATE TECHNOLOGY LLC | 1 |
10910007 | Heat-assisted magnetic recording device capable of providing negative electrical potential at NFT | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10910008 | Magnetic recording medium having specific surface characteristics and magnetic recording and reproducing device | FUJIFILM CORPORATION | 1 |
10910009 | Magnetic tape having a characterized magnetic layer and method of manufacturing the same | FUJIFILM CORPORATION | 1 |
10910010 | Reversible recording medium | SONY CORPORATION | 1 |
10910011 | Data storage device operated at different spin speeds | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10910012 | Magnetic disk device and method of controlling the same | KABUSHIKI KAISHA TOSHIBA | 1 |
10910013 | Magnetic disk device and write processing method | KABUSHIKI KAISHA TOSHIBA | 1 |
10910014 | Method and apparatus for generating video | BAIDU USA LLC | 1 |
10910015 | Synthesizing a presentation from multiple media clips | GRACENOTE, INC. | 1 |
10910016 | System and method for using, processing, and displaying biometric data | -- | 1 |
10910017 | Reproducing device, reproducing method, information processing device, information processing method, program, and recording medium | SONY CORPORATION | 1 |
10910018 | Disk drive base unit with electrodeposition coating film covering wall except upper wall surface and adjacent inner side surface | NIDEC CORPORATION | 1 |
10910019 | Retractable guide features for data storage device carriers | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10910020 | Three-dimensional memory device containing compact bit line switch circuit and method of making the same | SANDISK TECHNOLOGIES LLC | 1 |
10910021 | Semiconductor device and semiconductor device control method | RENESAS ELECTRONICS CORPORATION | 1 |
10910022 | Semiconductor devices | SK HYNIX INC. | 1 |
10910023 | Semiconductor storage device and memory system | TOSHIBA MEMORY CORPORATION | 1 |
10910024 | Memory device and data reading method thereof | -- | 1 |
10910025 | Flexible utilization of block storage in a computing system | LENOVO ENTERPRISE SOLUTIONS (SINGAPORE) PTE. LTD. | 1 |
10910026 | Clock generation circuit, switching power supply device, and semiconductor device | ROHM CO., LTD. | 1 |
10910027 | Apparatuses and methods for controlling word line discharge | MICRON TECHNOLOGY, INC. | 1 |
10910028 | Memory device in which locations of registers storing fail addresses are merged | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10910029 | Complementary magnetic memory cell | BEIHANG UNIVERSITY | 1 |
10910030 | Memory device for reducing leakage current | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10910031 | Input circuit devices for sense amplifier circuits | ARM LIMITED | 1 |
10910032 | Magnetoresistive memory device with different write pulse patterns | TOSHIBA MEMORY CORPORATION | 1 |
10910033 | Refresh-related activation in memory | MICRON TECHNOLOGY, INC. | 1 |
10910034 | Apparatuses and methods for refreshing memory of a semiconductor device | MICRON TECHNOLOGY, INC. | 1 |
10910035 | Dynamic semiconductor memory device and memory system with temperature sensor | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10910036 | Semiconductor memory device | -- | 1 |
10910037 | Apparatuses and methods for input receiver circuits and receiver masks for same | MICRON TECHNOLOGY, INC. | 1 |
10910038 | DRAM array architecture with row hammer stress mitigation | MICRON TECHNOLOGY, INC. | 1 |
10910039 | Semiconductor device with a plurality of surrounding gate transistors | UNISANTIS ELECTRONICS SINGAPORE PTE. LTD. | 1 |
10910040 | Memory circuit | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES | 1 |
10910041 | SRAM cell with dynamic split ground and split wordline | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10910042 | Circuit structure for obtaining critical word line voltage | -- | 1 |
10910043 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION | 1 |
10910044 | State coding for fractional bits-per-cell memory | SANDISK TECHNOLOGIES LLC | 1 |
10910045 | Storage device having improved cache performance and method of operating the same | SK HYNIX INC. | 1 |
10910046 | Flash memory with reference voltage generation from a plurality of cells | X-FAB SEMICONDUCTOR FOUNDRIES GMBH | 1 |
10910047 | Storage device and method of operating the same | SK HYNIX INC. | 1 |
10910048 | Extended memory communication | MICRON TECHNOLOGY, INC. | 1 |
10910049 | Sub-word line driver circuit | MICRON TECHNOLOGY, INC. | 1 |
10910050 | ReRAM memory cell having dual word line control | MICROCHIP TECHNOLOGY INC. | 1 |
10910051 | Method and electronic circuit for verifying operation performed by cell of RRAM | -- | 1 |
10910052 | Material implication operations in memory | MICRON TECHNOLOGY, INC. | 1 |
10910053 | Method of exchanging data with memory cells | NIKOLAEV | 1 |
10910054 | Charge separation for memory sensing | MICRON TECHNOLOGY, INC. | 1 |
10910055 | System and method for reducing power consumption of memory device | RENESAS ELECTRONICS CORPORATION | 1 |
10910056 | Semiconductor device | RENESAS ELECTRONICS CORPORATION | 1 |
10910057 | CAM storage schemes and CAM read operations for detecting matching keys with bit errors | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10910058 | Shared source line memory architecture for flash cell byte-alterable high endurance data memory | MICROCHIP TECHNOLOGY INCORPORATED | 1 |
10910059 | Nonvolatile semiconductor memory device | TOSHIBA MEMORY CORPORATION | 1 |
10910060 | Select line voltage waveform real-time monitor for non-volatile memory | SANDISK TECHNOLOGIES LLC | 1 |
10910061 | Method and apparatus for programming analog neural memory in a deep learning artificial neural network | SILICON STORAGE TECHNOLOGY, INC. | 1 |
10910062 | Random bit cell with nonvolatile memory cell | -- | 1 |
10910063 | Memory device and operating method thereof | SK HYNIX INC. | 1 |
10910064 | Location dependent impedance mitigation in non-volatile memory | SANDISK TECHNOLOGIES LLC | 1 |
10910065 | Memory system and operating method thereof | SK HYNIX INC. | 1 |
10910066 | Memory system | TOSHIBA MEMORY CORPORATION | 1 |
10910067 | Memory system | TOSHIBA MEMORY CORPORATION | 1 |
10910068 | Memory system and nonvolatile memory | KIOXIA CORPORATION | 1 |
10910069 | Manage source line bias to account for non-uniform resistance of memory cell source lines | SANDISK TECHNOLOGIES LLC | 1 |
10910070 | Storage device and operating method thereof | SK HYNIX INC. | 1 |
10910071 | Voltage generator and method of operating the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10910072 | Accurate self-calibrated negative to positive voltage conversion circuit and method | SANDISK TECHNOLOGIES LLC | 1 |
10910073 | Memory system, control method thereof, and program | TOSHIBA MEMORY CORPORATION | 1 |
10910074 | Memory controller and method of operating the same | SK HYNIX INC. | 1 |
10910075 | Programming process combining adaptive verify with normal and slow programming speeds in a memory device | SANDISK TECHNOLOGIES LLC | 1 |
10910076 | Memory cell mis-shape mitigation | SANDISK TECHNOLOGIES LLC | 1 |
10910077 | Operation method of a nonvolatile memory device for controlling a resume operation | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10910078 | Method of forming a one-time-programming (OTP) bit | NS POLES TECHNOLOGY CORP. | 1 |
10910079 | Programming device arranged to obtain and store a random bit string in a memory device | INTRINSIC ID B.V. | 1 |
10910080 | Nonvolatile memory device configured to adjust a read parameter based on degradation level | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10910081 | Management of test resources to perform reliability testing of memory components | MICRON TECHNOLOGY, INC. | 1 |
10910082 | Apparatus and method | ARM LIMITED | 1 |
10910083 | Leaky memory hole repair at fabrication joint | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10910255 | Arrays of cross-point memory structures | MICRON TECHNOLOGY, INC. | 1 |
10910368 | Circuit structure | -- | 1 |
10910389 | Methods and apparatuses with vertical strings of memory cells and support circuitry | MICRON TECHNOLOGY, INC. | 1 |
10910390 | Memory device and forming method thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
10910393 | 3D NOR memory having vertical source and drain structures | -- | 1 |
10910394 | Semiconductor device | RENESAS ELECTRONICS CORPORATION | 1 |
10910434 | Magnetoresistive stack with seed region and method of manufacturing the same | EVERSPIN TECHNOLOGIES, INC. | 1 |
10910435 | Stackable symmetrical operation memory bit cell structure with bidirectional selectors | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10910436 | Asymmetric selectors for memory cells | INTEL CORPORATION | 1 |
10910544 | Using a magnetic Josephson junction device as a pi inverter | MICROSOFT TECHNOLOGY LICENSING, LLC | 1 |
10910552 | Magnetic memory device, method for manufacturing the same, and substrate treating apparatus | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10910555 | Magnetic memory element incorporating perpendicular enhancement layer | AVALANCHE TECHNOLOGY, INC. | 1 |
10910556 | Magnetic and spin logic devices based on Jahn-Teller materials | INTEL CORPORATION | 1 |
10910557 | Apparatus and methods of fabricating a magneto-resistive random access memory (MRAM) device | APPLIED MATERIALS, INC. | 1 |
10910558 | Memory device | STMICROELECTRONICS S.R.L. | 1 |
10910559 | Optoelectronic memristor devices including one or more solid electrolytes with electrically controllable optical properties | MASSACHUSETTS INSTITUTE OF TECHNOLOGY | 1 |
10910561 | Reduced diffusion in metal electrode for two-terminal memory | CROSSBAR, INC. | 1 |
10910907 | Motor | NIDEC CORPORATION | 1 |
10911060 | Low power device for high-speed time-interleaved sampling | XILINX, INC. | 1 |
10911229 | Unchangeable physical unclonable function in non-volatile memory | -- | 1 |
10911689 | Methods and apparatus using long exposure video for virtual reality headset | INTEL IP CORPORATION | 1 |
10911712 | Emergency assistance method and device for a firearm | SOLID PROOF TECHNOLOGIES LLC | 1 |
10911716 | System and method for interactive video conferencing | TOUCHCAST LLC | 1 |
10911815 | Personalized recap clips | AMAZON TECHNOLOGIES, INC. | 1 |
10911825 | Apparatus and method for displaying video and comments | NOKIA TECHNOLOGIES OY | 1 |
10911831 | Information processing apparatus, information processing method, program, and information processing system | SONY CORPORATION | 1 |
10911839 | Providing smart tags | SONY CORPORATION | 1 |
10911893 | Contact tracing via location service | DECURTIS LLC | 1 |
10914786 | Test mode set circuit and method of semiconductor device | SK HYNIX INC. | 1 |
10915133 | Non-dominant pole tracking compensation for large dynamic current and capacitive load reference generator | SANDISK TECHNOLOGIES LLC | 1 |
10915158 | Control system and control method for DDR SDRAM system with shared power domain | HUAWEI TECHNOLOGIES CO., LTD. | 1 |
10915223 | Mobile terminal and method for controlling the same | LG ELECTRONICS INC. | 1 |
10915239 | Providing bitmap image format files from media | FOX BROADCASTING COMPANY, LLC | 1 |
10915249 | Apparatuses and methods for in-memory operations | MICRON TECHNOLOGY, INC. | 1 |
10915259 | Memory device, memory controller and memory system including them, and method for operating them for matching operation mode of memory interfaces | SK HYNIX INC. | 1 |
10915263 | Apparatuses and methods for partitioned parallel data movement | MICRON TECHNOLOGY, INC. | 1 |
10915266 | Storage device | TOSHIBA MEMORY CORPORATION | 1 |
10915319 | Two dimensional masked shift instruction | GOOGLE LLC | 1 |
10915321 | Apparatuses and methods for memory operations having variable latencies | MICRON TECHNOLOGY, INC. | 1 |
10915388 | Data storage device and associated operating method capable of detecting errors and effectively protecting data | -- | 1 |
10915393 | Semiconductor device and failure detection system | RENESAS ELECTRONICS CORPORATION | 1 |
10915394 | Schemes for protecting data in NVM device using small storage footprint | APPLE INC. | 1 |
10915395 | Read retry with targeted auto read calibrate | MICRON TECHNOLOGY, INC. | 1 |
10915396 | Soft-input soft-output component code decoder for generalized low-density parity-check codes | SK HYNIX INC. | 1 |
10915442 | Managing block arrangement of super blocks | -- | 1 |
10915451 | Bandwidth boosted stacked memory | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10915457 | Memory access control through permissions specified in page table entries for execution domains | MICRON TECHNOLOGY, INC. | 1 |
10915474 | Apparatuses and methods including memory commands for semiconductor memories | MICRON TECHNOLOGY, INC. | 1 |
10915486 | Ingress data placement | AMAZON TECHNOLOGIES, INC. | 1 |
10915487 | Switching reduction bus using data bit inversion | MICRON TECHNOLOGY, INC. | 1 |
10915621 | Key generating method and apparatus using characteristic of memory | -- | 1 |
10915715 | System and method for identifying and tagging assets within an AV file | DISNEY ENTERPRISES, INC. | 1 |
10915757 | Systems and methods for determining video highlight based on conveyance positions of video content capture | GOPRO, INC. | 1 |
10915773 | Statistics operations on two dimensional image processor | GOOGLE LLC | 1 |
10915775 | Noise detection circuit, noise detection method, and print recognition apparatus | BOE TECHNOLOGY GROUP CO., LTD. | 1 |
10916000 | Apparatus and method for dynamic range transforming of images | KONINKLIJKE PHILIPS N.V. | 1 |
10916166 | Shift register unit, shift register circuitry and display device | BOE TECHNOLOGY GROUP CO., LTD. | 1 |
10916178 | Gate driver on array circuit and driving method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. | 1 |
10916208 | Display device | JAPAN DISPLAY INC. | 1 |
10916213 | Shift register and method for driving the same, gate driving circuit, and display device | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. | 1 |
10916214 | Electrical level processing circuit, gate driving circuit and display device | FUZHOU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. | 1 |
10916229 | Beat decomposition to facilitate automatic video editing | SOCLIP! | 1 |
10916261 | True one turn (T1T) perpendicular magnetic recording (PMR) writer designs | HEADWAY TECHNOLOGIES, INC. | 1 |
10916262 | Near field transducers including copper based alloys | SEAGATE TECHNOLOGY LLC | 1 |
10916263 | Split yoke design for high data rate TAMR writer head structure | HEADWAY TECHNOLOGIES, INC. | 1 |
10916264 | Suspension assembly, head suspension assembly and disk device with the same | KABUSHIKI KAISHA TOSHIBA | 1 |
10916265 | Multi-layer actuator electrode configuration for resonance improvement | MAGNECOMP CORPORATION | 1 |
10916266 | Magnetic disk device capable of setting recording condition using servo pattern | KABUSHIKI KAISHA TOSHIBA | 1 |
10916267 | Aluminum alloy substrate for magnetic recording medium and method for manufacturing the same, substrate for magnetic recording medium, magnetic recording medium, and hard disc drive | SHOWA DENKO K.K. | 1 |
10916268 | Aluminum alloy substrate for magnetic recording medium and method for manufacturing the same, substrate for magnetic recording medium, magnetic recording medium, and hard disc drive | SHOWA DENKO K.K. | 1 |
10916269 | Magnet for motor of magnetic storage device | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10916270 | Two dimensional magnetic recording (TDMR) off-track performance improvement | MARVELL ASIA PTE, LTD. | 1 |
10916271 | Eliminating lead screw hysteresis for vertically translating load/unload ramp mechanism for data storage device | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10916272 | Systems and methods for generating time-lapse videos | GOPRO, INC. | 1 |
10916273 | Plugging calibration tool | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10916274 | Power management integrated circuits and semiconductor memory modules including power management integrated circuits | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10916275 | Write driver and pre-charge circuitry for high performance pseudo-dual port (PDP) memories | QUALCOMM INCORPORATED | 1 |
10916276 | Nonvolatile memory and memory system | TOSHIBA MEMORY CORPORATION | 1 |
10916277 | Memory device and operating method thereof | SK HYNIX INC. | 1 |
10916278 | Memory controller and memory data receiving method for generate better sampling clock signal | -- | 1 |
10916279 | Method of controlling on-die termination and system performing the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10916280 | Securely sharing a memory between an embedded controller (EC) and a platform controller hub (PCH) | DELL PRODUCTS L.P. | 1 |
10916281 | Magnetic memory apparatus | KABUSHIKI KAISHA TOSHIBA | 1 |
10916282 | Control of switching trajectory in spin orbit torque devices by micromagnetic configuration | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10916283 | Magnetic domain wall movement element and magnetic recording array | TDK CORPORATION | 1 |
10916284 | Metallic magnetic memory devices for cryogenic operation and methods of operating the same | SANDISK TECHNOLOGIES LLC | 1 |
10916285 | Semiconductor storage device, information processing apparatus, and reference potential setting method | SONY SEMICONDUCTOR SOLUTIONS CORPORATION | 1 |
10916286 | Assisted write method for MRAM testing and field applications | -- | 1 |
10916287 | Ferroelectric memory device containing a series connected select gate transistor and method of forming the same | SANDISK TECHNOLOGIES LLC | 1 |
10916288 | Sensing techniques for a memory cell | MICRON TECHNOLOGY, INC. | 1 |
10916289 | Apparatuses and methods including ferroelectric memory and for accessing ferroelectric memory | MICRON TECHNOLOGY, INC. | 1 |
10916290 | Memory system having combined high density, low bandwidth and low density, high bandwidth memories | APPLE INC. | 1 |
10916291 | Approximate memory architecture and data processing apparatus having the same | SEOUL NATIONAL UNIVERSITY R&DB FOUNDATION | 1 |
10916292 | Performing a refresh operation based on system characteristics | MICRON TECHNOLOGY, INC. | 1 |
10916293 | Target row refresh mechanism capable of effectively determining target row address to effectively mitigate row hammer errors without using counter circuit | -- | 1 |
10916294 | Apparatuses and methods for concentrated arrangement of amplifiers | MICRON TECHNOLOGY, INC. | 1 |
10916295 | Memory arrays with vertical thin film transistors coupled between digit lines | MICRON TECHNOLOGY, INC. | 1 |
10916296 | Semiconductor structure and manufacturing method thereof | -- | 1 |
10916297 | Memory device comprising an electrically floating body transistor | ZENO SEMICONDUCTOR, INC | 1 |
10916298 | Dynamic power reduction in SRAM | AMBIENT SCIENTIFIC INC. | 1 |
10916299 | Semiconductor storage device and operation method thereof | TOSHIBA MEMORY CORPORATION | 1 |
10916300 | Semiconductor memory device and memory system | TOSHIBA MEMORY CORPORATION | 1 |
10916301 | Data storage device and operating method thereof | SK HYNIX INC. | 1 |
10916302 | Method for operating a non-volatile memory cell | -- | 1 |
10916303 | Resistive memory apparatus and method of operating a resistive memory apparatus | NXP USA, INC. | 1 |
10916304 | Semiconductor storage device having alternately stacked bit lines and word lines, and first and second connection wirings to bit line contacts, that extend in opposite directions with respect thereto | TOSHIBA MEMORY CORPORATION | 1 |
10916305 | RRAM-based monotonic counter | -- | 1 |
10916306 | Burst mode operation conditioning for a memory device | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10916307 | Resistive memory apparatus and operating method thereof | -- | 1 |
10916308 | 3D flash memory module and healing and operating methods of 3D flash memory | -- | 1 |
10916309 | Semiconductor memory device and operating method thereof | SK HYNIX INC. | 1 |
10916310 | Memory system, memory controller, and memory control method | -- | 1 |
10916311 | Flash memory and operation method thereof | GIGADEVICE SEMICONDUCTOR (BEIJING) INC. | 1 |
10916312 | Memory system performing read of nonvolatile semiconductor memory device | TOSHIBA MEMORY CORPORATION | 1 |
10916313 | Apparatus and methods including establishing a negative body potential in a memory cell | MICRON TECHNOLOGY, INC. | 1 |
10916314 | Non-volatile memory device and a read method thereof | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10916315 | Nonvolatile memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10916316 | Managed NAND performance throttling | MICRON TECHNOLOGY, INC. | 1 |
10916317 | Programmable resistance memory on thin film transistor technology | -- | 1 |
10916318 | Magnetic storage device | TOSHIBA MEMORY CORPORATION | 1 |
10916319 | Pulse output circuit, shift register and display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
10916320 | Shift register unit, driving method thereof, gate drive circuit, and display device | CHONGQING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. | 1 |
10916321 | Circuit with capacitors and corresponding method | INFINEON TECHNOLOGIES AG | 1 |
10916322 | Testing memory cells by allocating an access value to a memory access and granting an access credit | INFINEON TECHNOLOGIES AG | 1 |
10916323 | Memory interface latch with integrated write-through and fence functions | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10916324 | Data state synchronization involving memory cells having an inverted data state written thereto | MICRON TECHNOLOGY, INC. | 1 |
10916325 | Memory chip and test system including the same | SK HYNIX INC. | 1 |
10916326 | System and method for determining DIMM failures using on-DIMM voltage regulators | DELL PRODUCTS L.P. | 1 |
10916327 | Apparatuses and methods for fuse latch and match circuits | MICRON TECHNOLOGY, INC. | 1 |
10916489 | Memory core chip having TSVS | MICRON TECHNOLOGY, INC. | 1 |
10916516 | High bandwidth memory (HBM) bandwidth aggregation switch | XILINX, INC. | 1 |
10916543 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10916548 | Memory arrays with vertical access transistors | MICRON TECHNOLOGY, INC. | 1 |
10916550 | Memory devices with gate all around transistors | -- | 1 |
10916551 | Memory cell structure | -- | 1 |
10916553 | 3D vertical NAND memory device including multiple select lines and control lines having different vertical spacing | MICRON TECHNOLOGY, INC. | 1 |
10916558 | NOR flash memory and method of fabricating the same | -- | 1 |
10916559 | Nonvolatile semiconductor memory device and manufacturing method thereof | KIOXIA CORPORATION | 1 |
10916571 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
10916582 | Vertically-strained silicon device for use with a perpendicular magnetic tunnel junction (PMTJ) | SPIN MEMORY, INC. | 1 |
10916583 | Monolithic integrated circuits with multiple types of embedded non-volatile memory devices | INTEL CORPORATION | 1 |
10916652 | Asymmetric transistors and related devices and methods | INTEL CORPORATION | 1 |
10916654 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION | 1 |
10916695 | Electronic device and method for fabricating the same | SK HYNIX INC. | 1 |
10916696 | Method for manufacturing magnetic memory element with post pillar formation annealing | SPIN MEMORY, INC. | 1 |
10916697 | Memory device and method of manufacturing the same | -- | 1 |
10916698 | Semiconductor storage device including hexagonal insulating layer | TOSHIBA MEMORY CORPORATION | 1 |
10916699 | Resistive memory crossbar array employing selective barrier layer growth | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10917093 | Self-adaptive termination impedance circuit | MICRON TECHNOLOGY, INC. | 1 |
10917094 | Stripe based self-gating for retiming pipelines | ADVANCED MICRO DEVICES, INC. | 1 |
10917108 | Signal processing apparatus and signal processing method | SONY CORPORATION | 1 |
10917118 | Memory system | TOSHIBA MEMORY CORPORATION | 1 |
10917119 | Data storage system and associated data storing method for reducing data error rate | -- | 1 |
10917321 | Disaggregated physical memory resources in a data center | INTEL CORPORATION | 1 |
10917450 | Delivery of high-fidelity content | COMPANY 3 / METHOD INC. | 1 |
10917607 | Editing text in video captions | FACEBOOK TECHNOLOGIES, LLC | 1 |
10917644 | Iterative techniques for encoding video content | NETFLIX, INC. | 1 |
10917703 | System and method for generating a custom summary of unconsumed portions of a series of media assets | ROVI GUIDES, INC. | 1 |
10917721 | Device and method of performing automatic audio focusing on multiple objects | LG ELECTRONICS INC. | 1 |
10917997 | Drive cage panels to divert flows of air | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP | 1 |
10918273 | Jigs for use in medical imaging and methods for using thereof | BODY VISION MEDICAL LTD. | 1 |
10918325 | Brain wave measuring device and brain wave measuring system | FUJI XEROX CO., LTD. | 1 |
10919316 | Printing apparatus and printing method | CANON KABUSHIKI KAISHA | 1 |
10919778 | Method for producing iron-based oxide magnetic particle powder | DOWA ELECTRONICS MATERIALS CO., LTD. | 1 |
10921197 | Visualization and manipulation of micro-scale calorimeter chamber data matrices | THE BOEING COMPANY | 1 |
10921390 | Magnetic attack detection in a magnetic random access memory (MRAM) | NXP USA, INC. | 1 |
10921392 | Stacked structure, magnetoresistive effect element, magnetic head, sensor, high frequency filter, and oscillator | TDK CORPORATION | 1 |
10921839 | Switchable power supply | -- | 1 |
10921971 | Methods and systems for presenting multiple live video feeds in a user interface | GOOGLE LLC | 1 |
10921992 | Method and system for data placement in a hard disk drive based on access frequency for improved IOPS and utilization efficiency | ALIBABA GROUP HOLDING LIMITED | 1 |
10921995 | Systems and methods for packing data in a scalable memory system protocol | MICRON TECHNOLOGY, INC. | 1 |
10921996 | Data lines updating for data generation | MICRON TECHNOLOGY, INC. | 1 |
10922006 | System and method for storing redundant information | COMMVAULT SYSTEMS, INC. | 1 |
10922010 | Secure data removal | MICRON TECHNOLOGY, INC. | 1 |
10922013 | Suspending and resuming a read operation for a non-volatile memory | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10922017 | Memories for reading data corresponding to multiple addresses associated with a read command | MICRON TECHNOLOGY, INC. | 1 |
10922019 | Data writing method, memory controlling circuit unit and memory storage device | -- | 1 |
10922020 | Writing and querying operations in content addressable memory systems with content addressable memory buffers | MICRON TECHNOLOGY, INC. | 1 |
10922023 | Method for accessing code SRAM and electronic device | SHENZHEN GOODIX TECHNOLOGY CO., LTD. | 1 |
10922025 | Nonvolatile memory bad row management | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10922057 | Transposing in a matrix-vector processor | GOOGLE LLC | 1 |
10922168 | Dynamic link error protection in memory systems | QUALCOMM INCORPORATED | 1 |
10922169 | Error detecting memory device | GSI TECHNOLOGY INC. | 1 |
10922170 | Memory module including a volatile memory device, memory system including the memory module and methods of operating a multi-module memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10922171 | Error correction code circuits, semiconductor memory devices and memory systems | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10922172 | On the fly raid parity calculation | TOSHIBA MEMORY CORPORATION | 1 |
10922203 | Fault injection architecture for resilient GPU computing | NVIDIA CORPORATION | 1 |
10922220 | Read and program operations in a memory device | INTEL CORPORATION | 1 |
10922221 | Memory management | MICRON TECHNOLOGY, INC. | 1 |
10922222 | Data processing system and operating method for generating physical address update history | SK HYNIX INC. | 1 |
10922247 | Interface components | MICRON TECHNOLOGY, INC. | 1 |
10922261 | Memory clock frequency adjusting method, mainboard, and computer operating system | -- | 1 |
10922262 | Semiconductor layered device with data bus inversion | MICRON TECHNOLOGY, INC. | 1 |
10922274 | Method and apparatus for performing auto-naming of content, and computer-readable recording medium thereof | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10922465 | Multi-input logic circuitry | ARM LIMITED | 1 |
10922599 | Memristor based storage of asset events | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP | 1 |
10922605 | Semiconductor device and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
10922642 | System and method for linking an event to video documenting the event | HALL LABS LLC | 1 |
10922784 | Image processing apparatus and image processing method that set a switch speed to switch a series of images from one to another in a sequential display with the faster the speed, the larger a region output from the images | CANON KABUSHIKI KAISHA | 1 |
10923007 | Shift register unit, gate drive circuit, and display device | HEFEI BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. | 1 |
10923008 | Shift register and method of driving the same, gate driving circuit, and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. | 1 |
10923020 | Shift register unit and driving method thereof, gate driving circuit and display panel | BOE TECHNOLOGY GROUP CO., LTD. | 1 |
10923037 | Gate driving circuit, method for implementing gate driving circuit, and method for driving gate driving circuit | BOE TECHNOLOGY GROUP CO., LTD. | 1 |
10923040 | Gate driver and electroluminescent display including the same | LG DISPLAY CO., LTD. | 1 |
10923061 | Gate driving circuit with reduced power consumption and display device including the same | SAMSUNG DISPLAY CO., LTD. | 1 |
10923064 | Scanning signal line drive circuit and display device equipped with same | SHARP KABUSHIKI KAISHA | 1 |
10923066 | Display device | JAPAN DISPLAY INC. | 1 |
10923134 | Method, apparatus and system for embedding data within a data stream | SONIC DATA LIMITED | 1 |
10923143 | Magnetic tape device capable of determining the vertical position of magnetic head based on pattern combinations comprising servo band identifiers | QUANTUM LTO HOLDINGS, LLC | 1 |
10923144 | Magnetic disk device and read processing method | KABUSHIKI KAISHA TOSHIBA | 1 |
10923145 | Microwave-assisted magnetic recording (MAMR) write head with compensation for DC shunting field | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10923146 | Data storage device employing triangle-like dither to spread track squeeze | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10923147 | Magnetic media design with multiple non-magnetic exchange control layers | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10923148 | Magnetic recording medium | SONY CORPORATION | 1 |
10923149 | Aluminum alloy substrate for magnetic recording medium and method for manufacturing the same, substrate for magnetic recording medium, magnetic recording medium, and hard disc drive | SHOWA DENKO K.K. | 1 |
10923150 | Method for producing magnetic recording medium | FUJI ELECTRIC CO., LTD. | 1 |
10923151 | Illumination control device, illumination control method and illumination control program | ALPHATHETA CORPORATION | 1 |
10923152 | Partial updates for shingled magnetic recording devices | AMAZON TECHNOLOGIES, INC. | 1 |
10923153 | Non-linear program planner, preparation, and delivery system | SCRIPPS NETWORKS INTERACTIVE, INC. | 1 |
10923154 | Systems and methods for determining highlight segment sets | GOPRO, INC. | 1 |
10923155 | Synthesizing a presentation from multiple media clips | GRACENOTE, INC. | 1 |
10923156 | Method and system for facilitating low-cost high-throughput storage for accessing large-size I/O blocks in a hard disk drive | ALIBABA GROUP HOLDING LIMITED | 1 |
10923157 | System and method for event data collection and video alignment | SCOREBREAK, LLC | 1 |
10923158 | Dynamic sequential image processing | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10923159 | Event detection through variable bitrate of a video | ALARM.COM INCORPORATED | 1 |
10923160 | Testing assembly for sealed hard disk drives | SEAGATE TECHNOLOGY LLC | 1 |
10923161 | Bitcell wordline strapping circuitry | ARM LIMITED | 1 |
10923162 | Memory devices | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10923163 | Sequential memory operation without deactivating access line signals | MICRON TECHNOLOGY, INC. | 1 |
10923164 | Dual power I/O transmitter | INTEL CORPORATION | 1 |
10923165 | Stacked memory device, a system including the same and an associated method | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10923166 | Semiconductor devices performing a write leveling training operation and semiconductor systems including the semiconductor devices | SK HYNIX INC. | 1 |
10923167 | Semiconductor devices | SK HYNIX INC. | 1 |
10923168 | Method of making magnetic tunnel junction memory device with stress inducing layers | SK HYNIX INC. | 1 |
10923169 | Magnetic recording array and magnetic recording device | TDK CORPORATION | 1 |
10923170 | Determining bias configuration for write operations in memory to improve device performance during normal operation as well as to improve the effectiveness of testing routines | EVERSPIN TECHNOLOGIES, INC. | 1 |
10923171 | Semiconductor device performing refresh operation in deep sleep mode | MICRON TECHNOLOGY, INC. | 1 |
10923172 | Apparatuses and methods for multi-bank refresh timing | MICRON TECHNOLOGY, INC. | 1 |
10923173 | Voltage generating circuit, semiconductor memory device, and voltage generating method | LAPIS SEMICONDUCTOR CO., LTD. | 1 |
10923174 | Electronic device and operating method thereof | SK HYNIX INC. | 1 |
10923175 | Memory device adjusting duty cycle and memory system having the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10923176 | Signal timing alignment based on a common data strobe in memory devices configured for stacked arrangements | III HOLDINGS 2, LLC | 1 |
10923177 | Delay-locked loop, memory device, and method for operating delay-locked loop | -- | 1 |
10923178 | Data storage with improved write performance for preferred user data | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10923179 | Memory device and operating method thereof | SK HYNIX INC. | 1 |
10923180 | Sensing techniques using a charge transfer device | MICRON TECHNOLOGY, INC. | 1 |
10923181 | Semiconductor memory device and memory system having the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10923182 | Fixed-level charge sharing type LCV for memory compiler | -- | 1 |
10923183 | Memory device comprising electrically floating body transistor | ZENO SEMICONDUCTOR, INC. | 1 |
10923184 | Dual rail SRAM device | -- | 1 |
10923185 | SRAM with burst mode operation | QUALCOMM INCORPORATED | 1 |
10923186 | Semiconductor memory device to hold 5-bits of data per memory cell | TOSHIBA MEMORY CORPORATION | 1 |
10923187 | Storage device and control method for controlling operations of the storage device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION | 1 |
10923188 | Macro storage cell composed of multiple storage devices each capable of storing more than two states | INTEL CORPORATION | 1 |
10923189 | Memory device | TOSHIBA MEMORY CORPORATION | 1 |
10923190 | Memory device | TOSHIBA MEMORY CORPORATION | 1 |
10923191 | 3D SRAM/ROM with several superimposed layers and reconfigurable by transistor rear biasing | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES | 1 |
10923192 | Memory system and operating method thereof | SK HYNIX INC. | 1 |
10923193 | Memory device including voltage generating circuit | SK HYNIX INC. | 1 |
10923194 | Method for erasing memory cells in a flash memory device using a positive well bias voltage and a negative word line voltage | CONVERSANT INTELLECTUAL PROPERTY MANAGEMENT INC. | 1 |
10923195 | Nonvolatile memory device, an operating method thereof, and a storage system including the nonvolatile memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10923196 | Erase operation in 3D NAND | SANDISK TECHNOLOGIES LLC | 1 |
10923197 | Memory device with compensation for erase speed variations due to blocking oxide layer thinning | SANDISK TECHNOLOGIES LLC | 1 |
10923198 | Memory device and memory system including the same | SK HYNIX INC. | 1 |
10923199 | Peak current management in a memory array | MICRON TECHNOLOGY, INC. | 1 |
10923200 | Apparatus and methods for determining read voltages for a read operation | MICRON TECHNOLOGY, INC. | 1 |
10923201 | Memory device and method of operating the memory device | SK HYNIX INC. | 1 |
10923202 | Host-resident translation layer triggered host refresh | MICRON TECHNOLOGY, INC. | 1 |
10923203 | Semiconductor device and method of operating semiconductor device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10923204 | Fully testible OTP memory | -- | 1 |
10923205 | Memory devices comprising magnetic tracks individually comprising a plurality of magnetic domains having domain walls and methods of forming a memory device comprising magnetic tracks individually comprising a plurality of magnetic domains having domain walls | MICRON TECHNOLOGY, INC. | 1 |
10923206 | Shift register unit for display and driving method thereof, gate driving device | BOE TECHNOLOGY GROUP CO., LTD. | 1 |
10923207 | Shift register unit and method for driving the same, gate driving circuit and display apparatus | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. | 1 |
10923208 | Shift register unit, shift register circuit and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. | 1 |
10923209 | Semiconductor memory device | -- | 1 |
10923210 | Memory device including load generator and method of operating the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10923211 | Efficient scrambling and encoding for copyback procedures in a memory subsystem | MICRON TECHNOLOGY, INC. | 1 |
10923212 | Memory control method, memory storage device and memory control circuit unit | -- | 1 |
10923484 | Integrated circuit including at least one memory cell with an antifuse device | STMICROELECTRONICS (ROUSSET) SAS | 1 |
10923489 | Three-dimensional semiconductor devices including vertical structures | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10923493 | Microelectronic devices, electronic systems, and related methods | MICRON TECHNOLOGY, INC. | 1 |
10923501 | Ferroelectric memory device and method of manufacturing the same | SK HYNIX INC. | 1 |
10923531 | Nonvolatile memory device having an oxidized magnetic material film around a magnetic material layer and method of manufacturing the same | SONY CORPORATION | 1 |
10923533 | Semiconductor device having a volatile element and a plurality of non-volatile elements | SONY CORPORATION | 1 |
10923646 | Superconducting switch having a persistent and a non-persistent state | MICROSOFT TECHNOLOGY LICENSING, LLC | 1 |
10923648 | Memory cell, memory array, method of forming and operating memory cell | AGENCY FOR SCIENCE, TECHNOLOGY AND RESEARCH | 1 |
10923649 | Spin current magnetization rotation magnetoresistance effect element, and magnetic memory | TDK CORPORATION | 1 |
10923651 | Spin orbit materials for efficient spin current generation | NATIONAL UNIVERSITY OF SINGAPORE | 1 |
10923652 | Top buffer layer for magnetic tunnel junction application | APPLIED MATERIALS, INC. | 1 |
10923654 | Variable resistance memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10923655 | Variable resistance memory device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10923656 | Switching atomic transistor and method for operating same | INDUSTRY-UNIVERSITY COOPERATION FOUNDATION HANYANG UNIVERSITY | 1 |
10924090 | Semiconductor device comprising holding units | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
10924097 | Shifter circuits having registers arranged in a folded topology | MICRON TECHNOLOGY, INC. | 1 |
10924112 | Bandgap reference circuit | -- | 1 |
10924113 | Dynamic calibration of frequency and power storage interface | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10924127 | Generating a control sequence for quantum control | QUANTUM VALLEY INVESTMENT FUND LP | 1 |
10924651 | Wearable multimedia device and cloud computing platform with application ecosystem | HUMANE, INC. | 1 |
10924701 | Column amplifier reset circuit with comparator | OMNIVISION TECHNOLOGIES, INC. | 1 |
10924708 | Enhanced video interaction for a user interface of a telepresence network | TELADOC HEALTH, INC. | 1 |
10924787 | Synchronizing playback of segmented video content across multiple video playback devices | COMCAST CABLE COMMUNICATIONS, LLC | 1 |
10925186 | Vertical lift heat transfer device for pluggable modules | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP | 1 |
10926298 | Hard drive dismantling system | SERENITY DATA SECURITY, LLC | 1 |
10928449 | Apparatus for memory built-in self-test with error detection and correction code awareness | INTEL CORPORATION | 1 |
10928585 | Semiconductor devices having electro-optical substrates | MICRON TECHNOLOGY, INC. | 1 |
10928846 | Low voltage high precision power detect circuit with enhanced power supply rejection ratio | APPLE INC. | 1 |
10928866 | Universal low profile to full height form factor adapter | DELL PRODUCTS L.P. | 1 |
10928870 | Apparatus and methods for temperature-based memory management | MARVELL ASIA PTE, LTD. | 1 |
10928983 | Mobile user interface for contextual browsing while playing digital content | GOOGLE LLC | 1 |
10928985 | User terminal device and displaying method thereof | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10929023 | Bank to bank data transfer | MICRON TECHNOLOGY, INC. | 1 |
10929024 | Solid state drive devices and storage systems having the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10929026 | Multi-cell structure for non-volatile resistive memory | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10929058 | Enhanced memory device architecture for machine learning | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10929064 | Methods of operating mixed device type memory modules, and processors and systems configured for operating the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10929221 | Multi-tier detection and decoding in flash memories utilizing data from additional pages or wordlines | SEAGATE TECHNOLOGY LLC | 1 |
10929222 | Storing address of spare in failed memory location | APPLE INC. | 1 |
10929223 | Memory controller including error correction code circuit, memory system including the memory controller, and operating method of the memory system | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10929224 | Partial XOR protection | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10929225 | Semiconductor memory devices, memory systems including the same and methods of operating memory systems | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10929228 | Overwriting data objects in a dispersed storage network | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10929252 | Circuit and method for storing information in non-volatile memory during a loss of power event | ALLEGRO MICROSYSTEMS, LLC | 1 |
10929286 | Arbitrated management of a shared non-volatile memory resource | SEAGATE TECHNOLOGY LLC | 1 |
10929300 | Semiconductor memory device for controlling an address for temperature management | SK HYNIX INC. | 1 |
10929315 | Memory controller configured to transmit interrupt signal if volatile memory has no data corresponding to address requested from source | TOSHIBA MEMORY CORPORATION | 1 |
10929319 | Data storage device with front end bus | SEAGATE TECHNOLOGY LLC | 1 |
10929588 | Integrated circuit layout, structure, system, and methods | -- | 1 |
10929650 | Activity based video recording | OOMA, INC. | 1 |
10929651 | Biometric notification system | FACEFIRST, INC. | 1 |
10929672 | On demand visual recall of objects/places | AT&T INTELLECTUAL PROPERTY I, L.P. | 1 |
10930188 | Feedback circuit for calibrating a current mode display | FACEBOOK TECHNOLOGIES, LLC | 1 |
10930189 | Shift register unit, method for driving the same, gate driving circuit and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. | 1 |
10930191 | Display driving circuit and driving method therefor, display device | CHONGQING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. | 1 |
10930198 | Shift register unit and driving method thereof, gate driving circuit and display panel | BOE TECHNOLOGY GROUP CO., LTD. | 1 |
10930239 | Gate driving circuit and display apparatus using the same | -- | 1 |
10930305 | Magnetic phonograph record stabilizer | -- | 1 |
10930306 | Magnetic measurement of head span spacing | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10930307 | Piezoelectric-based locking of actuator elevator mechanism for cold storage data storage device | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10930308 | Slide actuator | OLYMPUS CORPORATION | 1 |
10930309 | Tape-creep detection via trajectory and distortion angles | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10930310 | Data storage device sorting access commands based on peak current for multiple actuators | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10930311 | Dual state actuators controlling for magnetic recording device | KABUSHIKI KAISHA TOSHIBA | 1 |
10930313 | Magnetic recording medium with multiple exchange coupling layers and small grain magnetic layers | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10930314 | Encoding data | -- | 1 |
10930315 | Error correction for storage devices | MARVELL ASIA PTE., LTD. | 1 |
10930316 | Systems and methods for modifying a playlist of media assets based on user interactions with a playlist menu | ROVL GUIDES, INC. | 1 |
10930317 | Reproducing apparatus, reproducing method, information generation apparatus, and information generation method | SONY CORPORATION | 1 |
10930318 | Gapless video looping | TWITTER, INC. | 1 |
10930320 | Disk device and method of manufacturing disk device | KABUSHIKI KAISHA TOSHIBA | 1 |
10930321 | Apparatuses and methods for mixed charge pumps with voltage regulator circuits | MICRON TECHNOLOGY, INC. | 1 |
10930322 | Regulator and memory device having the same | SK HYNIX INC. | 1 |
10930323 | Semiconductor device with reduced power consumption and operation method thereof, electronic component, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
10930324 | Self-referencing sensing schemes with coupling capacitance | MICRON TECHNOLOGY, INC. | 1 |
10930325 | Two-stage gated-diode sense amplifier | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10930326 | Sensing architecture | MICRON TECHNOLOGY, INC. | 1 |
10930327 | Memory read masking | MICRON TECHNOLOGY, INC. | 1 |
10930328 | Processing device with nonvolatile logic array backup | TEXAS INSTRUMENTS INCORPORATED | 1 |
10930329 | Storage device adjusting a timing of a data signal and a data strobe signal | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10930330 | Synchronous dynamic random access memory (SDRAM) device, memory controller therefor, and method of operating same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10930331 | Semiconductor device and operating method of a semiconductor device | SK HYNIX INC. | 1 |
10930332 | Memory array with individually trimmable sense amplifiers | SPIN MEMORY, INC. | 1 |
10930333 | Embedded ferroelectric memory cell | -- | 1 |
10930334 | Feedback field-effect electronic device using feedback loop operation and array circuit using feedback field-effect electronic device | KOREA UNIVERSITY RESEARCH AND BUSINESS FOUNDATION | 1 |
10930335 | Apparatuses and methods for selective row refreshes | MICRON TECHNOLOGY, INC. | 1 |
10930336 | Memory device and row-hammer refresh method thereof | -- | 1 |
10930337 | Write techniques for a memory device with a charge transfer device | MICRON TECHNOLOGY, INC. | 1 |
10930338 | Semiconductor device having PDA function | LONGITUDE LICENSING LIMITED | 1 |
10930339 | Voltage bitline high (VBLH) regulation for computer memory | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10930340 | Semiconductor storage circuit, semiconductor storage apparatus, and data detection method | SOCIONEXT INC. | 1 |
10930341 | Processing array device that performs one cycle full adder operation and bit line read/write logic features | GSI TECHNOLOGY, INC. | 1 |
10930342 | Method and apparatus for vitamin D enhancement in mushrooms | OAKSHIRE HOLDINGS, INC. | 1 |
10930343 | Symmetric bipolar switching in memristors for artificial intelligence hardware | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP | 1 |
10930344 | RRAM circuit and method | -- | 1 |
10930345 | Voltage profile for reduction of read disturb in memory cells | MICRON TECHNOLOGY, INC. | 1 |
10930346 | Resistive memory with self-termination control function and self-termination control method | -- | 1 |
10930347 | Resistive random access memory device | -- | 1 |
10930348 | Content addressable memory-encoded crossbar array in dot product engines | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP | 1 |
10930350 | Memory device for updating micro-code, memory system including the memory device, and method for operating the memory device | SK HYNIX INC. | 1 |
10930351 | Compact non-volatile memory device | STMICROELECTRONICS (ROUSSET) SAS | 1 |
10930352 | Temperature sensitive NAND programming | MICRON TECHNOLOGY, INC. | 1 |
10930353 | Non-volatile memory device and operating method of the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10930354 | Enhanced flush transfer efficiency via flush prediction | MICRON TECHNOLOGY, INC. | 1 |
10930355 | Row dependent sensing in nonvolatile memory | SANDISKTECHNOLOGIES LLC | 1 |
10930356 | Memory controller and method of operating the same | SK HYNIX INC. | 1 |
10930357 | Semiconductor storage device having a temperature sensor that generates a temperature signal based on which applied voltages are generated | TOSHIBA MEMORY CORPORATION | 1 |
10930358 | Memory system and method of operating the same | SK HYNIX INC. | 1 |
10930359 | Programmable read-only memory having at least four memory cells, each having switching element and data storage element arranged so that each switching element is connected to at least two selection lines and the storage elements are shared by a data line | X-FAB SEMICONDUCTOR FOUNDRIES GMBH | 1 |
10930360 | Shift register, driving method thereof, gate driving circuit, and display device | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. | 1 |
10930361 | Voltage control circuit, shift register unit and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. | 1 |
10930362 | Flexible and efficient device trim support using eFuse | TEXAS INSTRUMENTS INCORPORATED | 1 |
10930363 | TSV auto repair scheme on stacked die | MICRON TECHNOLOGY, INC. | 1 |
10930364 | Iterative functional test exerciser reload and execution | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10930365 | Artificial intelligence based monitoring of solid state drives and dual in-line memory modules | INTEL CORPORATION | 1 |
10930366 | Storage device with test interface | MICRON TECHNOLOGY, INC. | 1 |
10930411 | Hybrid cable assembly having shielded and unshielded portions | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10930590 | Interconnect device and method | -- | 1 |
10930632 | Memory device with a multiplexed command/address bus | MICRON TECHNOLOGY, INC. | 1 |
10930653 | Apparatuses comprising memory cells, and apparatuses comprising memory arrays | MICRON TECHNOLOGY, INC. | 1 |
10930656 | Memory device | KOREA ADVANCED INSTITUTE OF SCIENCE AND TECHNOLOGY | 1 |
10930665 | Semiconductor device | TOSHIBA MEMORY CORPORATION | 1 |
10930683 | Display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
10930703 | High density MRAM integration | SPIN MEMORY, INC. | 1 |
10930704 | Magnetic memory cell | -- | 1 |
10930707 | Memory device with a split pillar architecture | MICRON TECHNOLOGY, INC. | 1 |
10930746 | Differential type sensing circuit with differential input and output terminal pair | -- | 1 |
10930751 | Ferroelectric assemblies | MICRON TECHNOLOGY, INC. | 1 |
10930841 | Magnetic tunnel junction with perpendicular shape anisotropy and minimised variability, memory point and logic element including the magnetic tunnel junction, method for manufacturing the magnetic tunnel junction | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES | 1 |
10930843 | Process for manufacturing scalable spin-orbit torque (SOT) magnetic memory | SPIN MEMORY, INC. | 1 |
10930847 | Memory device | TOSHIBA MEMORY CORPORATION | 1 |
10930849 | Techniques for forming memory structures | MICRON TECHNOLOGY, INC. | 1 |
10931270 | Apparatuses and methods for data transmission offset values in burst transmissions | MICRON TECHNOLOGY, INC. | 1 |
10931283 | Integrated circuits having memory with flexible input-output circuits | INTEL CORPORATION | 1 |
10931288 | Quadrature delay locked loops | AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITED | 1 |
10931295 | ADC reconfiguration for different data rates | ETOPUS TECHNOLOGY INC. | 1 |
10931550 | Out-of-band management techniques for networking fabrics | INTEL CORPORATION | 1 |
10931911 | Creating and disseminating of user generated content over a network | MYSONGTOYOU, INC. | 1 |
10931914 | Image playback device, display device, and transmission device | MAXELL, LTD. | 1 |
10932014 | Systems and methods for inserting breakpoints and reference links into a media file | VIACOM INTERNATIONAL INC. | 1 |
10932582 | Multi-stage memory sensing | MICRON TECHNOLOGY, INC. | 1 |
10933504 | Hard disk drive scrapper | DELL PRODUCTS L.P. | 1 |
10934163 | Classic-quantum injection interface device | EQUAL1.LABS INC. | 1 |
10935633 | Programmable code generation for radar sensing systems | UHNDER, INC. | 1 |
10935825 | Substrate processing method | SCREEN HOLDINGS CO., LTD. | 1 |
10936024 | Storage drive carrier for high-density storage solution | CISCO TECHNOLOGY, INC. | 1 |
10936025 | Fixing bracket for the installion of computer expansion card | HONGFUJIN PRECISION ELECTRONICS (TIANJIN) CO., LTD. | 1 |
10936044 | Quality of service based memory throttling | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP | 1 |
10936166 | User terminal device and displaying method thereof | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10936168 | Media presentation generating system and method using recorded splitscenes | SCENEPLAY, INC. | 1 |
10936203 | Memory storage device and system employing nonvolatile read/write buffers | TOSHIBA MEMORY CORPORATION | 1 |
10936214 | Power management of memory chips based on working set size | INTEL CORPORATION | 1 |
10936215 | Automated data quality servicing framework for efficient utilization of information technology resources | EMC IP HOLDING COMPANY LLC | 1 |
10936221 | Reconfigurable memory architectures | MICRON TECHNOLOGY, INC. | 1 |
10936235 | Apparatuses and methods for data movement | MICRON TECHNOLOGY, INC. | 1 |
10936246 | Dynamic background scan optimization in a memory sub-system | MICRON TECHNOLOGY, INC. | 1 |
10936327 | Method of implementing magnetic random access memory (MRAM) for mobile system-on-chip boot | AVALANCHE TECHNOLOGY, INC. | 1 |
10936391 | Memory management method and storage controller | SHENZHEN EPOSTAR ELECTRONICS LIMITED CO. | 1 |
10936392 | Read window size | MICRON TECHNOLOGY, INC. | 1 |
10936394 | Information processing device, external storage device, host device, relay device, control program, and control method of information processing device | TOSHIBA MEMORY CORPORATION | 1 |
10936408 | Error correction of multiple bit errors per codeword | INTEL CORPORATION | 1 |
10936410 | Memory system and information processing system | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
10936411 | Memory scrub system | DELL PRODUCTS L.P. | 1 |
10936413 | Systems and methods for correcting data errors in memory | -- | 1 |
10936416 | Redundant array of independent NAND for a three-dimensional memory array | MICRON TECHNOLOGY, INC. | 1 |
10936418 | Reduced uncorrectable memory errors | INTEL CORPORATION | 1 |
10936420 | RAID storage-device-assisted deferred Q data determination system | DELL PRODUCTS L.P. | 1 |
10936421 | Memory system having storage device and memory controller and operating method thereof | SK HYNIX INC. | 1 |
10936466 | Concurrent logging of data layers within a tape storage device | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10936481 | Semiconductor system and method for operating the semiconductor system | SK HYNIX INC. | 1 |
10936498 | Shingled magnetic recording drive that updates media cache data in-place | KABUSHIKI KAISHA TOSHIBA | 1 |
10936514 | Control system and control method for controlling memory modules | KINGSTON DIGITAL, INC. | 1 |
10936534 | Converged memory device and method thereof | SK HYNIX INC. | 1 |
10936831 | Digital card-reader and hub | PROGRADE DIGITAL INCORPORATED | 1 |
10936953 | DNA-based digital information storage with sidewall electrodes | TWIST BIOSCIENCE CORPORATION | 1 |
10937290 | Protection of privacy in video monitoring systems | HONEYWELL INTERNATIONAL INC. | 1 |
10937348 | Analog data shifter for a current mode display | FACEBOOK TECHNOLOGIES, LLC | 1 |
10937357 | Gate driving circuit, display apparatus, and method of driving gate driving circuit | BOE TECHNOLOGY GROUP CO., LTD. | 1 |
10937380 | Shift register and driving method therefor, gate driving circuit and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. | 1 |
10937450 | Magnetic flux guiding device with spin torque oscillator (STO) film having one or more negative spin polarization layers in assisted writing application | HEADWAY TECHNOLOGIES, INC. | 1 |
10937451 | Magnetoresistive effect element with nonmagnetic spacer layer including an aluminum alloy | TDK CORPORATION | 1 |
10937452 | Disk drive suspension configured for vertical coupling and windage control | MAGNECOMP CORPORATION | 1 |
10937453 | Magnetic tape device capable of selecting codeword rewrite based on determined threshold | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10937454 | Actuator assembly having dual sensors for detecting the vibration on magnetic disk device | KABUSHIKI KAISHA TOSHIBA | 1 |
10937455 | Fe—Pt based magnetic material sintered compact | JX NIPPON MINING & METALS CORPORATION | 1 |
10937456 | Magnetic tape | FUJIFILM CORPORATION | 1 |
10937457 | Magnetic recording medium | SONY CORPORATION | 1 |
10937459 | Disc grabbing device adapted to disc archive system | LITE-ON ELECTRONICS (GUANGZHOU) LIMITED | 1 |
10937460 | Media files and protocols supporting runtime dependent tracks | APPLE INC. | 1 |
10937461 | Incorporating visual objects into video material | MIRRIAD ADVERTISING PLC | 1 |
10937462 | Using sharding to generate virtual reality content | VERIZON PATENT AND LICENSING INC. | 1 |
10937463 | Packaging tray for hard disk drive | -- | 1 |
10937464 | Solid state memory case with enhanced cooling | INTEL CORPORATION | 1 |
10937465 | Anomaly detection with reduced memory overhead | RAYTHEON COMPANY | 1 |
10937466 | Semiconductor package with clock sharing and electronic system including the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10937467 | Device and method for data-writing | -- | 1 |
10937468 | Memory with configurable die powerup delay | MICRON TECHNOLOGY, INC. | 1 |
10937469 | Memory circuit and method of operating a memory circuit | INFINEON TECHNOLOGIES AG | 1 |
10937470 | Systems and methods for dynamic data masking | FMR LLC | 1 |
10937471 | Non-volatile memory device and storage device including the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10937472 | System for acclimating a horse to the sound of an arena chute gate and method of use of the same | -- | 1 |
10937473 | Clock signal drivers for read and write memory operations | MICRON TECHNOLOGY, INC. | 1 |
10937474 | Nonvolatile memory including duty correction circuit and storage device including the nonvolatile memory | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10937475 | Content addressable memory | RENESAS ELELCTRONICS CORPORATION | 1 |
10937476 | Apparatuses and methods for controlling word line discharge | MICRON TECHNOLOGY, INC. | 1 |
10937477 | Shared decoder circuit and method | -- | 1 |
10937478 | Systems and methods utilizing serial and parallel configurations of magnetic memory devices | SPIN MEMORY, INC. | 1 |
10937479 | Integration of epitaxially grown channel selector with MRAM device | SPIN MEMORY, INC. | 1 |
10937480 | Spin current magnetization rotational element, magnetoresistance effect element, and magnetic memory | TDK CORPORATION | 1 |
10937481 | Polarity swapping circuitry | ARM LIMITED | 1 |
10937482 | Memory cells and arrays of elevationally-extending strings of memory cells | MICRON TECHNOLOGY, INC. | 1 |
10937483 | Current separation for memory sensing | MICRON TECHNOLOGY, INC. | 1 |
10937484 | Dynamic bandwidth throttling of DRAM accesses for memory tracing | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10937485 | Redundant voltage regulator for memory devices | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10937486 | Compensating offsets in buffers and related systems, methods, and devices | MICRON TECHNOLOGY, INC. | 1 |
10937487 | Differential amplifier schemes for sensing memory cells | MICRON TECHNOLOGY, INC. | 1 |
10937488 | Electronic circuit capable of selectively compensating for crosstalk noise and inter-symbol interference | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10937489 | Pre-charge circuit of SRAM controller and pre charging method thereof | -- | 1 |
10937490 | Nonvolatile memory and writing method | TOSHIBA MEMORY CORPORATION | 1 |
10937491 | Auto-referenced memory cell read techniques | MICRON TECHNOLOGY, INC. | 1 |
10937492 | Semiconductor storage apparatus | -- | 1 |
10937493 | Memory systems and memory programming methods | MICRON TECHNOLOGY, INC. | 1 |
10937494 | Increasing current to memory devices while controlling leakage current | ARM LIMITED | 1 |
10937495 | Resistive memory apparatus and method for writing data thereof | -- | 1 |
10937496 | Writing multiple levels in a phase change memory | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10937497 | Methods for accessing 1-R resistive change element arrays | NANTERO, INC. | 1 |
10937498 | Methods for programing DDR compatible open architecture resistive change element arrays | NANTERO, INC. | 1 |
10937499 | Content addressable memory systems with content addressable memory buffers | MICRON TECHNOLOGY, INC. | 1 |
10937500 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION | 1 |
10937501 | Memory device and method of operation | MICRON TECHNOLOGY, INC. | 1 |
10937502 | Semiconductor memory device in which a conductive line connected to a word line selected for programming is charged to a voltage larger than the program voltage | TOSHIBA MEMORY CORPORATION | 1 |
10937503 | Memory device and method of operating the same | SK HYNIX INC. | 1 |
10937504 | Methods of programming memory device | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
10937505 | Voltage generation systems for programming memory | MICRON TECHNOLOGY, INC. | 1 |
10937506 | Systems and methods involving hardware-based reset of unresponsive memory devices | MICRON TECHNOLOGY, INC. | 1 |
10937507 | Bit line driver device including active region | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
10937508 | Nonvolatile memory devices and methods of operating a nonvolatile memory | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10937509 | Voltage and temperature adaptive memory leakage reduction bias circuit | MENTOR GRAPHICS CORPORATION | 1 |
10937510 | Multidimensional pseudorandom binary sequence analysis for a memory device | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10937511 | Semiconductor memory device, memory system including controller, and method of operating controller | SK HYNIX INC. | 1 |
10937512 | Managing programming errors in NAND flash memory | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10937513 | Semiconductor memory device and operating method thereof | SK HYNIX INC. | 1 |
10937514 | Method of programming multilevel cell NAND flash memory device and MLC NAND flash memory device | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
10937515 | Fuse latch circuits and related apparatuses, systems, and methods | MICRON TECHNOLOGY, INC. | 1 |
10937516 | Shift register and driving method thereof, gate drive circuit and display device | HEFEI BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. | 1 |
10937517 | Apparatuses and methods to encode column plane compression data | MICRON TECHNOLOGY, INC. | 1 |
10937518 | Multiple algorithmic pattern generator testing of a memory device | MICRON TECHNOLOGY, INC. | 1 |
10937519 | Memory devices, memory systems and methods of operating memory devices | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10937520 | Method of operating memory device in test mode | SK HYNIX INC. | 1 |
10937590 | Magnetic structures for low leakage inductance and very high efficiency | ROMPOWER TECHNOLOGY HOLDINGS, LLC | 1 |
10937655 | Memory device with various pass voltages | SK HYNIX INC. | 1 |
10937753 | Semiconductor device | RENESAS ELECTRONICS CORPORATION | 1 |
10937766 | Three-dimensional memory device with three-dimensional phase-change memory | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
10937803 | Semiconductor storage device and method of manufacturing semiconductor storage device | TOSHIBA MEMORY CORPORATION | 1 |
10937804 | Semiconductor memory device | SK HYNIX INC. | 1 |
10937805 | Semiconductor memory device | SK HYNIX INC. | 1 |
10937807 | Ferroelectric field-effect transistor devices having a top gate and a bottom gate | INTEL CORPORATION | 1 |
10937809 | Three-dimensional memory device containing ferroelectric memory elements encapsulated by transition metal nitride materials and method of making thereof | SANDISK TECHNOLOGIES LLC | 1 |
10937828 | Fabricating embedded magnetoresistive random access memory device with v-shaped magnetic tunnel junction profile | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10937832 | 3D memory with confined cell | -- | 1 |
10937865 | Semiconductor device having transistors in which source/drain regions are shared | MICRON TECHNOLOGY, INC. | 1 |
10937947 | Magnetic memory device with a nonmagnet between two ferromagnets of a magnetoresistive effect element | TOSHIBA MEMORY CORPORATION | 1 |
10937948 | Magnetic memory using spin-orbit torque | EVERSPIN TECHNOLOGIES, INC. | 1 |
10937950 | Semiconductor structure | -- | 1 |
10937953 | Tunable tetragonal ferrimagnetic heusler compound with PMA and high TMR | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10937955 | Memory element and memory device | SONY CORPORATION | 1 |
10937956 | Magnetoresistive random access memory structure and method of forming the same | -- | 1 |
10938102 | Search track acquire react system (STARS) drone integrated acquisition tracker (DIAT) | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY | 1 |
10938392 | Transmitter for transmitting multi-bit data | SK HYNIX INC. | 1 |
10938395 | Electronic device with a timing adjustment mechanism | MICRON TECHNOLOGY, INC. | 1 |
10938416 | Memory device including parity error detection circuit | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10938419 | Encoding method and system for memory device including QLC cells | SK HYNIX INC. | 1 |
10938420 | System and methods for low complexity list decoding of turbo codes and convolutional codes | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10938421 | Decoding optimization for channel mismatch | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10938563 | Technologies for provisioning cryptographic keys | INTEL CORPORATION | 1 |
10938607 | Random access memory | CHANGXIN MEMORY TECHNOLOGIES, INC. | 1 |
10938835 | Systems and methods for managing digital identities | CAMBRIDGE BLOCKCHAIN, INC. | 1 |
10939069 | Video recording method, electronic device and storage medium | BEIJING KINGSOFT INTERNET SECURITY SOFTWARE CO., LTD. | 1 |
10939175 | Generating new video content from pre-recorded video | AMAZON TECHNOLOGIES, INC. | 1 |
10939178 | Media streaming with latency minimization | CABLE TELEVISION LABORATORIES, INC. | 1 |
10941813 | Method of using a tolerance ring | SAINT-GOBAIN PERFORMANCE PLASTICS RENCOL LIMITED | 1 |
10942273 | Passive matrix addressing of optical phased arrays | CALIFORNIA INSTITUTE OF TECHNOLOGY | 1 |
10942503 | Mobile data storage device with predicted temperature management via command time delay | SEAGATE TECHNOLOGY LLC | 1 |
10942541 | Connection interface circuit, memory storage device and signal generation method | -- | 1 |
10942560 | Method of controlling hard disk and electronic device | EMC IP HOLDING COMPANY LLC | 1 |
10942652 | Apparatuses and methods for parallel writing to multiple memory device structures | MICRON TECHNOLOGY, INC. | 1 |
10942653 | Method for performing refresh management in a memory device, associated memory device and controller thereof | -- | 1 |
10942661 | Non-volatile memory including selective error correction | MICRON TECHNOLOGY, INC. | 1 |
10942668 | Storage device and verification thereof | SEAGATE TECHNOLOGY LLC | 1 |
10942673 | Data processing using resistive memory arrays | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP | 1 |
10942674 | Semiconductor device and semiconductor system including the same | SK HYNIX INC. | 1 |
10942681 | Memory system and operation method thereof | SK HYNIX INC. | 1 |
10942736 | Method for min-max computation in associative memory | GSI TECHNOLOGY INC. | 1 |
10942796 | Identifying asynchronous power loss | MICRON TECHNOLOGY, INC. | 1 |
10942799 | Defective bit line management in connection with a memory access | INTEL CORPORATION | 1 |
10942803 | Method for performing data processing for error handling in memory device, associated memory device and controller thereof, and associated electronic device | -- | 1 |
10942804 | Physical-layer quantum error suppression for superconducting qubits in quantum computation and optimization | MASSACHUSETTS INSTITUTE OF TECHNOLOGY | 1 |
10942805 | Error correcting circuit performing error correction on user data and error correcting method using the error correcting circuit | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10942807 | Storage system spanning multiple failure domains | WEKA.IO LTD. | 1 |
10942847 | Technologies for efficiently performing scatter-gather operations | INTEL CORPORATION | 1 |
10942863 | Security configurations in page table entries for execution domains using a sandbox application operation | MICRON TECHNOLOGY, INC. | 1 |
10942873 | Memory tile access and selection patterns | MICRON TECHNOLOGY, INC. | 1 |
10942889 | Bit string accumulation in memory array periphery | MICRON TECHNOLOGY, INC. | 1 |
10942890 | Bit string accumulation in memory array periphery | MICRON TECHNOLOGY, INC. | 1 |
10942964 | Apparatus and method of embedding meta-data in a captured image | HAND HELD PRODUCTS, INC. | 1 |
10943170 | Neural network circuit | DENSO CORPORATION | 1 |
10943183 | Electronics device performing software training on memory channel and memory channel training method thereof | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10943446 | Media recognition and synchronisation to a motion signal | D-BOX TECHNOLOGIES INC. | 1 |
10943517 | Shift register, method for driving the same, gate drive circuit and display device | HEFEI BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. | 1 |
10943552 | Shift register unit, gate drive circuit and method of driving the same | BOE TECHNOLOGY GROUP CO., LTD. | 1 |
10943554 | Anti-leakage circuit for shift register unit, method of driving shift register unit, gate driver on array circuit and touch display device | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. | 1 |
10943607 | Magnetic recording device supplied with varying recording current | KABUSHIKI KAISHA TOSHIBA | 1 |
10943608 | Heat-assisted magnetic recording device incorporating laser diode temperature control using common-mode voltage | SEAGATE TECHNOLOGY LLC | 1 |
10943609 | Magnetic recording device and magnetic head | KABUSHIKI KAISHA TOSHIBA | 1 |
10943610 | Magnetic head with stacked body and insulating portion arrangement between magnetic pole and shield | KABUSHIKI KAISHA TOSHIBA | 1 |
10943611 | Spintronic devices with narrow spin polarization layers | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10943612 | Reader with side shields decoupled from a top shield | SEAGATE TECHNOLOGY LLC | 1 |
10943613 | Thermally assisted magnetic head, head gimbal assembly, hard disk drive and method of manufacturing the thermally assisted magnetic head | SAE MAGNETICS (H.K.) LTD. | 1 |
10943614 | Load/unload ramp mechanism for reduced cracking | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10943615 | Differential interface transmission of fly-height control data | MARVELL ASIA PTE, LTD. | 1 |
10943616 | Current-assisted magnetic recording write head with wide conductive element in the write gap | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10943617 | Shared disk drive component system | SPECTRA LOGIC CORPORATION | 1 |
10943618 | Ethereum state data storage optimization method, Ethereum system and storage medium | HONG KONG | 1 |
10943619 | Enhancing audio using multiple recording devices | GOOGLE LLC | 1 |
10943620 | Data storage device updating refresh metric based on quality of victim data track during write operation | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10943621 | Systems and methods for generating hard drive vibration thresholds | DELL PRODUCTS L.P. | 1 |
10943622 | High bandwidth memory having plural channels | MICRON TECHNOLOGY, INC. | 1 |
10943624 | Countering digit line coupling in memory arrays | MICRON TECHNOLOGY, INC. | 1 |
10943625 | Memory device with write data bus control | MICRON TECHNOLOGY, INC. | 1 |
10943626 | Semiconductor memory device with power gating circuit for data input-output control block and data input/output block and semiconductor system including the same | SK HYNIX INC. | 1 |
10943627 | Apparatuses and methods for providing internal clock signals of different clock frequencies in a memory device | MICRON TECHNOLOGY, INC. | 1 |
10943628 | Memory device capable of adjusting clock signal based on operating speed and propagation delay of command/address signal | MICRON TECHNOLOGY, INC. | 1 |
10943629 | Enable signal generation circuit and semiconductor apparatus using the same | SK HYNIX INC. | 1 |
10943630 | Clock synchronizing method of a multiple clock domain memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10943631 | Spin current magnetization reversing element, magnetoresistance effect element, magnetic memory, and magnetic device | TDK CORPORATION | 1 |
10943632 | Magnetic storage device | TOSHIBA MEMORY CORPORATION | 1 |
10943633 | Redundancy array column decoder for memory | MICRON TECHNOLOGY, INC. | 1 |
10943634 | Read latency improvement method and memory system thereof | SK HYNIX INC. | 1 |
10943635 | Memory device shared by two or more processors and system including the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10943636 | Apparatuses and methods for analog row access tracking | MICRON TECHNOLOGY, INC. | 1 |
10943637 | Apparatus with a row-hammer address latch mechanism | MICRON TECHNOLOGY, INC. | 1 |
10943638 | Semiconductor memory device and operating method thereof | SK HYNIX INC. | 1 |
10943639 | Data storage device and operating method thereof | SK HYNIX INC. | 1 |
10943640 | Apparatus, method and system for providing termination for multiple chips of an integrated circuit package | INTEL CORPORATION | 1 |
10943642 | Integrated memory assemblies comprising multiple memory array decks | MICRON TECHNOLOGY, INC. | 1 |
10943643 | Semiconductor storage circuit | SOCIONEXT INC. | 1 |
10943644 | Apparatuses including threshold voltage compensated sense amplifiers and methods for compensating same | MICRON TECHNOLOGY, INC. | 1 |
10943645 | Memory device with a booster word line | -- | 1 |
10943646 | Memory device, driving method thereof, semiconductor device, electronic component, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
10943647 | Bit-line mux driver with diode header for computer memory | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10943648 | Ultra low VDD memory cell with ratioless write port | GSI TECHNOLOGY, INC. | 1 |
10943649 | Apparatus and method for controlling gradual conductance change in synaptic element | -- | 1 |
10943650 | Method for programming memory system | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
10943651 | Semiconductor memory device, memory system, and write method | TOSHIBA MEMORY CORPORATION | 1 |
10943652 | Memory processing unit | THE REGENTS OF THE UNIVERSITY OF MICHIGAN | 1 |
10943653 | Memory receiver with resistive voltage divider | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10943654 | Techniques for read operations | MICRON TECHNOLOGY, INC. | 1 |
10943655 | Techniques for initializing resistive memory devices by applying different polarity voltages across resistance change material | HEFEI RELIANCE MEMORY LIMITED | 1 |
10943656 | Methods and apparatuses having a voltage generator with an adjustable voltage drop for representing a voltage drop of a memory cell and/or a current mirror circuit and replica circuit | MICRON TECHNOLOGY, INC. | 1 |
10943657 | Mitigation of voltage threshold drift associated with power down condition of non-volatile memory device | MICRON TECHNOLOGY, INC. | 1 |
10943658 | Writing multiple levels in a phase change memory | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10943659 | Data state synchronization | MICRON TECHNOLOGY, INC. | 1 |
10943660 | Resistive memory | -- | 1 |
10943661 | Current compensation block and method for programming analog neural memory in deep learning artificial neural network | SILICON STORAGE TECHNOLOGY, INC. | 1 |
10943662 | Different word line programming orders in non-volatile memory for error recovery | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10943663 | Method of programming in flash memory devices | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
10943664 | Storage device and operating method thereof | SK HYNIX INC. | 1 |
10943665 | Method of programming and verifying memory device and related memory device | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
10943666 | Power switch circuit and method providing power supply to memory device | MAGNACHIP SEMICONDUCTOR, LTD. | 1 |
10943667 | Memory device | -- | 1 |
10943668 | Storage device for storing data using a resistive random access storage element | SONY SEMICONDUCTOR SOLUTIONS CORPORATION | 1 |
10943669 | Memory system and method for optimizing read threshold | SK HYNIX INC. | 1 |
10943670 | Dummy wordline design techniques | ARM LIMITED | 1 |
10943876 | Method for detecting an attack by means of a beam of electrically charged particles on an integrated circuit, and corresponding integrated circuit | STMICROELECTRONICS (ROUSSET) SAS | 1 |
10943919 | Semiconductor storage device | TOSHIBA MEMORY CORPORATION | 1 |
10943948 | Magnetic tunnel junction (MTJ) device and forming method thereof | -- | 1 |
10943949 | Semiconductor storage device | TOSHIBA MEMORY CORPORATION | 1 |
10943950 | Magnetic memory devices with enhanced tunnel magnetoresistance ratio (TMR) and methods of fabrication | INTEL CORPORATION | 1 |
10943951 | Spin orbit torque magnetic random access memory structures and methods for fabrication | NATIONAL UNIVERSITY OF SINGAPORE | 1 |
10943952 | Threshold switch for memory | SANDISK TECHNOLOGIES LLC | 1 |
10943953 | Semiconductor devices, hybrid transistors, and related methods | MICRON TECHNOLOGY, INC. | 1 |
10943986 | Transistors, memory cells and semiconductor constructions comprising ferroelectric gate dielectric | MICRON TECHNOLOGY, INC. | 1 |
10944040 | Piezoelectric thin film-stacked body, piezoelectric thin film substrate, piezoelectric thin film device, piezoelectric actuator, piezoelectric sensor, head assembly, head stack assembly, hard disk drive, printer head, and ink-jet printer device | TDK CORPORATION | 1 |
10944045 | Magnetic memory | TDK CORPORATION | 1 |
10944051 | Method of cleaning a substrate processing apparatus and the substrate processing apparatus performing the method | TOKYO ELECTRON LIMITED | 1 |
10944053 | Systems and methods for gated-insulator reconfigurable non-volatile memory devices | UNIVERSITY OF CINCINNATI | 1 |
10944258 | RC circuit triggered electrostatic discharge circuit | -- | 1 |
10944341 | Energy recovery from a spindle motor using a sequence of a braking phase, an active step-up phase and an active braking phase in response to external power supply failure | STMICROELECTRONICS ASIA PACIFIC PTE LTD | 1 |
10944396 | Semiconductor device and method for driving the semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
10944400 | On-die termination control | RAMBUS INC. | 1 |
10944424 | Error correction with multiple LLR-LUTS for a single read | SEAGATE TECHNOLOGY LLC | 1 |
10944656 | Technologies for adaptive processing of multiple buffers | INTEL CORPORATION | 1 |
10944748 | Consumer choice for broadband application and content services | CENTURYLINK INTELLECTUAL PROPERTY LLC | 1 |
10944905 | Creation and display of a 360 degree looping video file | GFYCAT, INC. | 1 |
10944916 | Automated system for creating, processing and generating videos | KYIV | 1 |
10944951 | Information processing apparatus, information processing method, and a recording medium | SONY CORPORATION | 1 |
10944980 | Image data processing method | AXELL CORPORATION | 1 |
10945004 | High-quality, reduced data rate streaming video production and monitoring system | HAWK TECHNOLOGY SYSTEMS, L.L.C. | 1 |
10945027 | Systems and methods for networked music playback | SONOS, INC. | 1 |
10945040 | Generating and providing topic visual elements based on audio content and video content of a digital video | ADOBE INC. | 1 |
10945042 | Generating an interactive digital video content item | GFYCAT, INC. | 1 |
10945101 | Method, device and system for audio data communication | ZGMICRO NANJING LTD. | 1 |
10946379 | Microfluidics system | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. | 1 |
10948538 | Register for at-speed scan testing | NXP USA, INC. | 1 |
10949006 | Digital jukebox device with improved user interfaces, and associated methods | TOUCHTUNES MUSIC CORPORATION | 1 |
10949090 | Memory system that stores data designated by a deletion request in nonvolatile memory | TOSHIBA MEMORY CORPORATION | 1 |
10949099 | Memory system for adjusting read reclaim counts and method for operating the same | SK HYNIX INC. | 1 |
10949100 | Configurable memory storage system | -- | 1 |
10949117 | Direct data transfer in memory and between devices of a memory module | MICRON TECHNOLOGY, INC. | 1 |
10949119 | Data shaping to reduce error rates in solid state memory devices | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10949121 | Memory system | TOSHIBA MEMORY CORPORATION | 1 |
10949122 | Write management for increasing non-volatile memory reliability | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10949123 | Using interleaved writes to separate die planes | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10949163 | Playback device | SONOS, INC. | 1 |
10949204 | Microcontroller with configurable logic peripheral | MICROCHIP TECHNOLOGY INCORPORATED | 1 |
10949214 | Technologies for efficient exit from hyper dimensional space in the presence of errors | INTEL CORPORATION | 1 |
10949284 | Techniques using nonvolatile memory and volatile memory | MICRON TECHNOLOGY, INC. | 1 |
10949290 | Validation of a symbol response memory | MICRON TECHNOLOGY, INC. | 1 |
10949291 | Partially written superblock treatment | MICRON TECHNOLOGY, INC. | 1 |
10949292 | Memory interface having data signal path and tag signal path | ARM LIMITED | 1 |
10949293 | Erroneous bit discovery in memory system | MICRON TECHNOLOGY, INC. | 1 |
10949294 | Method of correcting an error in a memory array in a DRAM during a read operation and a DRAM | XI'AN UNIIC SEMICONDUCTORS CO., LTD. | 1 |
10949295 | Implementing dynamic SEU detection and correction method and circuit | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10949296 | On-die ECC with error counter and internal address generation | INTEL CORPORATION | 1 |
10949297 | NAND device mixed parity management | MICRON TECHNOLOGY, INC. | 1 |
10949298 | System and method of reducing logic for multi-bit error correcting codes | -- | 1 |
10949299 | Error code calculation on sensing circuitry | MICRON TECHNOLOGY, INC. | 1 |
10949300 | Error correction code event detection | MICRON TECHNOLOGY, INC. | 1 |
10949339 | Memory module with controlled byte-wise buffers | NETLIST, INC. | 1 |
10949347 | Multiple data channel memory module architecture | MICRON TECHNOLOGY, INC. | 1 |
10949358 | Secure address translation services using message authentication codes and invalidation tracking | INTEL CORPORATION | 1 |
10949361 | Multiprocessor software-defined solid-state storage drive | NIMBUS DATA, INC. | 1 |
10949497 | High-speed multi-input tracker based on in-memory operations of time-dependent data | CROCUS ENERGY | 1 |
10949738 | Tunable memristor noise control | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP | 1 |
10950153 | Scan driving circuit and driving method thereof, array substrate and display device | BOE TECHNOLOGY GROUP CO., LTD. | 1 |
10950196 | Shift register, method for driving the same, gate driving circuit, and display device | BOE TECHNOLOGY GROUP CO., LTD. | 1 |
10950257 | Process of forming a recessed spin flipping element in the write gap | HEADWAY TECHNOLOGIES, INC. | 1 |
10950258 | Spin torque oscillator having one or more chromium insertion layers for magnetic recording drives | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10950259 | Magnetic head and magnetic recording and reproducing device | KABUSHIKI KAISHA TOSHIBA | 1 |
10950260 | Magnetoresistive sensor with improved magnetic properties and magnetostriction control | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10950261 | Supermalloy and MU metal side and top shields for magnetic read heads | HEADWAY TECHNOLOGIES, INC. | 1 |
10950262 | Magnetic reader sensor with shield-to-shield spacing improvement and better free layer-to-shield spacing control | HEADWAY TECHNOLOGIES, INC. | 1 |
10950263 | Angled and elongate lift tab for magnetic storage device | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10950264 | Transducer positions for dual actuator data storage devices | SEAGATE TECHNOLOGY LLC | 1 |
10950265 | Data storage device configured with manufacture PCB for concurrent write/read operation | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10950266 | In-situ NFT pre-treatment to accumulate optically transparent material on NFT to improve reliability | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10950267 | HAMR media to assist optically transparent build-up on NFT to improve reliability | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10950268 | Radially patterned media for circumferentially constrained grain growth | SEAGATE TECHNOLOGY LLC | 1 |
10950269 | Optical recording medium and method for manufacturing the same | SONY CORPORATION | 1 |
10950270 | Audio modification for adjustable playback rate | COMCAST CABLE COMMUNICATIONS, LLC | 1 |
10950271 | Method for triggering events in a video | SNAP INC. | 1 |
10950272 | Method and apparatus for obtaining audio-visual information, device, and storage medium | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. | 1 |
10950273 | Distributed scalable media environment for advertising placement in movies | OPEN TEXT SA ULC | 1 |
10950274 | Image recording apparatus, method for controlling same, and non-transitory computer-readable storage medium | CANON KABUSHIKI KAISHA | 1 |
10950275 | Methods and systems for tracking media effects in a media effect index | FACEBOOK, INC. | 1 |
10950276 | Apparatus and method to display event information detected from video data | FUJITSU LIMITED | 1 |
10950277 | Signal line layouts including shields, and related methods, devices, and systems | MICRON TECHNOLOGY, INC. | 1 |
10950278 | Nonvolatile memory device including memory element in equal cross-sectional area of word lines and bit lines | TOSHIBA MEMORY CORPORATION | 1 |
10950279 | Bit line sense amplifier circuit capable of reducing offset voltage | SK HYNIX INC. | 1 |
10950280 | Semiconductor device | SK HYNIX INC. | 1 |
10950281 | Electronic device comprising storage devices transmitting reference clock via cascade coupling structure | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10950282 | Methods for on-die memory termination and memory devices and systems employing the same | MICRON TECHNOLOGY, INC. | 1 |
10950283 | Semiconductor devices | SK HYNIX INC. | 1 |
10950284 | Vertical decoder | MICRON TECHNOLOGY, INC. | 1 |
10950286 | Periphery fill and localized capacitance | MICRON TECHNOLOGY, INC. | 1 |
10950288 | Refresh command control for host assist of row hammer mitigation | INTEL CORPORATION | 1 |
10950289 | Semiconductor device | MICRON TECHNOLOGY, INC. | 1 |
10950290 | Memory device and operating method thereof that reduce off current to reduce errors in reading and writing data which have plurality of memory cell blocks and a source voltage generator | -- | 1 |
10950291 | Apparatuses and methods to perform duty cycle adjustment with back-bias voltage | MICRON TECHNOLOGY, INC. | 1 |
10950292 | Method and apparatus for mitigating row hammer attacks | ADVANCED MICRO DEVICES, INC. | 1 |
10950293 | Signal processing circuit, distributed memory, ROM, and DAC which signal processing circuit is embedded | NIPPON TELEGRAPH AND TELEPHONE CORPORATION | 1 |
10950294 | Apparatuses and methods for controlling driving signals in semiconductor devices including word and subword driver circuits | MICRON TECHNOLOGY, INC. | 1 |
10950295 | Memory cell array having three-dimensional structure | TOSHIBA MEMORY CORPORATION | 1 |
10950296 | Latch circuit formed from bit cell | -- | 1 |
10950297 | Semiconductor device, electronic component, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
10950298 | Mixed threshold voltage memory array | -- | 1 |
10950299 | System and method for cryogenic hybrid technology computing and memory | SEEQC, INC. | 1 |
10950300 | Lifetime mixed level non-volatile memory system | VERVAIN, LLC | 1 |
10950301 | Two transistor, one resistor non-volatile gain cell memory and storage element | INTEL CORPORATION | 1 |
10950302 | Resistive memory device | -- | 1 |
10950303 | RRAM current limiting circuit | -- | 1 |
10950304 | Circuit methodology for highly linear and symmetric resistive processing unit | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10950305 | Selective pixel output | FACEBOOK TECHNOLOGIES, LLC | 1 |
10950306 | Memory device having improved program and erase operations and operating method of the memory device | SK HYNIX INC. | 1 |
10950307 | Semiconductor memory device | KIOXIA CORPORATION | 1 |
10950308 | Event counters for memory operations | MICRON TECHNOLOGY, INC. | 1 |
10950309 | Semiconductor memory column decoder device and method | MICRON TECHNOLOGY, INC. | 1 |
10950310 | Secure erase for data corruption | MICRON TECHNOLOGY, INC. | 1 |
10950311 | Boosting read scheme with back-gate bias | SANDISK TECHNOLOGIES LLC | 1 |
10950312 | Methods of operating a memory device comparing input data to data stored in memory cells coupled to a data line | MICRON TECHNOLOGY, INC. | 1 |
10950313 | Responding to changes in available power supply | MICRON TECHNOLOGY, INC. | 1 |
10950314 | Semiconductor device | TOSHIBA MEMORY CORPORATION | 1 |
10950315 | Preread and read threshold voltage optimization | MICRON TECHNOLOGY, INC. | 1 |
10950316 | Apparatus for determining a pass voltage of a read operation | MICRON TECHNOLOGY, INC. | 1 |
10950317 | Read disturb scan consolidation | MICRON TECHNOLOGY, INC. | 1 |
10950318 | Memory proximity disturb management | MICRON TECHNOLOGY, INC. | 1 |
10950319 | Shift register and corresponding driving method, gate driving circuit and display device | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. | 1 |
10950320 | Shift register unit, gate driving circuit, display device and driving method | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. | 1 |
10950321 | Shift register, gate driving circuit, display panel and display device | SHANGHAI TIANMA AM-OLED CO., LTD. | 1 |
10950322 | Shift register unit circuit, method of driving the same, gate drive circuit, and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. | 1 |
10950323 | Shift register unit, control method thereof, gate driving device, display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. | 1 |
10950324 | Shift register unit, shift register, gate driving circuit and display panel | BOE TECHNOLOGY GROUP CO., LTD. | 1 |
10950325 | Memory built-in self test error correcting code (MBIST ECC) for low voltage memories | MARVELL ASIA PTE., LTD. | 1 |
10950595 | Memory cell array and method of manufacturing same | -- | 1 |
10950612 | Three dimensional semiconductor memory with residual memory layer | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10950614 | Single poly non-volatile memory device, method of manufacturing the same and single poly non-volatile memory device array | KEY FOUNDRY CO., LTD. | 1 |
10950616 | 3-dimensional NOR strings with segmented shared source regions | SUNRISE MEMORY CORPORATION | 1 |
10950617 | Memory device with multiple layers | TOSHIBA MEMORY CORPORATION | 1 |
10950622 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION | 1 |
10950623 | 3D NAND memory device and method of forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
10950626 | Three-dimensional memory device containing alternating stack of source layers and drain layers and vertical gate electrodes | SANDISK TECHNOLOGIES LLC | 1 |
10950630 | Semiconductor memory | TOSHIBA MEMORY CORPORATION | 1 |
10950658 | Circuit and method to enhance efficiency of memory | -- | 1 |
10950659 | Multilayered seed for perpendicular magnetic structure | AVALANCHE TECHNOLOGY, INC. | 1 |
10950664 | Semiconductor memory device including phase change material layers and method for manufacturing thereof | -- | 1 |
10950786 | Layer cost scalable 3D phase change cross-point memory | -- | 1 |
10950787 | Method having resistive memory crossbar array employing selective barrier layer growth | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10950790 | Two-terminal electronic charge resistance switching device | NATIONAL TECHNOLOGY & ENGINEERING SOLUTIONS OF SANDIA, LLC | 1 |
10951114 | Apparatuses and methods for charge pump regulation | MICRON TECHNOLOGY, INC. | 1 |
10951198 | Semiconductor integrated circuit, transmission device, and memory device | KIOXIA CORPORATION | 1 |
10951200 | Clock circuit and method of operating the same | -- | 1 |
10951201 | Flip flop standard cell | -- | 1 |
10951206 | Off chip driving system and signal compensation method | -- | 1 |
10951222 | Method and circuit for current integration | AMS INTERNATIONAL AG | 1 |
10951230 | Method and apparatus for ternary mapping | QUALCOMM INCORPORATED | 1 |
10951232 | Error correction bit flipping scheme | MICRON TECHNOLOGY, INC. | 1 |
10951233 | System and method for decoding iterations and dynamic scaling | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10951236 | Hierarchical data integrity verification of erasure coded data in a distributed computing system | MICROSOFT TECHNOLOGY LICENSING, LLC | 1 |
10951239 | Performing a decoding operation to simulate switching a bit of an identified set of bits of a data block | MICRON TECHNOLOGY, INC. | 1 |
10951727 | Remote access of media items | APPLE INC. | 1 |
10951820 | System and method for generating a plurality of unique videos of a same event | INTEL CORPORATION | 1 |
10951855 | Remotely accessed virtual recording room | ONSTREAM MEDIA CORPORATION | 1 |
10951857 | Method and system for video recording | WANGSU SCIENCE & TECHNOLOGY CO., LTD. | 1 |
10951876 | Stereoscopic video reproducing method, stereoscopic video reproducing apparatus and optical disc | MITSUBISHI ELECTRIC CORPORATION | 1 |
10951904 | Gaze-driven recording of video | APPLE INC. | 1 |
10951909 | Reproduction device reproduction method, and recording medium | SATURN LICENSING LLC | 1 |
10951927 | Systems and methods for interactive program guides with personal video recording features | ROVI GUIDES, INC. | 1 |
10951959 | Video management | COMCAST CABLE COMMUNICATIONS, LLC | 1 |
10952001 | Biasing circuit | -- | 1 |
10952420 | Fishing suggestions | NAVICO HOLDING AS | 1 |
10953319 | Spin transfer MRAM element having a voltage bias control | -- | 1 |
10955441 | Measurement system and method for operating a measurement system | ROHDE & SCHWARZ GMBH & CO. KG | 1 |
10955865 | Firmware-controlled cable drop voltage compensation | CYPRESS SEMICONDUCTOR CORPORATION | 1 |
10956043 | Computing reduction and prefix sum operations in memory | MICRON TECHNOLOGY, INC. | 1 |
10956044 | Memory system with region-specific memory access scheduling | ADVANCED MICRO DEVICES, INC. | 1 |
10956049 | Wear-aware block mode conversion in non-volatile memory | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10956064 | Adjusting code rates to mitigate cross-temperature effects in a non-volatile memory (NVM) | SEAGATE TECHNOLOGY LLC | 1 |
10956065 | Solid state storage device with quick boot from NAND media | MICRON TECHNOLOGY, INC. | 1 |
10956066 | Non-volatile memory adapted to configure low power dynamic random access memory | MICRON TECHNOLOGY, INC. | 1 |
10956067 | Memory controller and flash memory system having the same | TDK CORPORATION | 1 |
10956068 | Time-stamped data in a data storage device | SEAGATE TECHNOLOGY LLC | 1 |
10956080 | Erasure of data from a memory of a data storage apparatus by identifying available free space in the memory and iteratively writing a sequence of files decreasing size to the memory using a file-based protocol | BLANCCO TECHNOLOGY GROUP IP OY | 1 |
10956092 | Semiconductor storage device | KIOXIA CORPORATION | 1 |
10956114 | Environmental characterization based on a change condition | B&W GROUP LTD. | 1 |
10956118 | Audio content auditioning by playback device | SONOS, INC. | 1 |
10956119 | Playback device | SONOS, INC. | 1 |
10956258 | Systems and methods for adaptive data storage | UNIFICATION TECHNOLOGIES LLC | 1 |
10956259 | Error correction code memory device and codeword accessing method thereof | -- | 1 |
10956262 | Deferred error code correction with improved effective data bandwidth performance | MICRON TECHNOLOGY, INC. | 1 |
10956263 | Memory system with deep learning based interference correction capability and method of operating such memory system | SK HYNIX INC. | 1 |
10956264 | Memory system | TOSHIBA MEMORY CORPORATION | 1 |
10956267 | Systems and methods for passive quantum error correction | THE ADMINISTRATORS OF THE TULANE EDUCATIONAL FUND | 1 |
10956268 | Systems, methods, and apparatuses for stacked memory | INTEL CORPORATION | 1 |
10956283 | Memory system capable of efficiently performing an error correction operation and operating method thereof | SK HYNIX INC. | 1 |
10956292 | Utilizing integrity information for data retrieval in a vast storage system | PURE STORAGE, INC. | 1 |
10956334 | Uninterrupted read of consecutive pages for memory | MICRON TECHNOLOGY, INC. | 1 |
10956349 | Support for multiple widths of DRAM in double data rate controllers or data buffers | INTEGRATED DEVICE TECHNOLOGY, INC. | 1 |
10956492 | Systems and methods for segmenting surgical videos | VERILY LIFE SCIENCES LLC | 1 |
10956622 | Thermal hardware-based data security device that permanently erases data by using local heat generation phenomenon and method thereof | KOREA ADVANCED INSTITUTE OF SCIENCE AND TECHNOLOGY | 1 |
10956642 | Apparatuses and methods for measuring an electrical characteristic of a model signal line and providing measurement information | MICRON TECHNOLOGY, INC. | 1 |
10956806 | Efficient assembly of oligonucleotides for nucleic acid based data storage | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10956813 | Compute-in-memory circuit having a multi-level read wire with isolated voltage distributions | INTEL CORPORATION | 1 |
10957230 | Shift register unit and driving method for the same, gate driving circuit and display device | BOE TECHNOLOGY GROUP CO., LTD. | 1 |
10957242 | Display panel | SAMSUNG DISPLAY CO., LTD. | 1 |
10957266 | Drive circuit and display apparatus | SAKAI DISPLAY PRODUCTS CORPORATION | 1 |
10957294 | Method and system for generating an audio or MIDI output file using a harmonic chord map | SCORE MUSIC PRODUCTIONS LIMITED | 1 |
10957343 | Disk device having conventional magnetic recording region and shingled magnetic recording region of different linear density | KABUSHIKI KAISHA TOSHIBA | 1 |
10957345 | Magnetic disk device and write processing method | KABUSHIKI KAISHA TOSHIBA | 1 |
10957346 | Magnetic recording devices and methods using a write-field-enhancement structure and bias current with offset pulses | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10957347 | Thin film heating device in a write gap | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10957348 | Magnetic recording write head with selected write gap current direction for minimization of cross-track interference | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10957349 | Co-located gimbal-based dual stage actuation disk drive head suspension manufacturing method with non-parallel motors | HUTCHINSON TECHNOLOGY INCORPORATED | 1 |
10957350 | Head gimbal assembly with structural yaw stiffener | SEAGATE TECHNOLOGY LLC | 1 |
10957351 | Microactuator, head suspension assembly and disk device | KABUSHIKI KAISHA TOSHIBA | 1 |
10957352 | Magnetic recording medium, magnetic signal reproduction device and method of manufacturing magnetic recording medium | FUJIFILM CORPORATION | 1 |
10957353 | Optical phono cartridge and system | PHIX PHOTONICS ASSEMBLY | 1 |
10957354 | Base plate and hard disk drive | NIDEC CORPORATION | 1 |
10957355 | Authenticating digital recordings | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10957356 | Data storage tape cartridge | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10957358 | Reference and non-reference video quality evaluation | APPLE INC. | 1 |
10957359 | Systems and methods for detecting moments within videos | GOPRO, INC. | 1 |
10957360 | Using optical character recognition to synchronize recorded videos | OBJECTVIDEO LABS, LLC | 1 |
10957361 | Tool-less storage device adaptor tray with slider mechanism | SUPER MICRO COMPUTER, INC. | 1 |
10957362 | Non-interfering micro-positioning system utilizing piezoelectric elements | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10957363 | Disk drive with oxygen diffusion unit | SEAGATE TECHNOLOGY LLC | 1 |
10957364 | Charge pump supply optimization and noise reduction method for logic systems | MICRON TECHNOLOGY, INC. | 1 |
10957365 | Setting local power domain timeout via temperature sensor systems and methods | MICRON TECHNOLOGY, INC. | 1 |
10957366 | Circuits and methods for compensating a mismatch in a sense amplifier | -- | 1 |
10957368 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION | 1 |
10957369 | Word line drivers sharing a transistor, and related memory devices and systems | MICRON TECHNOLOGY, INC. | 1 |
10957370 | Integration of epitaxially grown channel selector with two terminal resistive switching memory element | SPIN MEMORY, INC. | 1 |
10957371 | Memory device that enables direct block copying between cell configurations in different operation modes | TOHOKU UNIVERSITY | 1 |
10957372 | Switching skyrmions with VCMA/electric field for memory, computing and information processing | VIRGINIA COMMONWEALTH UNIVERSITY | 1 |
10957373 | Semiconductor memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10957374 | Memory cells and arrays of memory cells | MICRON TECHNOLOGY, INC. | 1 |
10957375 | Dynamic random access memory (DRAM) cell, DRAM device and storage method | CHANGXIN MEMORY TECHNOLOGIES, INC. | 1 |
10957376 | Refresh testing circuit and method | -- | 1 |
10957377 | Apparatuses and methods for distributed targeted refresh operations | MICRON TECHNOLOGY, INC. | 1 |
10957378 | Control circuit and control method thereof for pseudo static random access memory | -- | 1 |
10957379 | Method of refreshing memory using multiple operating voltages and memory device performing the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10957380 | Memory device scrambling address | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10957381 | Metadata grouping for un-map techniques | MICRON TECHNOLOGY, INC. | 1 |
10957382 | Integrated assemblies comprising vertically-stacked memory array decks and folded digit line connections | MICRON TECHNOLOGY, INC. | 1 |
10957383 | Memory cell sensing based on precharging an access line using a sense amplifier | MICRON TECHNOLOGY, INC. | 1 |
10957384 | Page buffer structure and fast continuous read | -- | 1 |
10957385 | Semiconductor storage device with assist timing control circuit | KABUSHIKI KAISHA TOSHIBA | 1 |
10957386 | Row based memory write assist and active sleep bias | INTEL CORPORATION | 1 |
10957387 | Multi-level cell (MLC) techniques and circuits for cross-point memory | INTEL CORPORATION | 1 |
10957388 | Systems, methods and devices for programming a multilevel resistive memory cell | MICRON TECHNOLOGY, INC. | 1 |
10957389 | Multifunctional memory cells | MICRON TECHNOLOGY, INC. | 1 |
10957390 | Semiconductor device | -- | 1 |
10957391 | Array organization and architecture to perform range-match operations with content addressable memory (CAM) circuits | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10957392 | 2D and 3D sum-of-products array for neuromorphic computing system | -- | 1 |
10957393 | Apparatus and methods for performing concurrent access operations on different groupings of memory cells | MICRON TECHNOLOGY, INC. | 1 |
10957394 | NAND string pre-charge during programming by injecting holes via substrate | SANDISK TECHNOLOGIES LLC | 1 |
10957395 | Nonvolatile memory devices and operating methods thereof | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10957396 | Synapse string and synapse string array for neural networks | SEOUL NATIONAL UNIVERSITY R&DB FOUNDATION | 1 |
10957397 | Non-volatile memory device, storage device, and programming method thereof for performing an erase detect operation | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10957398 | Electronic device with memory erased by page | THALES DIS FRANCE SA | 1 |
10957399 | Memory and operation method thereof | SHANGHAI HUAHONG GRACE SEMICONDUCTOR MANUFACTURING CORPORATION | 1 |
10957400 | Memory system | KIOXIA CORPORATION | 1 |
10957401 | Boosting read scheme with back-gate bias | SANDISK TECHNOLOGIES LLC | 1 |
10957402 | High-voltage shifter with degradation compensation | MICRON TECHNOLOGY, INC. | 1 |
10957403 | Semiconductor device including a voltage generation circuit configured with first and second current circuits for increasing voltages of first, second, and third output nodes | TOSHIBA MEMORY CORPORATION | 1 |
10957404 | Memory device which generates operation voltages in parallel with reception of an address | TOSHIBA MEMORY CORPORATION | 1 |
10957405 | Memory system configured to update write voltage applied to memory cells based on number of write or erase operations | TOSHIBA MEMORY CORPORATION | 1 |
10957406 | Memory system that determines a type of stress of a memory device | TOSHIBA MEMORY CORPORATION | 1 |
10957407 | Calculating corrective read voltage offsets in non-volatile random access memory | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10957408 | Non-volatile memory device and control method | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
10957409 | Method of performing programming operation and related memory device | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
10957410 | Methods and apparatus for facilitated program and erase of two-terminal memory devices | CROSSBAR, INC. | 1 |
10957411 | Apparatus and method for managing valid data in memory system | SK HYNIX INC. | 1 |
10957412 | Memory device and operating method of the memory device | SK HYNIX INC. | 1 |
10957413 | Shared error check and correct logic for multiple data banks | MICRON TECHNOLOGY, INC. | 1 |
10957414 | Method of test and repair of memory cells during power-up sequence of memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10957415 | NAND flash memory and reading method thereof | -- | 1 |
10957416 | Methods and apparatus for maintaining characterized memory devices | MICRON TECHNOLOGY, INC. | 1 |
10957417 | On-die memory power analytics and management | MICRON TECHNOLOGY, INC. | 1 |
10957418 | Interconnect system | MICRON TECHNOLOGY, INC. | 1 |
10957427 | Automated clinical documentation system and method | NUANCE COMMUNICATIONS, INC. | 1 |
10957428 | Automated clinical documentation system and method | NUANCE COMMUNICATIONS, INC. | 1 |
10957681 | Integrated assemblies comprising sense-amplifier-circuitry and wordline-driver-circuitry under memory cells of a memory array | MICRON TECHNOLOGY, INC. | 1 |
10957701 | Fin-based anti-fuse device for integrated circuit (IC) products, methods of making such an anti-fuse device and IC products comprising such an anti-fuse device | GLOBALFOUNDRIES U.S. INC. | 1 |
10957705 | Three-dimensional memory devices having a multi-stack bonded structure using a logic die and multiple three-dimensional memory dies and method of making the same | SANDISK TECHNOLOGIES LLC | 1 |
10957710 | Three dimensional semiconductor memory including pillars having joint portions between columnar sections | TOSHIBA MEMORY CORPORATION | 1 |
10957711 | Ferroelectric device with multiple polarization states and method of making the same | SANDISK TECHNOLOGIES LLC | 1 |
10957742 | Resistive random-access memory array with reduced switching resistance variability | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10957846 | Magnetoresistive effect element and method of manufacturing the same | TOSHIBA MEMORY CORPORATION | 1 |
10957854 | Tunable resistive element | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10957962 | Magnetoresistive effect device | TDK CORPORATION | 1 |
10958266 | Programmable current for correlated electron switch | ARM LIMITED | 1 |
10958270 | Physical unclonable device and method of maximizing existing process variation for a physically unclonable device | -- | 1 |
10958271 | Output buffer having supply filters | MICRON TECHNOLOGY, INC. | 1 |
10958272 | Computational memory cell and processing array device using complementary exclusive or memory cells | GSI TECHNOLOGY, INC. | 1 |
10958274 | Microwave device and method of operation | ANYON SYSTEMS INC. | 1 |
10958453 | Method and apparatus for noise injection for PUF generator characterization | -- | 1 |
10958866 | Recording apparatus, recording method, and a non-transitory computer readable medium | JVCKENWOOD CORPORATION | 1 |
10958876 | System and method for movie segment bookmarking and sharing | OPEN TEXT SA ULC | 1 |
10960597 | Biaxially oriented thermoplastic resin film | TORAY INDUSTRIES, INC. | 1 |
10962497 | Sensors based on negative capacitance field effect transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10962590 | Magnet mounting apparatus for MTJ device testers | SPIN MEMORY, INC. | 1 |
10962610 | On-chip detection of spin states in color centers for metrology and information processing | MASSACHUSETTS INSTITUTE OF TECHNOLOGY | 1 |
10963166 | Operating parameters for flash memory devices | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10963184 | Non-volatile memory module architecture to support memory error correction | MICRON TECHNOLOGY, INC. | 1 |
10963191 | 3D NAND flash memory device and integration method thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
10963215 | Media playback device and system | SONOS, INC. | 1 |
10963327 | Detecting error count deviations for non-volatile memory blocks for advanced non-volatile memory block management | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10963337 | Memory system with super chip-kill recovery and method of operating such memory system | SK HYNIX INC. | 1 |
10963338 | System and method for decoder assisted dynamic log-likelihood ratio (LLR) estimation for NAND flash memories | TOSHIBA MEMORY CORPORATION | 1 |
10963339 | Data storage device and operating method thereof | SK HYNIX INC. | 1 |
10963405 | Minimum input/output toggling rate for interfaces | INTEL CORPORATION | 1 |
10963701 | Techniques for identifying and indexing distinguishing features in a video feed | VIVINT, INC. | 1 |
10963702 | Method and system for video segmentation | HUAWEI TECHNOLOGIES CO., LTD. | 1 |
10963724 | Absolute position encoder using a focused laser beam to detect position code words | NOVANTA CORPORATION | 1 |
10963776 | Artificial neuron based on ferroelectric circuit element | NAMLAB GGMBH | 1 |
10964243 | Shift register circuit and its driving method, gate driving circuit and its driving method, and display device | BOE TECHNOLOGY GROUP CO., LTD. | 1 |
10964245 | Shift register circuit and gate driver | -- | 1 |
10964247 | Display system | -- | 1 |
10964268 | Scan driving circuit, driving method, and display device | SHANGHAI TIANMA AM-OLED CO., LTD. | 1 |
10964281 | Liquid crystal display device, driving method of the same, and electronic device including the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
10964298 | Network musical instrument | -- | 1 |
10964340 | Heat-assisted recording head having sub wavelength mirror formed of first and second materials | SEAGATE TECHNOLOGY LLC | 1 |
10964341 | Magnetoresistive effect element, magnetic head, sensor, high-frequency filter, and oscillator | TDK CORPORATION | 1 |
10964342 | Methods of controlling a shape and size of solder joints of magnetic recording heads | SEAGATE TECHNOLOGY LLC | 1 |
10964343 | Disk device | KABUSHIKI KAISHA TOSHIBA | 1 |
10964344 | Magnetic disk device having two regions of different thicknesses | KABUSHIKI KAISHA TOSHIBA | 1 |
10964345 | Parallel servo control in a data storage device | SEAGATE TECHNOLOGY LLC | 1 |
10964346 | Magnetic recording medium and cartridge | SONY CORPORATION | 1 |
10964347 | Materials for near field transducers, near field tranducers containing same, and methods of forming | SEAGATE TECHNOLOGY LLC | 1 |
10964348 | Recording control apparatus, recording apparatus, recording control method, and recording control program | JVCKENWOOD CORPORATION | 1 |
10964349 | Detecting errors in sensor data | ZOOX, INC. | 1 |
10964350 | Setting bias currents and limiting corrosion in TMR sensors | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10964351 | Forensic video recording with presence detection | DIGITAL ALLY, INC. | 1 |
10964352 | Video production system | LEFT STUFF INC. | 1 |
10964353 | Electronic device with detachable structure and module frame thereof | -- | 1 |
10964354 | Oxidizing or reducing atmosphere for heat-assisted magnetic recording | SEAGATE TECHNOLOGY LLC | 1 |
10964355 | Memory device with strap cells | -- | 1 |
10964356 | Compute-in-memory bit cell | QUALCOMM INCORPORATED | 1 |
10964357 | Skewed sense amplifier for single-ended sensing | MARVELL ASIA PTE., LTD. | 1 |
10964358 | Apparatuses and methods for scatter and gather | MICRON TECHNOLOGY, INC. | 1 |
10964359 | Shift register, driving method thereof, gate driving circuit and display device | BOE TECHNOLOGY GROUP CO., LTD. | 1 |
10964360 | Memory device including on-die-termination circuit | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10964361 | Memory component with adjustable core-to-interface data rate ratio | RAMBUS INC. | 1 |
10964362 | Three-port memory cell and array for in-memory computing | MARVELL ASIA PTE, LTD. | 1 |
10964363 | Delay tracking method and memory system | -- | 1 |
10964364 | Semiconductor device and semiconductor system including the same | SK HYNIX INC. | 1 |
10964365 | Semiconductor apparatus, semiconductor system, and training method | SK HYNIX INC. | 1 |
10964366 | Magnetic memory, recording method of magnetic memory, and reading method of magnetic memory | SONY SEMICONDUCTOR SOLUTIONS CORPORATION | 1 |
10964367 | MRAM device comprising random access memory (RAM) and embedded read only memory (ROM) | GLOBALFOUNDRIES U.S. INC. | 1 |
10964368 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION | 1 |
10964369 | Memristor circuit, memristor control system, analog product-sum operator, and neuromorphic device | TDK CORPORATION | 1 |
10964370 | Semiconductor storage element, semiconductor storage device, and semiconductor system | SONY SEMICONDUCTOR SOLUTIONS CORPORATION | 1 |
10964371 | Detecting location within a network | IVANI, LLC | 1 |
10964372 | Memory cell biasing techniques | MICRON TECHNOLOGY, INC. | 1 |
10964373 | Memory cells with capacitive logic based on electromechanically controlled variable-capacitance capacitors | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES | 1 |
10964376 | Nonvolatile memory apparatus, write method of the nonvolatile memory apparatus, and system using the nonvolatile memory apparatus | SK HYNIX INC. | 1 |
10964377 | Semiconductor storage device | KIOXIA CORPORATION | 1 |
10964378 | Apparatus and method including analog accumulator for determining row access rate and target row address used for refresh operation | MICRON TECHNOLOGY, INC. | 1 |
10964379 | Ring oscillator based bitcell delay monitor | ARM LIMITED | 1 |
10964380 | Integrated device comprising memory bitcells comprising shared preload line and shared activation line | QUALCOMM INCORPORATED | 1 |
10964381 | Write assist circuit of memory device | -- | 1 |
10964382 | Variable resistive memory device and method of driving a variable resistive memory device | SK HYNIX INC. | 1 |
10964383 | Memory driving device | JIANGSU ADVANCED MEMORY TECHNOLOGY CO., LTD. | 1 |
10964384 | Method for controlling resistive random-access memory | -- | 1 |
10964385 | Restoring memory cell threshold voltages | MICRON TECHNOLOGY, INC. | 1 |
10964386 | Initialisation of a storage device | ARM LIMITED | 1 |
10964387 | Resistive memory device including reference cell and operating method thereof | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10964388 | Selector device for two-terminal memory | CROSSBAR, INC. | 1 |
10964389 | Memory cell | -- | 1 |
10964390 | Skip coding for fractional bit-per-cell NAND memories | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10964391 | Programming circuit and programming method of flash memory and flash memory | -- | 1 |
10964392 | Memory system performing cache program and operating method thereof | SK HYNIX INC. | 1 |
10964393 | Method for operating a semiconductor device having a memory circuit with an OS transistor and an arithmetic circuit | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
10964394 | Semiconductor memory system including a plurality of semiconductor memory devices | TOSHIBA MEMORY CORPORATION | 1 |
10964395 | Memory system, memory device and memory controller | SK HYNIX INC. | 1 |
10964396 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION | 1 |
10964397 | Vertical memory device having improved electrical characteristics and method of operating the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10964398 | Memory device and a storage system using the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10964399 | One-time programmable (OTP) memory devices and methods of testing OTP memory devices | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10964400 | Memory read apparatus and methods | MICRON TECHNOLOGY, INC. | 1 |
10964401 | Power shaping and peak power reduction by data transfer throttling | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10964402 | Reprogramming memory cells to tighten threshold voltage distributions and improve data retention | SANDISK TECHNOLOGIES LLC | 1 |
10964403 | Shift register unit, driving method, gate driving circuit and display device | CHONGQING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. | 1 |
10964404 | Semiconductor device | RENESAS ELECTRONICS CORPORATION | 1 |
10964405 | Memory initialization reporting and control | ATI TECHNOLOGIES ULC | 1 |
10964406 | Methods of scrubbing errors and semiconductor modules using the same | SK HYNIX INC. | 1 |
10964468 | Magnetic memory structures using electric-field controlled interlayer exchange coupling (IEC) for magnetization switching | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA | 1 |
10964604 | Magnetic storage element, magnetic storage device, electronic device, and method of manufacturing magnetic storage element | SONY SEMICONDUCTOR SOLUTIONS CORPORATION | 1 |
10964624 | Techniques for fluid cooling of integrated circuits in packages | INTEL CORPORATION | 1 |
10964682 | Data storage system using wafer-level packaging | INTEL CORPORATION | 1 |
10964683 | Memory array circuit and method of manufacturing the same | -- | 1 |
10964701 | Vertical shared gate thin-film transistor-based charge storage memory | INTEL CORPORATION | 1 |
10964702 | Semiconductor device with first-in-first-out circuit | MICRON TECHNOLOGY, INC. | 1 |
10964709 | Stacked FinFET EEPROM | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10964710 | Non-volatile memory devices and methods of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10964712 | Memory system | TOSHIBA MEMORY CORPORATION | 1 |
10964720 | Semiconductor memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10964721 | Semiconductor devices including ferroelectric layer and methods of fabricating the same | SK HYNIX INC. | 1 |
10964748 | Electric field controllable spin filter tunnel junction magnetoresistive memory devices and methods of making the same | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10964749 | GaN-based threshold switching device and memory diode | ARIZONA BOARD OF REGENTS ON BEHALF OF ARIZONA STATE UNIVERSITY | 1 |
10964807 | 3D semiconductor device with memory | MONOLITHIC 3D INC. | 1 |
10964883 | Magnetic storage device | TOSHIBA MEMORY CORPORATION | 1 |
10964885 | Magnetoresistive effect element, magnetic memory, magnetization rotation method, and spin current magnetization rotational element | TDK CORPORATION | 1 |
10964886 | Spin transfer torque memory devices having heusler magnetic tunnel junctions | INTEL CORPORATION | 1 |
10964887 | Highly physical ion resistive spacer to define chemical damage free sub 60nm MRAM devices | -- | 1 |
10965254 | Low noise amplifier circuit for a thermal varying resistance | STMICROELECTRONICS S.R.L. | 1 |
10965281 | Circuit based on a III/V semiconductor and a method of operating the same | DIALOG SEMICONDUCTOR (UK) LIMITED | 1 |
10965307 | Signal processing apparatus, signal processing method, and program | SONY CORPORATION | 1 |
10965319 | Bit flipping algorithm for decoding LDPC-encoded data | PETAIO INC. | 1 |
10965321 | Transformation of binary data to non-binary data for storage in non-volatile memory | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10965323 | Transmission method and reception device | SONY CORPORATION | 1 |
10965324 | Memory controller, memory system, and memory control method | TOSHIBA MEMORY CORPORATION | 1 |
10965506 | Data processing apparatus and method for use in an interleaver suitable for multiple operating modes | SONY CORPORATION | 1 |
10965886 | System and method of generating a composite frame | CANON KABUSHIKI KAISHA | 1 |
10965888 | Subtitle presentation based on volume control | SNAP INC. | 1 |
10965901 | Imaging device and recording control system | SONY CORPORATION | 1 |
10965910 | Wearable recording system with memory designation | -- | 1 |
10965920 | Drive device and projection-type image display device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. | 1 |
10965926 | Reproducing apparatus, reproducing method, information processing apparatus, information processing method, program, and recording medium | SONY CORPORATION | 1 |
10965927 | Transmitter, transmission method, receiver, and reception method | SATURN LICENSING LLC | 1 |
10965965 | Detecting of graphical objects to identify video demarcations | ARRIS ENTERPRISES LLC | 1 |
10965978 | Recording device and recording method | FUNAI ELECTRIC CO., LTD. | 1 |
10968518 | Carbon overcoat surface treatment | SEAGATE TECHNOLOGY LLC | 1 |
10968954 | Grease, antifriction bearing, antifriction bearing device, and information recording/reproducing device | SEIKO INSTRUMENTS INC. | 1 |
10969443 | Magnetic flux control in superconducting devices | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA | 1 |
10969974 | Power-based dynamic adjustment of memory module bandwidth | INTEL CORPORATION | 1 |
10969987 | Memory device, memory system including the memory device, and method of operating the memory system | SK HYNIX INC. | 1 |
10969998 | Semiconductor apparatus including a plurality of dies operating as a plurality of channels | SK HYNIX INC. | 1 |
10970033 | Systems and methods for generating a visual color display of audio-file data | INMUSIC BRANDS, INC. | 1 |
10970034 | Audio distributor selection | SONOS, INC. | 1 |
10970044 | Semiconductor device for performing sum-of-product computation and operating method thereof | -- | 1 |
10970046 | Random number generator compatible with complementary metal-oxide semiconductor technology | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10970164 | Storage device and operating method of storage device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10970165 | Encoder and decoder for memory system and method thereof | SK HYNIX INC. | 1 |
10970166 | Memory system and method of controlling non-volatile memory | TOSHIBA MEMORY CORPORATION | 1 |
10970167 | Memory device | -- | 1 |
10970169 | Data configuration management system for an engine | GENERAL ELECTRIC COMPANY | 1 |
10970204 | Reducing read-write interference by adaptive scheduling in NAND flash SSDs | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10970207 | Storage system with interconnected solid state disks | INTEL CORPORATION | 1 |
10970218 | Apparatuses and methods for compute enabled cache | MICRON TECHNOLOGY, INC. | 1 |
10970227 | Data processing apparatus for handling page fault using predefind bit patterns and a method thereof | HUAWEI TECHNOLOGIES CO., LTD. | 1 |
10970239 | Hub circuit for a DIMM having multiple components that communicate with a host | INTEL CORPORATION | 1 |
10970240 | Protocol including a command-specified timing reference signal | RAMBUS INC. | 1 |
10970363 | Machine-learning optimization of data reading and writing | MICROSOFT TECHNOLOGY LICENSING, LLC | 1 |
10970381 | System for identifying unauthorized signals on a data bus | SITAL TECHNOLOGY AND HARDWARE ENGINEERING (1997) LTD. | 1 |
10970626 | Multi-memristive synapse with clock-arbitrated weight update | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10970803 | Fingerprinting of data | SONY CORPORATION | 1 |
10971102 | Shift register unit and driving method, gate driving circuit, and display device | HEFEI BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. | 1 |
10971103 | Driver circuit, display device, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
10971104 | Shift register and method for driving the same, gate driving circuit, and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. | 1 |
10971138 | Break state detection for reduced capability devices | SINCLAIR BROADCAST GROUP, INC. | 1 |
10971175 | Storage element | SONY CORPORATION | 1 |
10971176 | Tunnel magnetoresistive sensor with adjacent gap having chromium alloy seed layer and refractory material layer | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10971177 | Heat-assisted magnetic recording device with multiple writers that write to the same disk surface at different time periods | SEAGATE TECHNOLOGY LLC | 1 |
10971178 | Vertically translating load/unload ramp mechanism for cold storage data storage device | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10971179 | Compact mode converter having first and second straight portions for a heat-assisted magnetic recording device | SEAGATE TECHNOLOGY LLC | 1 |
10971180 | Methods of forming near field transducers and near field transducers formed thereby | SEAGATE TECHNOLOGY LLC | 1 |
10971181 | Sputtering target for magnetic recording media | TANAKA KIKINZOKU KOGYO K.K. | 1 |
10971182 | Magnetic powder, manufacturing method of magnetic powder, and magnetic recording medium | FUJIFILM CORPORATION | 1 |
10971183 | Dielectric layer, optical recording medium, sputtering target and oxide | KOBE STEEL, LTD. | 1 |
10971184 | Dual drive tape embedded system | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10971185 | Management of media content playback | SONOS, INC. | 1 |
10971186 | Cartridge memory, recording medium cartridge, and method of producing the same | SONY CORPORATION | 1 |
10971187 | Constant-density writing for magnetic storage media | MARVELL ASIA PTE, LTD. | 1 |
10971188 | Apparatus and method for editing content | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10971189 | Special effect synchronization method and apparatus, and mobile terminal | BEJING DAJIA INTERNET INFORMATION TECHNOLOGY CO., LTD | 1 |
10971190 | Synthesizing a presentation from multiple media clips | GRACENOTE, INC. | 1 |
10971191 | Coordinated audiovisual montage from selected crowd-sourced content with alignment to audio baseline | -- | 1 |
10971192 | Methods and systems for detection of anomalous motion in a video stream and for creating a video summary | GENETEC INC. | 1 |
10971193 | Base unit and optical disk device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION | 1 |
10971194 | Data storage library with media acclimation device and methods of acclimating data storage media | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10971195 | Cavity seal and moisture control | SEAGATE TECHNOLOGY LLC | 1 |
10971196 | Single-ended sense amplifier | -- | 1 |
10971197 | Control circuit, semiconductor memory device, information processing device, and control method | SONY SEMICONDUCTOR SOLUTIONS CORPORATION | 1 |
10971198 | Semiconductor system and method of operating the same | SK HYNIX INC. | 1 |
10971199 | Microcontroller for non-volatile memory with combinational logic | SANDISK TECHNOLOGIES LLC | 1 |
10971200 | Semiconductor circuit and operating method for the same | -- | 1 |
10971201 | On-die termination of address and command signals | RAMBUS INC. | 1 |
10971202 | Low latency data transfer | SANDISK TECHNOLOGIES LLC | 1 |
10971203 | Wear leveling for random access and ferroelectric memory | MICRON TECHNOLOGY, INC. | 1 |
10971204 | Three-dimensional non-volatile ferroelectric memory | FUDAN UNIVERSITY | 1 |
10971206 | Semiconductor memory device | SK HYNIX INC. | 1 |
10971207 | Semiconductor memory device | SK HYNIX INC. | 1 |
10971208 | Semiconductor device having interconnection in package and method for manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10971209 | VHSA-VDDSA generator merging scheme | SANDISK TECHNOLOGIES LLC | 1 |
10971210 | Nonvolatile memory device and operating method of the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10971211 | Semiconductor devices for recognizing a phase of a division clock signal | SK HYNIX INC. | 1 |
10971212 | Memory chip and control method thereof | -- | 1 |
10971213 | Data sensing device and data sensing method thereof | -- | 1 |
10971214 | Apparatuses and methods to perform logical operations using sensing circuitry | MICRON TECHNOLOGY, INC. | 1 |
10971215 | Dynamically adjust data transfer speed for non-volatile memory die interfaces | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10971216 | SRAM configuration cell for low-power field programmable gate arrays | MICROSEMI SOC CORP. | 1 |
10971217 | SRAM cell for interleaved wordline scheme | -- | 1 |
10971218 | Method and apparatus for memory noise-free wake-up protocol from power-down | SYNOPSYS, INC. | 1 |
10971219 | Semiconductor device | RENESAS ELECTRONICS CORPORATION | 1 |
10971220 | Write assist for a memory device and methods of forming the same | -- | 1 |
10971221 | Storage device and methods with fault tolerance capability for neural networks | SHANGHAI CAMBRICON INFORMATION TECHNOLOGY CO., LTD. | 1 |
10971222 | Dynamic bit-scan techniques for memory device programming | SANDISK TECHNOLOGIES LLC | 1 |
10971223 | Phase change memory operation method and circuit | -- | 1 |
10971224 | High voltage switching circuitry for a cross-point array | UNITY SEMICONDUCTOR CORPORATION | 1 |
10971225 | Resistive random access memory device with three-dimensional cross-point structure and method of operating the same | TOSHIBA MEMORY CORPORATION | 1 |
10971226 | Hyper-dimensional computing device | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10971227 | Preservation circuit and methods to maintain values representing data in one or more layers of memory | UNITY SEMICONDUCTOR CORPORATION | 1 |
10971228 | Adaptive application of voltage pulses to stabilize memory cell voltage levels | MICRON TECHNOLOGY, INC. | 1 |
10971229 | Method, system and device for integration of volatile and non-volatile memory bitcells | ARM LIMITED | 1 |
10971230 | Nonvolatile memory device and method of processing in memory (PIM) using the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10971231 | Adaptive VPASS for 3D flash memory with pair string structure | SANDISK TECHNOLOGIES LLC | 1 |
10971232 | Nonvolatile memory device and program method of the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10971233 | Read window budget based dynamic program step characteristic adjustment | MICRON TECHNOLOGY, INC. | 1 |
10971234 | Page buffer, a memory device having page buffer, and a method of operating the memory device | SK HYNIX INC. | 1 |
10971235 | Methods of operating memory devices based on sub-block positions and related memory system | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10971236 | Semiconductor device with a function of generating inherent information | -- | 1 |
10971237 | Semiconductor device | LAPIS SEMICONDUCTOR CO., LTD. | 1 |
10971238 | Three-dimensional semiconductor memory devices and methods of operating the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10971239 | Memory circuit, system and method for rapid retrieval of data sets | SUNRISE MEMORY CORPORATION | 1 |
10971240 | Wordline smart tracking verify | SANDISK TECHNOLOGIES LLC | 1 |
10971241 | Performance based method and system for patrolling read disturb errors in a memory unit | TOSHIBA MEMORY CORPORATION | 1 |
10971242 | Sequential error capture during memory test | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10971243 | Built-in self-test (BIST) engine configured to store a per pattern based fail status in a pattern mask register | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10971245 | Measurement of MTJ in a compact memory array | SPIN MEMORY, INC. | 1 |
10971246 | Performing error correction in computer memory | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10971247 | Semiconductor memory devices, memory systems, and methods of operating semiconductor memory devices | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10971293 | Spin-orbit-torque magnetization rotational element, spin-orbit-torque magnetoresistance effect element, and spin-orbit-torque magnetization rotational element manufacturing method | TDK CORPORATION | 1 |
10971484 | Package-on-package (PoP) semiconductor package and electronic system including the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10971487 | Semiconductor memory device | SK HYNIX INC. | 1 |
10971499 | Unified micro system with memory IC and logic IC | -- | 1 |
10971502 | SRAM structure | -- | 1 |
10971504 | Three-dimensional monolithic vertical transistor memory cell with unified inter-tier cross-couple | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10971505 | Memory devices and methods of manufacturing thereof | -- | 1 |
10971509 | Semiconductor memory device | SK HYNIX INC. | 1 |
10971510 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION | 1 |
10971513 | Three-dimensional semiconductor memory devices and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10971528 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
10971545 | Magnetoresistive stacks and methods therefor | EVERSPIN TECHNOLOGIES, INC. | 1 |
10971676 | Magnetoresistive random access memory having a ring of magnetic tunneling junction region surrounding an array region | -- | 1 |
10971681 | Method for manufacturing a data recording system utilizing heterogeneous magnetic tunnel junction types in a single chip | SPIN MEMORY, INC. | 1 |
10971682 | Method for fabricating memory device | -- | 1 |
10971684 | Intercalated metal/dielectric structure for nonvolatile memory devices | -- | 1 |
10972002 | Clamp circuit for voltage regulator | NXP USA, INC. | 1 |
10972005 | Charge pump circuit, semiconductor device, and semiconductor memory device | -- | 1 |
10972078 | Internal clock distortion calibration using DC component offset of clock signal | MICRON TECHNOLOGY, INC. | 1 |
10972101 | Level shifters, memory systems, and level shifting methods | MICRON TECHNOLOGY, INC. | 1 |
10972292 | I/O circuit design for SRAM-based PUF generators | -- | 1 |
10972635 | Synchronizing wireless sensor data and video | MYRIAD SENSORS, INC. | 1 |
10972663 | Methods for automatically switching video capturing and playing back frame rate | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. | 1 |
10972682 | System and method for adding virtual audio stickers to videos | FACEBOOK, INC. | 1 |
10972746 | Method of combining image files and other files | SHUTTERSONG INCORPORATED | 1 |
10972749 | Systems and methods for reconstructing frames | DISNEY ENTERPRISES, INC. | 1 |
10972788 | Distortion-based video re-encoding | AMAZON TECHNOLOGIES, INC. | 1 |
10972810 | Method for generating a composition of audible and visual media | LOMOTIF PRIVATE LIMITED | 1 |
10972811 | Image processing device and image processing method | SONY CORPORATION | 1 |
10972836 | Digital signal routing circuit | CIRRUS LOGIC, INC. | 1 |
10974515 | Disposing memory banks and select register | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. | 1 |
10976367 | Controller structural testing with automated test vectors | MICRON TECHNOLOGY, INC. | 1 |
10976368 | Memory apparatus relating to determination of a failed region and test method thereof, memory module and system using the same | SK HYNIX INC. | 1 |
10976936 | Sensing operations in memory | MICRON TECHNOLOGY, INC. | 1 |
10976943 | Apparatuses and methods to change data category values | MICRON TECHNOLOGY, INC. | 1 |
10976945 | Memory devices with multiple sets of latencies and methods for operating the same | MICRON TECHNOLOGY, INC. | 1 |
10976947 | Dynamically selecting segment heights in a heterogeneous RAID group | PURE STORAGE, INC. | 1 |
10976960 | Methods of synchronizing memory operations and memory systems employing the same | MICRON TECHNOLOGY, INC. | 1 |
10976987 | Graphical user interface for content management | HEARTMEDIA MANAGEMENT SERVICES, INC. | 1 |
10976990 | Mechanism for retrieval of previously captured audio | APPLE INC. | 1 |
10977033 | Mask patterns generated in memory from seed vectors | MICRON TECHNOLOGY, INC. | 1 |
10977115 | NAND parity information techniques for systems with limited RAM | MICRON TECHNOLOGY, INC. | 1 |
10977116 | Data access method, memory control circuit unit and memory storage device | -- | 1 |
10977117 | Memory device, a memory system and an operating method thereof | SK HYNIX INC. | 1 |
10977118 | DRAM assist error correction mechanism for DDR SDRAM interface | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10977119 | Techniques for utilizing volatile memory buffers to reduce parity information stored on a storage device | APPLE INC. | 1 |
10977120 | Memory controller determining endurance degradation, memory system including the same, and method of operating the memory controller | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10977121 | Fast page continuous read | -- | 1 |
10977122 | System and method for facilitating differentiated error correction in high-density flash devices | ALIBABA GROUP HOLDING LIMITED | 1 |
10977127 | Concatenating data objects in a vast data storage network | PURE STORAGE, INC. | 1 |
10977139 | Detailed failure notifications in memory sub-systems | MICRON TECHNOLOGY, INC. | 1 |
10977145 | Method of phase calibration for double data rate memory interface and related system | -- | 1 |
10977186 | Last written page searching | MICRON TECHNOLOGY, INC. | 1 |
10977232 | Blockchain digest augmentation of tape cartridges via a solid-state cartridge memory | -- | 1 |
10977295 | Digital jukebox device with improved user interfaces, and associated methods | TOUCHTUNES MUSIC CORPORATION | 1 |
10977378 | Encoding-locked method for audio processing and audio processing system | -- | 1 |
10977918 | Method and system for generating a smart time-lapse video clip | GOOGLE LLC | 1 |
10977977 | Semiconductor display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
10978017 | Shift register unit, gate driving circuit, display apparatus and control method | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. | 1 |
10978097 | Indicating tracks as erased without deleting data for the tracks | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10978098 | Magnetic recording device | KABUSHIKI KAISHA TOSHIBA | 1 |
10978099 | Bearing systems | GALLEON INTERNATIONAL CORPORATION | 1 |
10978100 | Belt-driven rotary cam elevator mechanism for reduced-head data storage device | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10978101 | Method of manufacturing a wiring structure of a head suspension | NHK SPRING CO., LTD. | 1 |
10978102 | Thermally assisted magnetic head, head gimbal assembly and hard disk drive | SAE MAGNETICS (H.K.) LTD. | 1 |
10978103 | Magnetic recording medium and magnetic storage apparatus | SHOWA DENKO K.K. | 1 |
10978104 | Magnetic recording medium having a dimensional variation and cartridge | SONY CORPORATION | 1 |
10978105 | Magnetic recording medium having characterized magnetic layer and magnetic recording and reproducing device | FUJIFILM CORPORATION | 1 |
10978106 | Media recording element | TIVO CORPORATION | 1 |
10978107 | Information processing apparatus, optical storage apparatus, and method for processing information | SONY CORPORATION | 1 |
10978108 | Apparatus, method, and program for creating a video work | HURRAY3 INC. | 1 |
10978109 | Synchronously playing method and device of media file, and storage medium | BEIJING BYTEDANCE NETWORK TECHNOLOGY CO., LTD. | 1 |
10978110 | Image recording apparatus and control method thereof | CANON KABUSHIKI KAISHA | 1 |
10978111 | Sense amplifier circuit with reference voltage holding circuit for maintaining sense amplifier reference voltage when the sense amplifier operates under standby mode | -- | 1 |
10978112 | Memory devices having special mode access | MICRON TECHNOLOGY, INC. | 1 |
10978113 | Page buffer and memory device including the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10978114 | Shift register unit, gate driving circuit, display device and driving method to reduce noise | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. | 1 |
10978115 | Apparatuses and methods for storing and writing multiple parameter codes for memory operating parameters | MICRON TECHNOLOGY, INC. | 1 |
10978116 | Multiple concurrent modulation schemes in a memory system | MICRON TECHNOLOGY, INC. | 1 |
10978117 | Centralized placement of command and address swapping in memory devices | MICRON TECHNOLOGY, INC. | 1 |
10978118 | DDR SDRAM signal calibration device and method | -- | 1 |
10978119 | Memory device and method of operating the same | SK HYNIX INC. | 1 |
10978120 | Memory interface circuit, memory storage device and signal generation method | -- | 1 |
10978121 | Voltage control magnetic random storage unit, memory and logic device composed thereby | INSTITUTE OF SEMICONDUCTORS, CHINESE ACADEMY OF SCIENCES | 1 |
10978122 | Memory including non-volatile cells and current driving circuit | -- | 1 |
10978123 | Tamper protection of memory devices on an integrated circuit | NXP USA, INC. | 1 |
10978124 | Method and circuits for programming STT-MRAM cells for reducing back-hopping | -- | 1 |
10978125 | Transistor with adjustable rectifying transfer characteristic | NAMLAB GGMBH | 1 |
10978126 | Ground reference scheme for a memory cell | MICRON TECHNOLOGY, INC. | 1 |
10978127 | Ferroelectric random access memory sensing scheme | CYPRESS SEMICONDUCTOR CORPORATION | 1 |
10978128 | Memory cell imprint avoidance | MICRON TECHNOLOGY, INC. | 1 |
10978129 | Memory cell, memory cell arrangement and methods thereof | FERROELECTRIC MEMORY GMBH | 1 |
10978130 | Temperature-based access timing for a memory device | MICRON TECHNOLOGY, INC. | 1 |
10978131 | Mobile device and operation method thereof | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10978132 | Apparatuses and methods for staggered timing of skipped refresh operations | MICRON TECHNOLOGY, INC. | 1 |
10978133 | Memory device and memory system comprising the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10978134 | Method and device for refreshing memory | ALIBABA GROUP HOLDING LIMITED | 1 |
10978135 | Architecture for resolution of data and refresh-path conflict for low-power digital isolator | TEXAS INSTRUMENTS INCORPORATED | 1 |
10978136 | Dynamic refresh rate control | APPLE INC. | 1 |
10978137 | Memory device and method of operating the same | -- | 1 |
10978138 | Main word line driver circuit | MICRON TECHNOLOGY, INC. | 1 |
10978139 | Dual-mode high-bandwidth SRAM with self-timed clock circuit | QUALCOMM INCORPORATED | 1 |
10978140 | Random-access memory array memory cell selection | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10978141 | Configurable integrated circuits | ARM LIMITED | 1 |
10978142 | Full-swing dual-rail SRAM sense amplifier | ORACLE INTERNATIONAL CORPORATION | 1 |
10978143 | Multi-port high performance memory | MARVELL ASIA PTE, LTD. | 1 |
10978144 | Integrated circuit and operating method thereof | -- | 1 |
10978145 | Programming to minimize cross-temperature threshold voltage widening | SANDISK TECHNOLOGIES LLC | 1 |
10978146 | Single-ended phase-change memory device and reading method | STMICROELECTRONICS S.R.L. | 1 |
10978147 | Reading method of resistive memory device | SK HYNIX INC. | 1 |
10978148 | Hybrid sensing scheme compensating for cell resistance instability | -- | 1 |
10978149 | Resistive memory apparatus and adjusting method for write-in voltage thereof | -- | 1 |
10978150 | Memory circuit and semiconductor device | -- | 1 |
10978151 | Semiconductor memory device with memory cells each including a charge accumulation layer and a control gate | TOSHIBA MEMORY CORPORATION | 1 |
10978152 | Adaptive VPASS for 3D flash memory with pair string structure | SANDISK TECHNOLOGIES LLC | 1 |
10978153 | 3D NAND flash and operation method thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
10978154 | Semiconductor device | RENESAS ELECTRONICS CORPORATION | 1 |
10978155 | 3D NAND memory Z-decoder | MICRON TECHNOLOGY, INC. | 1 |
10978156 | Concurrent programming of multiple cells for non-volatile memory devices | SANDISK TECHNOLOGIES LLC | 1 |
10978157 | Memory system having semiconductor memory device that performs verify operations using various verify voltages | TOSHIBA MEMORY CORPORATION | 1 |
10978158 | Control method and controller of program suspending and resuming for memory | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
10978159 | Programming nonvolatile memory cells through a series of predetermined threshold voltages | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10978160 | Mitigating grown bad blocks | SANDISK TECHNOLOGIES LLC | 1 |
10978161 | Memory system, memory controller and memory device | SK HYNIX INC. | 1 |
10978162 | Method and semiconductor device for protecting a semiconductor integrated circuit from reverse engineering | -- | 1 |
10978163 | Voltage identifying method, memory controlling circuit unit and memory storage device | -- | 1 |
10978164 | Memory device | TOSHIBA MEMORY CORPORATION | 1 |
10978165 | Memory system and non-volatile semiconductor memory | TOSHIBA MEMORY CORPORATION | 1 |
10978166 | Semiconductor memory device | KIOXIA CORPORATION | 1 |
10978167 | Efuse bank and associated anchor bits | XILINX, INC. | 1 |
10978168 | Shift register unit, method of driving the same, gate driving circuit and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. | 1 |
10978169 | Pad detection through pattern analysis | XEROX CORPORATION | 1 |
10978170 | Method and system for monitoring information of a memory module in real time | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10978171 | Identification of susceptibility to induced charge leakage | MICROSOFT TECHNOLOGY LICENSING, LLC | 1 |
10978187 | Automated clinical documentation system and method | NUANCE COMMUNICATIONS, INC. | 1 |
10978234 | Magnetic stack, multilayer, tunnel junction, memory point and sensor comprising such a stack | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES | 1 |
10978295 | Epitaxial growth on semiconductor structures | MICRON TECHNOLOGY, INC. | 1 |
10978297 | Formation of stacked lateral semiconductor devices and the resulting structures | TC LAB, INC. | 1 |
10978384 | Integrated circuits including multi-layer conducting lines | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10978390 | Electronic device including switching element and semiconductor memory | SK HYNIX INC. | 1 |
10978427 | Stacked semiconductor die assemblies with partitioned logic and associated systems and methods | MICRON TECHNOLOGY, INC. | 1 |
10978455 | Memory device having electrically floating body transistor | ZENO SEMICONDUCTOR, INC. | 1 |
10978459 | Semiconductor device with bit lines at different levels and method for fabricating the same | -- | 1 |
10978476 | Semiconductor device and method of manufacturing the same | SK HYNIX INC. | 1 |
10978478 | Block-on-block memory array architecture using bi-directional staircases | MICRON TECHNOLOGY, INC. | 1 |
10978481 | Nonvolatile memory device having a vertical structure and a memory system including the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10978482 | Ferroelectric memory device with select gate transistor and method of forming the same | SANDISK TECHNOLOGIES LLC | 1 |
10978483 | Ferroelectric memory device | SK HYNIX INC. | 1 |
10978484 | Methods used in forming an array of memory cells | MICRON TECHNOLOGY, INC. | 1 |
10978485 | Vertical-channel ferroelectric flash memory | -- | 1 |
10978497 | Display device | SEMINCONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
10978510 | Memory device with density-controllable dummy fill strategy for near-MRAM periphery and far-outside-MRAM logic regions for embedded MRAM technology | GLOBALFOUNDRIES SINGAPORE PTE. LTD. | 1 |
10978512 | Electronic device and method for fabricating the same | SK HYNIX INC. | 1 |
10978636 | Magnetic storage device | TOSHIBA MEMORY CORPORATION | 1 |
10978639 | Circuits for reducing RF signal interference and for reducing DC power loss in phase-change material (PCM) RF switches | NEWPORT FAB, LLC | 1 |
10978995 | Circuit device, oscillator, electronic apparatus, and vehicle | SEIKO EPSON CORPORATION | 1 |
10979053 | Logic integrated circuit | NANOBRIDGE SEMICONDUCTOR, INC. | 1 |
10979077 | Generating hamming weights for data | SEAGATE TECHNOLOGY LLC | 1 |
10979429 | IMEI storage | GIESECKE+DEVRIENT MOBILE SECURITY GMBH | 1 |
10979526 | Local cache maintenance for media content | TIVO CORPORATION | 1 |
10979627 | Mobile terminal | LG ELECTRONICS INC. | 1 |
10981210 | Swage pin having ribbed taper for helical swage process | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10982276 | Homopolymer encoded nucleic acid memory | MOLECULAR ASSEMBLIES, INC. | 1 |
10983298 | Lens driving apparatus | LG INNOTEK CO., LTD. | 1 |
10983544 | Output circuit | SOCIONEXT INC. | 1 |
10983584 | Electronic device and operation method thereof | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10983688 | Content scrubber bar with real-world time indications | APPLE INC. | 1 |
10983698 | Predetermined placement for tape cartridges in an automated data storage library | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10983702 | Reducing data storage system I/O bandwidth via read-once point in time copy | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10983725 | Memory array architectures for memory queues | SYNOPSYS, INC. | 1 |
10983726 | Storage device and method of operating the same for detecting last programmed page | SK HYNIX INC. | 1 |
10983728 | Semiconductor devices | SK HYNIX INC. | 1 |
10983750 | Guest access to a media playback system | SONOS, INC. | 1 |
10983854 | Memory controller and initialization method for use in data storage device | -- | 1 |
10983866 | Mapping defective memory in a storage system | PURE STORAGE, INC. | 1 |
10983883 | Error recovery in magnetic random access memory after reflow soldering | SPIN MEMORY, INC. | 1 |
10983884 | Method and non-volatile memory device for repairing defective strings in units of string selection lines | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10983933 | Memory module with reduced read/write turnaround overhead | RAMBUS INC. | 1 |
10983934 | Individually addressing memory devices disconnected from a data bus | MICRON TECHNOLOGY, INC. | 1 |
10983943 | Data storage system with supplemental processing bus | SEAGATE TECHNOLOGY LLC | 1 |
10984248 | Setting of input images based on input music | SONY CORPORATION | 1 |
10984326 | Apparatuses, methods and systems for a digital conversation management platform | NEWVALUEXCHANGE LTD. | 1 |
10984327 | Apparatuses, methods and systems for a digital conversation management platform | NEW VALUEXCHANGE LTD. | 1 |
10984700 | Shift register unit, shift register circuit and driving method, and display panel | BOE TECHNOLOGY GROUP CO., LTD. | 1 |
10984743 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
10984819 | Magnetic tape recording device including cartridge memory having a plurality of memory banks | SONY CORPORATION | 1 |
10984820 | Magnetic disk device having multiple writers with writing current of different frequencies | KABUSHIKI KAISHA TOSHIBA | 1 |
10984821 | Transfer-printed near-field transducer and heat sink | SEAGATE TECHNOLOGY LLC | 1 |
10984822 | Pulse-based writing for magnetic storage media | MARVELL ASIA PTE, LTD. | 1 |
10984823 | Write transducers having high moment layer | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10984824 | Method of forming tapered junction shield for self-compensation of asymmetry with increasing aspect ratio for tunneling magneto-resistance (TMR) type read head | HEADWAY TECHNOLOGIES, INC. | 1 |
10984825 | Head suspension assembly and disk apparatus | KABUSHIKI KAISHA TOSHIBA | 1 |
10984826 | Magnetic disk device | KABUSHIKI KAISHA TOSHIBA | 1 |
10984827 | Hard disk drive having dual micro-actuators on carriage arms | KABUSHIKI KAISHA TOSHIBA | 1 |
10984828 | Sliders with low aspect ratio | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10984829 | Magnetic recording medium having magnetic layer with hydride of carbon, and magnetic storage apparatus | SHOWA DENKO K.K. | 1 |
10984830 | Two dimensional amorphous carbon as overcoat for heat assisted magnetic recording media | THE NATIONAL UNIVERSITY OF SINGAPORE | 1 |
10984831 | Data storage device compensating for seek vibration using vibration sensor | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10984832 | Video processing system using ring buffer and racing-mode ring buffer access control scheme | -- | 1 |
10984833 | Magnetic recording cartridge | SONY CORPORATION | 1 |
10984834 | Dual control security processing | NCR CORPORATION | 1 |
10984835 | Apparatus, system and method for associating one or more filter files with a particular multimedia presentation | CLEARPLAY, INC. | 1 |
10984836 | Information processing apparatus, information processing method, and non-transitory computer readable medium | FUJI XEROX CO., LTD. | 1 |
10984837 | Display, method for monitoring played content and system using the same | -- | 1 |
10984838 | Interconnect architecture for three-dimensional processing systems | ADVANCED MICRO DEVICES, INC. | 1 |
10984839 | Voltage regulation circuit | HUAWEI TECHNOLOGIES CO., LTD. | 1 |
10984840 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
10984841 | Longest element length determination in memory | MICRON TECHNOLOGY, INC. | 1 |
10984842 | Multiple endianness compatibility | MICRON TECHNOLOGY, INC. | 1 |
10984843 | RAM memory with pre-charging circuitry coupled to global bit-lines and method for reducing power consumption | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10984844 | Apparatuses and methods for determining a phase relationship between an input clock signal and a multiphase clock signal | MICRON TECHNOLOGY, INC. | 1 |
10984845 | Protection of a microcontroller | STMICROELECTRONICS (ROUSSET) SAS | 1 |
10984846 | Reference generation for voltage sensing in a resistive memory | NXP USA, INC. | 1 |
10984847 | Memory management for charge leakage in a memory device | MICRON TECHNOLOGY, INC. | 1 |
10984848 | Apparatus and method for controlling erasing data in ferroelectric memory cells | MICRON TECHNOLOGY, INC. | 1 |
10984850 | Apparatuses and methods for switching refresh state in a memory circuit | MICRON TECHNOLOGY, INC. | 1 |
10984851 | Memory system and method for operating the same | SK HYNIX INC. | 1 |
10984852 | Sensing operations in memory by comparing inputs in a sense amplifier | MICRON TECHNOLOGY, INC. | 1 |
10984853 | X-ray detector, semiconductor memory device including the same, method of testing semiconductor memory device and method of manufacturing semiconductor memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10984854 | Memory device with signal edge sharpener circuitry | -- | 1 |
10984855 | Methods and systems to selectively boost an operating voltage of, and controls to an 8T bit-cell array and/or other logic blocks | INTEL CORPORATION | 1 |
10984856 | Circuit for reducing voltage degradation caused by parasitic resistance in a memory device | -- | 1 |
10984857 | Superconductive memory cells and devices | PSIQUANTUM CORP. | 1 |
10984858 | Semiconductor storage device | KIOXIA CORPORATION | 1 |
10984859 | Resistive memory devices based on metal coordinated redox active ligands | AZOMETRIX | 1 |
10984860 | Self-healing dot-product engine | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP | 1 |
10984861 | Reference circuits and methods for resistive memories | ADESTO TECHNOLOGIES CORPORATION | 1 |
10984862 | Three-dimensional memory device with embedded dynamic random-access memory | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
10984863 | Error detection and correction circuitry | ARM LIMITED | 1 |
10984864 | Methods and apparatus for pattern matching in a memory containing sets of memory elements | MICRON TECHNOLOGY, INC. | 1 |
10984865 | Three-dimensional non-volatile memory device and method of manufacturing the same | SK HYNIX INC. | 1 |
10984866 | Non-volatile memory device utilizing dummy memory block as pool capacitor | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
10984867 | Direct look ahead mode for memory apparatus programmed with reverse order programming | SANDISKTECHNOLOGIES LLC | 1 |
10984868 | Redundancy in microelectronic devices, and related methods, devices, and systems | MICRON TECHNOLOGY, INC. | 1 |
10984869 | Memory device, memory system including the memory device, and operating method of the memory system | SK HYNIX INC. | 1 |
10984870 | Adjusting read voltage level in rewritable nonvolatile memory module | -- | 1 |
10984871 | Non-volatile memory device and method of erasing the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10984872 | Non-volatile memory with source line resistance compensation | INTEGRATED SILICON SOLUTION, (CAYMAN) INC. | 1 |
10984873 | Memory device for stabilizing internal voltage and method of stabilizing internal voltage of the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10984874 | Differential dbus scheme for low-latency random read for NAND memories | SANDISK TECHNOLOGIES LLC | 1 |
10984875 | Systems and methods providing improved calibration of memory control voltage | MICRON TECHNOLOGY, INC. | 1 |
10984876 | Temperature based programming in memory | SANDISKTECHNOLOGIES LLC | 1 |
10984877 | Multi BLCS for multi-state verify and multi-level QPW | SANDISKTECHNOLOGIES LLC | 1 |
10984878 | One-time programmable memory bit cell | -- | 1 |
10984879 | Shift register unit and method for driving the same, gate driving circuit and display apparatus | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. | 1 |
10984881 | Memory sub-system self-testing operations | MICRON TECHNOLOGY, INC. | 1 |
10984882 | Run-time memory device failure detection enhancement | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10984883 | Systems and methods for capacity management of a memory system | SANDISKTECHNOLOGIES LLC | 1 |
10984884 | Configurable associated repair addresses and circuitry for a memory device | MICRON TECHNOLOGY, INC. | 1 |
10984885 | Memory test array and test method thereof | JIANGSU ADVANCED MEMORY TECHNOLOGY CO., LTD. | 1 |
10984886 | Reduced footprint fuse circuit | MICRON TECHNOLOGY, INC. | 1 |
10984958 | Capacitive energy storage device | CARVER SCIENTIFIC, INC. | 1 |
10985141 | Semiconductor device having stacked chips | TOSHIBA MEMORY CORPORATION | 1 |
10985162 | System for accurate multiple level gain cells | -- | 1 |
10985165 | Methods of forming microelectronic devices | MICRON TECHNOLOGY, INC. | 1 |
10985178 | Semiconductor memory device and method of manufacturing semiconductor memory device | TOSHIBA MEMORY CORPORATION | 1 |
10985180 | Semiconductor device and manufacturing method thereof | SK HYNIX INC. | 1 |
10985181 | Semiconductor device and method for manufacturing same | TOSHIBA MEMORY CORPORATION | 1 |
10985192 | Display driver semiconductor device and manufacturing method thereof | KEY FOUNDRY., LTD. | 1 |
10985209 | Nonvolatile storage device | TOSHIBA MEMORY CORPORATION | 1 |
10985210 | Nonvolatile storage device | TOSHIBA MEMORY CORPORATION | 1 |
10985212 | Multi-component cell architectures for a memory device | MICRON TECHNOLOGY, INC. | 1 |
10985213 | Nonvolatile memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10985314 | Semiconductor device and method for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10985317 | Device for selecting a memory cell | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES | 1 |
10985738 | High-speed level shifter | MICRON TECHNOLOGY, INC. | 1 |
10985742 | Operation method of signal receiver, pulse width controller, and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10985753 | Apparatuses and methods for providing bias signals in a semiconductor device | MICRON TECHNOLOGY, INC. | 1 |
10985758 | Random code generator with floating gate transistor type memory cell | -- | 1 |
10985759 | Apparatuses and methods involving a segmented source-series terminated line driver | NXP B.V. | 1 |
10985760 | Logic drive based on standard commodity FPGA IC chips using non-volatile memory cells | -- | 1 |
10985780 | Error correction circuit, and memory controller having the error correction circuit and memory system having the memory controller | SK HYNIX INC. | 1 |
10985953 | Channel equalization for multi-level signaling | MICRON TECHNOLOGY, INC. | 1 |
10986005 | Technologies for dynamically managing resources in disaggregated accelerators | INTEL CORPORATION | 1 |
10986305 | Image management device, image management method, program, and recording medium | FUJIFILM CORPORATION | 1 |
10986323 | Image capturing apparatus and image capturing method | SONY CORPORATION | 1 |
10986384 | Modifying video data captured by a client device based on a request received by a different client device receiving the captured video data | FACEBOOK, INC. | 1 |
10986402 | Time signaling for media streaming | QUALCOMM INCORPORATED | 1 |
10986752 | Electronic device filter door | AMAZON TECHNOLOGIES, INC. | 1 |
10989644 | Water contact angle calibration standard | SEAGATE TECHNOLOGY LLC | 1 |
10989649 | Methods of measuring friction between a slider and ramp, and related systems | SEAGATE TECHNOLOGY LLC | 1 |
10990119 | Reference voltage generation circuit, power-on detection circuit, and semiconductor device for preventing internal circuit from operating incorrectly at low voltage | -- | 1 |
10990151 | Reduction of SSD burst current using power loss energy store | INTEL CORPORATION | 1 |
10990214 | Methods, systems, and media for controlling playback of video using a touchscreen | GOOGLE LLC | 1 |
10990250 | Organized timeline | APPLE INC. | 1 |
10990281 | RAM controller configured to selectively boot memory and method of operating the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10990283 | Proactive data rebuild based on queue feedback | PURE STORAGE, INC. | 1 |
10990298 | Implementing data requests with quality of service information | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10990300 | Methods for restricting read access to supply chips | LEXMARK INTERNATIONAL, INC. | 1 |
10990301 | Memory module capable of reducing power consumption and semiconductor system including the same | SK HYNIX INC. | 1 |
10990304 | Two-dimensional scalable versatile storage format for data storage devices | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10990308 | Solid state drive controller | MICRON TECHNOLOGY, INC. | 1 |
10990311 | Multi-stream non-volatile storage system | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10990317 | Memory with automatic background precondition upon powerup | MICRON TECHNOLOGY, INC. | 1 |
10990319 | Adaptive watchdog in a memory device | MICRON TECHNOLOGY, INC. | 1 |
10990324 | Storage node processing of predefined data functions | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10990326 | High-speed replay of captured data packets | FMAD ENGINEERING KABUSHIKI GAISHA | 1 |
10990327 | Storage device and method of operating the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10990350 | Audio content production, audio sequencing, and audio blending system and method | SUPER HI FI, LLC | 1 |
10990400 | Memory apparatus and data processing system including the same | SK HYNIX INC. | 1 |
10990465 | MRAM noise mitigation for background operations by delaying verify timing | SPIN MEMORY, INC. | 1 |
10990466 | Memory sub-system with dynamic calibration using component-based function(s) | MICRON TECHNOLOGY, INC. | 1 |
10990471 | Apparatus and method for reducing radiation induced multiple-bit memory soft errors | BAE SYSTEMS INFORMATION AND ELECTRONIC SYSTEMS INTEGRATION INC. | 1 |
10990472 | Spare substitution in memory system | MICRON TECHNOLOGY, INC. | 1 |
10990475 | Read level edge find operations in a memory sub-system | MICRON TECHNOLOGY, INC. | 1 |
10990477 | Device and method for controlling the data refresh cycles in reprogrammable non-volatile memories | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES | 1 |
10990497 | Data storage system and method for operating non-volatile memory | -- | 1 |
10990522 | Electronic devices relating to a mode register information signal | SK HYNIX INC. | 1 |
10990531 | Cloud-based frequency-based cache management | INTEL CORPORATION | 1 |
10990541 | Controller using cache eviction policy based on read data size | SK HYNIX INC. | 1 |
10990542 | Flash memory system and method of generating quantized signal thereof | KOREA INSTITUTE OF SCIENCE AND TECHNOLOGY | 1 |
10990651 | Systems and methods for efficient matrix multiplication | RAIN NEUROMORPHICS INC. | 1 |
10990695 | Post-recording, pre-streaming, personally-identifiable information (“PII”) video filtering system | BANK OF AMERICA CORPORATION | 1 |
10990726 | Address generators for verifying integrated circuit hardware designs for cache memory | IMAGINATION TECHNOLOGIES LIMITED | 1 |
10990781 | Exposure method, electronic device and master-slave system | GUANGZHOU TYRAFOS SEMICONDUCTOR TECHNOLOGIES CO., LTD | 1 |
10991032 | Information communication terminal, information distribution apparatus, information distribution system, content management method, broadcast reception method, information distribution method, programs and storage medium | SONY CORPORATION | 1 |
10991386 | Tapered junction shield for self-compensation of asymmetry with increasing aspect ratio for tunneling magneto-resistance (TMR) type read head | HEADWAY TECHNOLOGIES, INC. | 1 |
10991387 | Data storage device migrating data from non-energy assist disk surface to energy assist disk surface | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10991388 | Suspension for disk device having a damper member for suppressing wobble of a flexure | NHK SPRING CO., LTD. | 1 |
10991389 | Data storage device coupling/decoupling actuator arm to/from an actuator | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10991390 | Head assembly with suspension system for a tape embedded drive | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10991391 | Circuits and methods for modifying the write current waveform to improve track density in HDD | HEADWAY TECHNOLOGIES, INC. | 1 |
10991392 | Apparatus, electronic device, system, method and computer program for capturing audio signals | NOKIA TECHNOLOGIES OY | 1 |
10991393 | Electronic device and method of managing a playback rate of a plurality of images | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10991394 | In-band data recognition and synchronization system | TIVO SOLUTIONS INC. | 1 |
10991395 | Method for real time video processing involving changing a color of an object on a human face in a video | SNAP INC. | 1 |
10991396 | Systems and methods for modifying videos based on music | GOPRO, INC. | 1 |
10991397 | Masking in video stream | GENETEC INC. | 1 |
10991398 | Automated video bumper system | LUMANARY INC. | 1 |
10991399 | Alignment of alternate dialogue audio track to frames in a multimedia production using background audio matching | DELUXE ONE LLC | 1 |
10991400 | Integrated circuit | SK HYNIX INC. | 1 |
10991401 | Input/output circuit, memory device having the same, and operating method thereof | SK HYNIX INC. | 1 |
10991402 | Semiconductor storage device and method of controlling the same | TOSHIBA MEMORY CORPORATION | 1 |
10991403 | Memory calibration with end point replay | APPLE INC. | 1 |
10991404 | Loopback strobe for a memory system | MICRON TECHNOLOGY, INC. | 1 |
10991405 | Semiconductor devices | SK HYNIX INC. | 1 |
10991406 | Method, system and device for magnetic memory | ARM LIMITED | 1 |
10991407 | Magnetoresistive memory device including a high dielectric constant capping layer and methods of making the same | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10991408 | Magnetic random access memory structure and manufacturing method of the same | -- | 1 |
10991409 | Encoder for memory system and method thereof | SK HYNIX INC. | 1 |
10991410 | Bi-polar write scheme | SPIN MEMORY, INC. | 1 |
10991411 | Method and apparatuses for performing a voltage adjustment operation on a section of memory cells based on a quantity of access operations | MICRON TECHNOLOGY, INC. | 1 |
10991412 | Storage device and method for operating storage device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10991413 | Memory with programmable die refresh stagger | MICRON TECHNOLOGY, INC. | 1 |
10991414 | Granular refresh rate control for memory devices based on bit position | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10991415 | Semiconductor device performing implicit precharge operation | MICRON TECHNOLOGY, INC. | 1 |
10991416 | Capacitance-based compensation circuitry | MICRON TECHNOLOGY, INC. | 1 |
10991417 | Auto-precharge management in a controller | XILINX, INC. | 1 |
10991418 | Semiconductor memory device comprising an interface conforming to JEDEC standard and control device therefor | ZENTEL JAPAN CORPORATION | 1 |
10991419 | Semiconductor devices and methods of handling data lifetime codes used therein | SK HYNIX INC. | 1 |
10991420 | Semiconductor device including distributed write driving arrangement and method of operating same | -- | 1 |
10991421 | Complementary dual-modular redundancy memory cell | BAR-ILAN UNIVERSITY | 1 |
10991422 | Data storage device using a host memory buffer for single-level cell storage and control method for non-volatile memory | -- | 1 |
10991423 | Flying and twisted bit line architecture for dual-port static random-access memory (DP SRAM) | -- | 1 |
10991424 | Electronic device, memory device, and method of operating memory device | SK HYNIX INC. | 1 |
10991425 | Access line grain modulation in a memory device | MICRON TECHNOLOGY, INC. | 1 |
10991426 | Memory device current limiter | -- | 1 |
10991427 | Memory programming methods and memory systems | MICRON TECHNOLOGY, INC. | 1 |
10991428 | Ternary content addressable memory | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10991429 | Word line decoder circuitry under a three-dimensional memory array | SANDISK TECHNOLOGIES LLC | 1 |
10991430 | Non-volatile memory cell compliant to a near memory computation system | -- | 1 |
10991431 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION | 1 |
10991432 | Storage device and method of operating the same | SK HYNIX INC. | 1 |
10991433 | Method of improving read current stability in analog non-volatile memory by limiting time gap between erase and program | SILICON STORAGE TECHNOLOGY, INC. | 1 |
10991434 | Serial interface circuit, semiconductor device and serial-parallel conversion method | LAPIS SEMICONDUCTOR CO., LTD. | 1 |
10991435 | Vertical flash memory cell with selector for fast read | INTEL CORPORATION | 1 |
10991436 | Dynamic delay of NAND read commands | MICRON TECHNOLOGY, INC. | 1 |
10991437 | Semiconductor memory device, method of operating the same, and memory system | SK HYNIX INC. | 1 |
10991438 | Method and memory used for reducing program disturbance by adjusting voltage of dummy word line | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
10991439 | Memory device and an operating method of a memory device | SK HYNIX INC. | 1 |
10991440 | Performing read operation prior to two-pass programming of storage system | MICRON TECHNOLOGY, INC. | 1 |
10991441 | Erase-write cycling method of a flash device | SHANGHAI HUALI MICROELECTRONICS CORPORATION | 1 |
10991442 | Memory device with a fuse protection circuit | -- | 1 |
10991443 | Memory apparatus and data read method | TOSHIBA MEMORY CORPORATION | 1 |
10991444 | Tiered read reference calibration | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10991445 | Memory sub-system including an in-package sequencer to perform error correction and memory testing operations | MICRON TECHNOLOGY, INC. | 1 |
10991446 | Electronic device performing training on memory device by rank unit and training method thereof | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10991447 | Clock frequency counting during high-voltage operations for immediate leakage detection and response | SANDISK TECHNOLOGIES LLC | 1 |
10991673 | Electronic device | KABUSHIKI KAISHA TOSHIBA | 1 |
10991675 | 3D semiconductor device and structure | MONOLITHIC 3D INC. | 1 |
10991684 | 3D stacked integrated circuits having functional blocks configured to provide redundancy sites | MICRON TECHNOLOGY, INC. | 1 |
10991697 | NAND string utilizing floating body memory cell | ZENO SEMICONDUCTOR, INC. | 1 |
10991698 | Method of operating semiconductor memory device with floating body transistor using silicon controlled rectifier principle | ZENO SEMICONDUCTOR, INC. | 1 |
10991700 | Methods of forming semiconductor devices using aspect ratio dependent etching effects, and related memory devices and electronic systems | MICRON TECHNOLOGY, INC. | 1 |
10991710 | Non-volatile memory device with vertical state transistor and vertical selection transistor | STMICROELECTRONICS (ROUSSET) SAS | 1 |
10991713 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION | 1 |
10991714 | Three-dimensional semiconductor memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10991719 | Semiconductor memory device and method of manufacturing the same | TOSHIBA MEMORY CORPORATION | 1 |
10991756 | Bipolar selector with independently tunable threshold voltages | -- | 1 |
10991762 | Memory unit | SONY SEMICONDUCTOR SOLUTIONS CORPORATION | 1 |
10991875 | Magnetoresistive random access memory | -- | 1 |
10991877 | Multi-state memory and method for manufacturing the same | INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES | 1 |
10991880 | Variable resistance memory device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10991882 | Methods of forming resistive memory elements | MICRON TECHNOLOGY, INC. | 1 |
10992132 | System and method for control of multiple voltage regulators | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) | 1 |
10992223 | Semiconductor device having power supply voltage circuit, charge pump, comparator, and load circuit for controlling memory device | RENESAS ELECTRONICS CORPORATION | 1 |
10992299 | Method and system for providing word addressable nonvolatile memory in a programmable logic device | GOWIN SEMICONDUCTOR CORPORATION | 1 |
10992319 | Code word generating method, erroneous bit determining method, and circuits thereof | SHENZHEN GOODIX TECHNOLOGY CO., LTD. | 1 |
10992483 | Physically unclonable function device for use in user authentication system and operation method thereof | KOREA INSTITUTE OF SCIENCE AND TECHNOLOGY | 1 |
10992901 | Method, apparatus, device and storage medium for controlling video playback speed | BEIJING MICROLIVE VISION TECHNOLOGY CO., LTD | 1 |
10992955 | Systems and methods for performing adaptive bitrate streaming | DIVX, LLC | 1 |
10992989 | Systems and methods for streaming video edits | GOPRO, INC. | 1 |
10992991 | Method and system for instructional video segments in conjunction with musical representation | -- | 1 |
10993348 | Server chassis | -- | 1 |
10994172 | Systems and methods for integrated automated sports data collection and analytics platform | SPORTSMEDIA TECHNOLOGY CORPORATION | 1 |
10996115 | Semiconductor memory device and method having temperature sensing circuit and count value for adjusting circuit operations | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10996740 | Write data protection at emergency power off | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10996832 | Method for displaying object on device and device therefor | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10996847 | Method for providing content search interface and electronic device for supporting the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10996856 | Hardware-supported 3D-stacked NVM data compression method and system thereof | HUAZHONG UNIVERSITY OF SCIENCE AND TECHNOLOGY | 1 |
10996862 | Adaptive read trim for second read data retention | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10996868 | Memory system storing management information and method of controlling same | TOSHIBA MEMORY CORPORATION | 1 |
10996870 | Deterministic read disturb counter-based data checking for NAND flash | TOSHIBA MEMORY CORPORATION | 1 |
10996885 | High bandwidth memory device and system device having the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10996890 | Memory module interfaces | MICRON TECHNOLOGY, INC. | 1 |
10996900 | Multi-cartridge control board with cartridge-external voice coil motor actuator components | SEAGATE TECHNOLOGY LLC | 1 |
10996921 | Audio file processing to reduce latencies in play start times for cloud served audio files | GOOGLE LLC | 1 |
10997011 | Logic buffer for hitless single event upset handling | ARISTA NETWORKS, INC. | 1 |
10997017 | Neighbor assisted correction error recovery for memory system and method thereof | SK HYNIX INC. | 1 |
10997020 | Memory device, memory system, and method of operating the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10997049 | Memory system | TOSHIBA MEMORY CORPORATION | 1 |
10997067 | Data storing method, memory controlling circuit unit and memory storage device | -- | 1 |
10997068 | Rapid SSD preconditioning | SEAGATE TECHNOLOGY LLC | 1 |
10997097 | Enabling high speed command address interface for random read | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10997275 | In memory matrix multiplication and its usage in neural networks | GSI TECHNOLOGY INC. | 1 |
10997321 | Encryption engine with an undetectable/tamper proof private key in late node CMOS technology | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10997364 | Operations on sound files associated with cells in spreadsheets | MICROSOFT TECHNOLOGY LICENSING, LLC | 1 |
10997386 | Image data transmission system and image data transmission method | GUANGZHOU TYRAFOS SEMICONDUCTOR TECHNOLOGIES CO., LTD | 1 |
10997425 | Methods and systems of spatiotemporal pattern recognition for video content development | SECOND SPECTRUM, INC. | 1 |
10997498 | Apparatus and method for in-memory binary convolution for accelerating deep binary neural networks based on a non-volatile memory structure | GLOBALFOUNDRIES U.S. INC. | 1 |
10997516 | Systems and methods for predicting persistent memory device degradation based on operational parameters | DELL PRODUCTS L.P. | 1 |
10997886 | Shift register and method of driving the same, gate driving circuit, and display device | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. | 1 |
10997890 | Shift register, a gate driver circuit and a display device | BEIJING BOE TECHNOLOGY DEVELOPMENT CO., LTD. | 1 |
10997933 | Display device | JAPAN DISPLAY INC. | 1 |
10997936 | Shift register unit, gate drive circuit and display device | BOE TECHNOLOGY GROUP CO., LTD. | 1 |
10997988 | Magnetic recording head with non-magnetic conductive structure | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10997989 | Bilayer hot seed to reduce gap field for magnetic recording heads | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10997990 | Spin torque layer in side gap for improved field and cross track field gradient | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10997991 | Spin orbital torque via spin hall effect based energy assisted magnetic recording | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10997992 | Spin transfer torque device with oxide layer beneath the seed layer | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10997993 | Spin-torque oscillator with multilayer seed layer between the write pole and the free layer in a magnetic recording write head | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10997994 | Current-perpendicular-to-plane (CPP) read transducer structure having flux guide and pinned soft bias layer for stabilizing sensor and flux guide | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10997995 | Electrostrictive control for the width of a tape-head-array | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10997996 | Flexible on-cylinder limit for drive performance management | SEAGATE TECHNOLOGY LLC | 1 |
10997997 | Data storage device compensating for mode hop of a laser | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10997998 | Tape embedded drive with tied spindle structure | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10997999 | Information processing apparatus with switching circuit on power supply for disk device | KABUSHIKI KAISHA TOSHIBA | 1 |
10998000 | Recording medium, playback method, and playback device | PANASONIC INTELLECTUAL PROPERTY CORPORATION OF AMERICA | 1 |
10998001 | Interleaver for distributed sector storage | MARVELL ASIA PTE, LTD. | 1 |
10998002 | Audio time stretching | NXP B.V. | 1 |
10998003 | Computerized system and method for automatically extracting GIFs from videos | VERIZON MEDIA INC. | 1 |
10998004 | Method and apparatus for generating a video based on a process | UIPATH, INC. | 1 |
10998005 | Method and apparatus for presenting media information, storage medium, and electronic apparatus | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED | 1 |
10998006 | Method and system for producing binaural immersive audio for audio-visual content | TURKU UNIVERSITY OF APPLIED SCIENCES LTD | 1 |
10998007 | Providing context aware video searching | ADOBE INC. | 1 |
10998008 | Interface connector for tape embedded drive | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10998009 | Air turbulence control for actuators | SEAGATE TECHNOLOGY LLC | 1 |
10998010 | Systems for discharging leakage current over a range of process, voltage, temperature (PVT) conditions | MICRON TECHNOLOGY, INC. | 1 |
10998011 | Drive strength calibration for multi-level signaling | MICRON TECHNOLOGY, INC. | 1 |
10998012 | Semiconductor memory modules including power management integrated circuits | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10998013 | Multi-level sensing circuit and semiconductor memory device including the same | SK HYNIX INC. | 1 |
10998014 | Semiconductor dies supporting multiple packaging configurations and associated methods | MICRON TECHNOLOGY, INC. | 1 |
10998015 | Semiconductor storage device | LAPIS SEMICONDUCTOR CO., LTD. | 1 |
10998016 | Memory device including noise-suppressing mechanism | -- | 1 |
10998017 | Dynamic random access memory applied to an embedded display port | -- | 1 |
10998018 | Apparatus and methods for compensating for variations in fabrication process of component(s) in a memory | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10998019 | Low standby power with fast turn on method for non-volatile memory devices | LONGITUDE FLASH MEMORY SOLUTIONS, LTD. | 1 |
10998020 | Memory system and memory access interface device thereof | -- | 1 |
10998021 | Controller and semiconductor system including a controller | SK HYNIX INC. | 1 |
10998022 | Apparatuses and methods for reducing access device sub-threshold leakage in semiconductor devices | MICRON TECHNOLOGY, INC. | 1 |
10998023 | Error correction coding in a dynamic memory module | MOBILEYE VISION TECHNOLOGIES LTD. | 1 |
10998024 | Method for enhancing tunnel magnetoresistance in memory device | -- | 1 |
10998025 | High-density low voltage non-volatile differential memory bit-cell with shared plate-line | KEPLER COMPUTING, INC. | 1 |
10998026 | Ferroelectric memory plate power reduction | MICRON TECHNOLOGY, INC. | 1 |
10998027 | Memory circuitry | MICRON TECHNOLOGY, INC. | 1 |
10998028 | Sense amplifier with split capacitors | MICRON TECHNOLOGY, INC. | 1 |
10998029 | Low voltage ferroelectric memory cell sensing | MICRON TECHNOLOGY, INC. | 1 |
10998030 | Integrated memory device and method of operating same | -- | 1 |
10998031 | Apparatuses and methods including ferroelectric memory and for accessing ferroelectric memory | MICRON TECHNOLOGY, INC. | 1 |
10998032 | EDRAM refresh apparatus and method | MELLANOX TECHNOLOGIES, LTD. | 1 |
10998033 | Semiconductor memory device and operating method thereof | SK HYNIX INC. | 1 |
10998034 | Temperature informed memory refresh | MICRON TECHNOLOGY, INC. | 1 |
10998035 | Power-efficient generation of voltage | MICRON TECHNOLOGY, INC. | 1 |
10998036 | Memory controller, and memory system including the same and method thereof | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10998037 | Memory processing units and methods of computing dot products | MEMRYX INCORPORATED | 1 |
10998038 | Memory device and method of operating the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10998039 | Apparatuses, systems, and methods for latch reset logic | MICRON TECHNOLOGY, INC. | 1 |
10998040 | Computational memory cell and processing array device using the memory cells for XOR and XNOR computations | GSI TECHNOLOGY, INC. | 1 |
10998041 | Calibrating non-volatile memory read thresholds | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10998042 | Memory cells with tunneling materials including lanthanum oxide | MICRON TECHNOLOGY, INC. | 1 |
10998043 | Nonvolatile memory apparatus and memory system using the same | SK HYNIX INC. | 1 |
10998044 | RRAM write using a ramp control circuit | HEFEI RELIANCE MEMORY LIMITED | 1 |
10998045 | Writing multiple levels in a phase change memory | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10998046 | FeRAM-DRAM hybrid memory | MICRON TECHNOLOGY, INC. | 1 |
10998047 | Methods and systems for an analog CAM with fuzzy search | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP | 1 |
10998048 | Integrated erase voltage path for multiple cell substrates in nonvolatile memory devices | CONVERSANT INTELLECTUAL PROPERTY MANAGEMENT INC. | 1 |
10998049 | Method of programming memory device and related memory device | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
10998050 | High-voltage shifter with reduced transistor degradation | MICRON TECHNOLOGY, INC. | 1 |
10998051 | Memory controller and operating method thereof | SK HYNIX INC. | 1 |
10998052 | Non-volatile memory device and initialization information reading method thereof | SAMSUNG ELECTRONICS CO., LTD. | 1 |
10998053 | Memory device and operating method thereof for applying a channel precharge voltage to bit lines after a sensing operation | SK HYNIX INC. | 1 |
10998054 | Random telegraph signal noise reduction scheme for semiconductor memories | MICRON TECHNOLOGY, INC. | 1 |
10998055 | Semiconductor storage device | KIOXIA CORPORATION | 1 |
10998056 | Memory system and operating method thereof | SK HYNIX INC. | 1 |
10998057 | Storage device and method of operating the same | SK HYNIX INC. | 1 |
10998058 | Adjustment circuit for partitioned memory block | -- | 1 |
10998059 | Memory system capable of reducing the reading time | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
10998060 | Memory system in which controller acquires status of nonvolatile memory and control method thereof | TOSHIBA MEMORY CORPORATION | 1 |
10998061 | Memory system and memory access interface device thereof | -- | 1 |
10998062 | Method for programming a memory system | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
10998063 | Memory device capable of reducing program disturbance and erasing method thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
10998064 | Resistive random access memory program and erase techniques and apparatus | CROSSBAR, INC. | 1 |
10998065 | Memory device and operating method thereof | SK HYNIX INC. | 1 |
10998066 | End of life performance throttling to prevent data loss | MICRON TECHNOLOGY, INC. | 1 |
10998067 | Shift register, driving method thereof, gate driving circuit and display panel | HEFEI BOE JOINT TECHNOLOGY CO., LTD. | 1 |
10998068 | Shift register circuit and driving method therefor, and gate drive circuit and display device | CHONGQING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. | 1 |
10998069 | Shift register and electronic device having the same | -- | 1 |
10998070 | Shift register with reduced wiring complexity | GOOGLE LLC | 1 |
10998071 | Systems and methods to test a memory device | -- | 1 |
10998072 | Configurable voltage regulator controllers | DELL PRODUCTS L.P. | 1 |
10998073 | Systems and methods to wake up memory array | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
10998074 | Wordline capacitance balancing | MICRON TECHNOLOGY, INC. | 1 |
10998075 | Built-in self-test for bit-write enabled memory arrays | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
10998076 | Signal calibration method used in memory apparatus | -- | 1 |
10998077 | Testing of comparators within a memory safety logic circuit using a fault enable generation circuit within the memory | STMICROELECTRONICS INTERNATIONAL N.V. | 1 |
10998078 | Memory system and method of operating the same | SK HYNIX INC. | 1 |
10998079 | Structure and method for testing three-dimensional memory device | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
10998080 | Imprint recovery for memory cells | MICRON TECHNOLOGY, INC. | 1 |
10998081 | Memory storage device having automatic error repair mechanism and method thereof | -- | 1 |
10998082 | Memory system for activating redundancy memory cell and operating method thereof | SK HYNIX INC. | 1 |
10998131 | Multilayer device having an improved antiferromagnetic pinning layer and a corresponding manufacturing method | DEUTSCHES ELEKTRONEN-SYNCHROTRON DESY | 1 |
10998268 | Semiconductor device | SK HYNIX INC. | 1 |
10998291 | Channel routing for memory devices | MICRON TECHNOLOGY, INC. | 1 |
10998319 | Memory structure | -- | 1 |
10998325 | Memory cell with floating gate, coupling gate and erase gate, and method of making same | SILICON STORAGE TECHNOLOGY, INC. | 1 |
10998332 | Semiconductor memory | TOSHIBA MEMORY CORPORATION | 1 |
10998337 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION | 1 |
10998338 | Integrated assemblies having ferroelectric transistors with heterostructure active regions | MICRON TECHNOLOGY, INC. | 1 |
10998339 | One transistor and ferroelectric FET based memory cell | INTEL CORPORATION | 1 |
10998379 | Three dimensional memory arrays | MICRON TECHNOLOGY, INC. | 1 |
10998408 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION | 1 |
10998446 | Array substrate, manufacturing method thereof, and display panel | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. | 1 |
10998447 | Semiconductor device, semiconductor wafer, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
10998490 | Magnetic element | NATIONAL INSTITUTE OF ADVANCED INDUSTRIAL SCIENCE AND TECHNOLOGY | 1 |
10998491 | Magnetoresistive element and magnetic memory | TOHOKU UNIVERSITY | 1 |
10998493 | Spin-orbit-torque magnetoresistance effect element and magnetic memory | TDK CORPORATION | 1 |
10998494 | Perpendicular magnetic random-access memory (MRAM) formation by direct self-assembly method | -- | 1 |
10998495 | Magnetostrictive stack and corresponding bit-cell | INTEL CORPORATION | 1 |
10998496 | Magnetic tunnel junctions with tunable high perpendicular magnetic anisotropy | APPLIED MATERIALS, INC. | 1 |
10998497 | Semiconductor memory device | KIOXIA CORPORATION | 1 |
10998893 | Semiconductor device, delay circuit, and related method | MICRON TECHNOLOGY, INC. | 1 |
10998906 | Logic device using spin torque | KOREA INSTITUTE OF SCIENCE AND TECHNOLOGY | 1 |
10998920 | Overcoming saturated syndrome condition in estimating number of readout errors | APPLE INC. | 1 |
10998923 | Stopping criteria for layered iterative error correction | MICRON TECHNOLOGY, INC. | 1 |
10998992 | Method and device for improvement of the sound quality of an audio file | KRONOTON GMBH | 1 |
10999083 | Detecting unreliable bits in transistor circuitry | BIRAD—RESEARCH & DEVELOPMENT CORAPANY LTD. | 1 |
10999530 | Techniques for generating time-series images of changes in personal appearance | L'OREAL | 1 |
10999552 | Image capturing apparatus, control method therefor, and non-transitory computer-readable storage medium | CANON KABUSHIKI KAISHA | 1 |
10999553 | Method and device for generating content | HANMI HEALTHCARE, INC. | 1 |
10999621 | Technique for synchronizing rendering of video frames with rendering of auxiliary media | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) | 1 |
10999623 | Providing visual content editing functions | SNAP INC. | 1 |
10999649 | Auto-summarizing video content system and method | CENTURYLINK INTELLECTUAL PROPERTY LLC | 1 |
11000756 | Pre-visualization device | PREVIBLE AB | 1 |
11000792 | Gas filtering device | -- | 1 |
11002612 | Temperature sensor | SK HYNIX INC. | 1 |
11003167 | Method and apparatus for distribution device refill planning | TOPCON POSITIONING SYSTEMS, INC. | 1 |
11003237 | Method for performing power management in a memory device, associated memory device and controller thereof, and associated electronic device | -- | 1 |
11003240 | Systems and methods for frequency mode detection and implementation | MICRON TECHNOLOGY, INC. | 1 |
11003332 | Gesture-alteration of media files | AT&T INTELLECTUAL PROPERTY I, L.P. | 1 |
11003361 | Wear leveling | MICRON TECHNOLOGY, INC. | 1 |
11003363 | Scalable memory system protocol supporting programmable number of levels of indirection | MICRON TECHNOLOGY, INC. | 1 |
11003365 | Methods and related devices for operating a memory array | MICRON TECHNOLOGY, INC. | 1 |
11003370 | System on chip performing a plurality of trainings at the same time, operating method of system on chip, electronic device including system on chip | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11003371 | Optical disc device, optical disc rotation position detection method, and optical disc having rotation reference mark formed as width changing in radial direction | JVCKENWOOD CORPORATION | 1 |
11003375 | Code word format and structure | MICRON TECHNOLOGY, INC. | 1 |
11003382 | Apparatus for outputting internal state of memory apparatus and memory system using the apparatus | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11003383 | Estimation of read level thresholds using a data structure | MICRON TECHNOLOGY, INC. | 1 |
11003386 | Methods for on-die memory termination and memory devices and systems employing the same | MICRON TECHNOLOGY, INC. | 1 |
11003389 | Memory device including memory chips and operation method thereof | SK HYNIX INC. | 1 |
11003392 | Memory controller and method of operating the memory controller | SK HYNIX INC. | 1 |
11003393 | Nonvolatile memory device and method of controlling initialization of the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11003395 | Controller, memory system, and operating methods thereof | SK HYNIX INC. | 1 |
11003413 | System and method for selective removal of audio content from a mixed audio recording | GOOGLE LLC | 1 |
11003460 | Memory control circuit unit, memory storage device and control method thereof | -- | 1 |
11003527 | Decoding method and device utilizing flash channel characteristic and data storage system | SHANDONG STORAGE WINGS ELECTRONICS TECHNOLOGY CO., LTD | 1 |
11003528 | Memory system including an error correction function | TOSHIBA MEMORY CORPORATION | 1 |
11003529 | Encoding method and memory storage apparatus using the same | -- | 1 |
11003530 | Semiconductor apparatus | SK HYNIX INC. | 1 |
11003531 | Memory system and operating method thereof | SK HYNIX INC. | 1 |
11003533 | Data processing method, system, and apparatus | HUAWEI TECHNOLOGIES CO., LTD. | 1 |
11003534 | Systems, methods, and apparatuses for stacked memory | INTEL CORPORATION | 1 |
11003536 | Method, device and computer readable storage medium for writing to disk array | EMC IP HOLDING COMPANY LLC | 1 |
11003551 | Non-volatile storage system with program failure recovery | SANDISK TECHNOLOGIES LLC | 1 |
11003577 | Information processing apparatus, information processing method, and non-transitory computer-readable storage medium for storing program of access control with respect to semiconductor device memory | FUJITSU LIMITED | 1 |
11003708 | Interactive music feedback system | AUSTIN | 1 |
11003981 | Two-terminal metastable mixed-conductor memristive devices | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11003986 | Semiconductor device and system using the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
11004350 | Computerized training video system | WALMART APOLLO, LLC | 1 |
11004356 | Providing workout recap | NIKE, INC. | 1 |
11004415 | Shift register circuit and display panel using the same | HKC CORPORATION LIMITED | 1 |
11004416 | Shift register circuit and display panel using the same | HKC CORPORATION LIMITED | 1 |
11004417 | Shift register unit and driving method thereof, driving apparatus and display apparatus | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. | 1 |
11004464 | Magnetic head | KABUSHIKI KAISHA TOSHIBA | 1 |
11004465 | Magneto-resistance element in which I-III-VI2 compound semiconductor is used, method for manufacturing said magneto-resistance element, and magnetic storage device and spin transistor in which said magneto-resistance element is used | NATIONAL INSTITUTE FOR MATERIALS SCIENCE | 1 |
11004466 | Reader with shape optimized for higher SNR | SEAGATE TECHNOLOGY LLC | 1 |
11004467 | Data storage device with data writer deactivation responsive to security threats | SEAGATE TECHNOLOGY LLC | 1 |
11004468 | Magnetic disk device and method for adjusting write data | KABUSHIKI KAISHA TOSHIBA | 1 |
11004469 | Cassette shutter | HYOSUNG TNS INC. | 1 |
11004470 | Content reproduction device, content reproduction method, and content reproduction system | YAMAHA CORPORATION | 1 |
11004471 | Editing portions of videos in a series of video portions | FACEBOOK, INC. | 1 |
11004472 | Method and device of playing video, and computing device | SHANGHAI BILIBILI TECHNOLOGY CO., LTD. | 1 |
11004473 | Methods and systems for annotation and truncation of media assets | SAGE LEARNING INC. | 1 |
11004474 | Recording apparatus, reproducing apparatus, recording/reproducing apparatus, image pickup apparatus, recording method, and program | SONY CORPORATION | 1 |
11004475 | Methods and apparatuses for aligning read data in a stacked semiconductor device | MICRON TECHNOLOGY, INC. | 1 |
11004476 | Multi-column interleaved DIMM placement and routing topology | CISCO TECHNOLOGY, INC. | 1 |
11004477 | Bank and channel structure of stacked semiconductor device | MICRON TECHNOLOGY, INC. | 1 |
11004478 | Semiconductor memory device | KIOXIA CORPORATION | 1 |
11004479 | Method, system and device for integration of bitcells in a volatile memory array and bitcells in a non-volatile memory array | ARM LIMITED | 1 |
11004480 | Leakage current reduction in a dual rail device | -- | 1 |
11004481 | Internal voltage generation device and method for generating internal voltage | SK HYNIX INC. | 1 |
11004482 | Retention voltage generator circuit | APPLE INC. | 1 |
11004483 | Reference voltage generating circuit, buffer, semiconductor apparatus, and semiconductor system using the reference voltage generating circuit | SK HYNIX INC. | 1 |
11004484 | Page buffer and memory device including the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11004485 | Apparatus and method for improving input/output throughput of memory system | SK HYNIX INC. | 1 |
11004486 | Driving circuit | -- | 1 |
11004487 | Semiconductor device and semiconductor system | SK HYNIX INC. | 1 |
11004488 | Memory device for generating word line signals having varying pulse widths | -- | 1 |
11004489 | Perpendicular spin transfer torque MRAM memory cell with in-stack thermal barriers | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11004490 | Spin orbit torque magnetoresistive random access memory device | IMEC VZW | 1 |
11004491 | Twisted wordline structures | GLOBALFOUNDRIES U.S. INC. | 1 |
11004492 | Cell bottom node reset in a memory array | MICRON TECHNOLOGY, INC. | 1 |
11004493 | Differential amplifier sensing schemes for non-switching state compensation | MICRON TECHNOLOGY, INC. | 1 |
11004494 | Memory arrays, and methods of forming memory arrays | MICRON TECHNOLOGY, INC. | 1 |
11004495 | Data storage device and operating method thereof | SK HYNIX INC. | 1 |
11004496 | Semiconductor devices | SK HYNIX INC. | 1 |
11004497 | Methods for independent memory bank maintenance and memory devices and systems employing the same | MICRON TECHNOLOGY, INC. | 1 |
11004498 | Memory interface circuit, memory storage device and configuration status checking method | -- | 1 |
11004499 | Latency control circuit and method | -- | 1 |
11004500 | Memory with artificial intelligence mode | MICRON TECHNOLOGY, INC. | 1 |
11004501 | Sensing a memory device | -- | 1 |
11004502 | Storage unit and static random access memory | HUAWEI TECHNOLOGIES CO., LTD. | 1 |
11004503 | Write assist circuitry | ARM LIMITED | 1 |
11004504 | Controller, memory system including the controller, and operating method of the memory system | SK HYNIX INC. | 1 |
11004505 | Method for operating a non-volatile memory cell | -- | 1 |
11004506 | Switching resistor and method of making such a device | UCL BUSINESS LTD | 1 |
11004507 | Methods and systems for detecting degradation of resistive memory devices | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11004508 | One selector one resistor RAM threshold voltage drift and offset voltage compensation methods | SANDISK TECHNOLOGIES LLC | 1 |
11004509 | Circuit structure and memory circuit with resistive memory elements, and related methods | GLOBALFOUNDRIES U.S. INC. | 1 |
11004510 | Cross-point memory compensation | MICRON TECHNOLOGY, INC. | 1 |
11004511 | Memory device having separate programming and resistance readout control | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11004512 | Semiconductor device having electrically floating body transistor, semiconductor device having both volatile and non-volatile functionality and method of operating | ZENO SEMICONDUCTOR, INC. | 1 |
11004513 | Memory devices with controlled wordline ramp rates, and associated systems and methods | MICRON TECHNOLOGY, INC. | 1 |
11004514 | Semiconductor memory device | KIOXIA CORPORATION | 1 |
11004515 | Semiconductor memory device, controller and memory system having the same | SK HYNIX INC. | 1 |
11004516 | Erasing memory cells | MICRON TECHNOLOGY, INC. | 1 |
11004517 | Storage device including nonvolatile memory device and operating method thereof | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11004518 | Threshold voltage setting with boosting read scheme | SANDISK TECHNOLOGIES LLC | 1 |
11004519 | Storage device and operating method thereof | SK HYNIX INC. | 1 |
11004520 | Nonvolatile semiconductor memory device including a memory cell array and a control circuit applying a reading voltage | TOSHIBA MEMORY CORPORATION | 1 |
11004521 | Semiconductor device and semiconductor memory device | KIOXIA CORPORATION | 1 |
11004522 | Fail bit number counting circuit and non-volatile semiconductor storage device | -- | 1 |
11004523 | Nonvolatile memory and memory system | TOSHIBA MEMORY COIPORATION | 1 |
11004524 | SSD having a parallelized, multi-level program voltage verification | INTEL CORPORATION | 1 |
11004525 | Modulation of programming voltage during cycling | SANDISK TECHNOLOGIES LLC | 1 |
11004526 | Shift register, gate drive circuit and display panel | SHANGHAI AVIC OPTO ELECTRONICS CO., LTD. | 1 |
11004527 | Shift register, gate drive circuit, driving method thereof, and display device | HEFEI BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. | 1 |
11004528 | Electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
11004529 | Device including memory controller, memory device, and power management circuit, and method of operating the same | SK HYNIX INC. | 1 |
11004530 | Testing through-silicon-vias | RAMBUS INC. | 1 |
11004531 | Test control circuit, semiconductor memory apparatus and semiconductor system using the test control circuit | SK HYNIX INC. | 1 |
11004532 | Method and system for analyzing traffic data | TELEDYNE LECROY, INC. | 1 |
11004533 | Memory device and built-in self test method thereof | -- | 1 |
11004534 | Preemptive read refresh in memories with time-varying error rates | MICRON TECHNOLOGY, INC. | 1 |
11004535 | Robust storage of bad column data and parity bits on word line | SANDISK TECHNOLOGIES LLC | 1 |
11004588 | Switching of perpendicularly magnetized nanomagnets with spin-orbit torques in the absence of external magnetic fields | UNIVERSITY OF ROCHESTER | 1 |
11004719 | Methods for producing a 3D semiconductor memory device and structure | MONOLITHIC 3D INC. | 1 |
11004726 | Stairstep structures in multilevel circuitry, and method for forming the same | -- | 1 |
11004857 | Operating method of an electrically erasable programmable read only memory (EEPROM) cell | -- | 1 |
11004865 | Memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11004897 | Magnetoresistive random access memory and method for fabricating the same | -- | 1 |
11004900 | Magnetoresistive random access memory device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11004901 | Magnetic random access memory and manufacturing method thereof | -- | 1 |
11004902 | Circuit element, storage device, electronic equipment, method of writing information into circuit element, and method of reading information from circuit element | SONY CORPORATION | 1 |
11004903 | Electronic device having hexagonal structure and addressing method therefor | INDUSTRY-ACADEMIC COOPERATION FOUNDATION, YONSEI UNIVERSITY | 1 |
11004947 | Nonvolatile storage element | ASAHI KASEI MICRODEVICES CORPORATION | 1 |
11004967 | 3D semiconductor device and structure with memory | MONOLITHIC 3D INC. | 1 |
11004975 | Semiconductor device and manufacturing method thereof | -- | 1 |
11005023 | Superconducting logic element | CONSIGLIO NAZIONALE DELLE RICERCHE | 1 |
11005029 | Spin transfer torque switching of a magnetic layer with volume uniaxial magnetic crystalline anistotropy | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11005032 | Techniques for MRAM MTJ top electrode to metal layer interface including spacer | -- | 1 |
11005034 | Magnetoresistive memory device including a high dielectric constant capping layer and methods of making the same | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11005459 | Efficient retention flop utilizing different voltage domain | APPLE INC. | 1 |
11005483 | Charge pump with load driven clock frequency management | STMICROELECTRONICS DESIGN AND APPLICATION S.R.O. | 1 |
11005490 | Sampling circuit | STMICROELECTRONICS (ALPS) SAS | 1 |
11005501 | Error correction on a memory device | MICRON TECHNOLOGY, INC. | 1 |
11005503 | Memory system with hybrid decoding scheme and method of operating such memory system | SK HYNIX INC. | 1 |
11005642 | Output circuit for a source device with arbitrary access time | TEXAS INSTRUMENTS INCORPORATED | 1 |
11005669 | PUF generators based on SRAM bit cells | -- | 1 |
11006180 | Media clipper system | BROADNET TELESERVICES, LLC | 1 |
11006526 | Semiconductor storage device | TOSHIBA MEMORY CORPORATION | 1 |
11006878 | Modulation of mental state of a user using a non-invasive brain interface system and method | HI LLC | 1 |
11007436 | Video recording and playback systems and methods | SONY INTERACTIVE ENTERTAINMENT INC. | 1 |
11009901 | Methods and apparatus for voltage regulation using output sense current | QUALCOMM INCORPORATED | 1 |
11009902 | Power voltage selection circuit | MICRON TECHNOLOGY, INC. | 1 |
11009908 | Portable computing device and methods | MCUBE, INC. | 1 |
11010061 | Scalable bandwidth non-volatile memory | INTEL CORPORATION | 1 |
11010065 | Read retry method for solid state storage device | -- | 1 |
11010076 | Memory system with multiple striping of raid groups and method for performing the same | VIOLIN SYSTEMS LLC | 1 |
11010085 | Apparatuses and methods for data movement | MICRON TECHNOLOGY, INC. | 1 |
11010098 | Memory systems, modules, and methods for improved capacity | RAMBUS INC. | 1 |
11010226 | Memory controller and method of operating the same | SK HYNIX INC. | 1 |
11010234 | Memory device and error detection method thereof | -- | 1 |
11010239 | Method and system for managing memory device | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11010242 | DRAM assist error correction mechanism for DDR SDRAM interface | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11010243 | Memory apparatus with error bit correction in data reading period | -- | 1 |
11010244 | Memory data management method | -- | 1 |
11010263 | High performance persistent memory | RAMBUS INC. | 1 |
11010289 | Data storage device and operating method thereof | SK HYNIX INC. | 1 |
11010294 | MRAM noise mitigation for write operations with simultaneous background operations | SPIN MEMORY, INC. | 1 |
11010296 | Temporarily storing memory contents | INFINEON TECHNOLOGIES AG | 1 |
11010304 | Memory with reduced exposure to manufacturing related data corruption errors | INTEL CORPORATION | 1 |
11010534 | Animated presentation creator | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11011035 | Methods and systems for detecting persons in a smart home environment | GOOGLE LLC | 1 |
11011088 | Shift register unit, driving method, gate drive circuit, and display device | BOE TECHNOLOGY GROUP CO., LTD. | 1 |
11011089 | Shift register unit and method for driving the same, gate driving circuit, array substrate and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. | 1 |
11011093 | Shift register unit, method for driving shift register unit, gate driving circuit, method for driving gate driving circuit, and display device | BOE TECHNOLOGY GROUP CO., LTD. | 1 |
11011117 | Shift register, drive method thereof, drive control circuit, and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. | 1 |
11011132 | Shift register unit, shift register circuit, driving method, and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. | 1 |
11011184 | Automatic determination of timing windows for speech captions in an audio stream | GOOGLE LLC | 1 |
11011185 | Processing device, processing method, and storage medium | NEC CORPORATION | 1 |
11011189 | Readback waveform oversampling method and apparatus | SEAGATE TECHNOLOGY LLC | 1 |
11011190 | Magnetic write head with write-field enhancement structure including a magnetic notch | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11011191 | Split contact sensor for a heat-assisted magnetic recording slider | SEAGATE TECHNOLOGY LLC | 1 |
11011192 | Method of building self-aligned optical side shield structure | HEADWAY TECHNOLOGIES, INC. | 1 |
11011193 | Dual flux change layer (FCL) assisted magnetic recording | HEADWAY TECHNOLOGIES, INC. | 1 |
11011194 | Magnetic head cleaning mechanism and magnetic tape device | NEC PLATFORMS, LTD. | 1 |
11011195 | Manufacturing method for multi-layer PZT microactuator having a poled but inactive PZT constraining layer | MAGNECOMP CORPORATION | 1 |
11011196 | Spindle motor and hard disk drive device | MINEBEA MITSUMI INC. | 1 |
11011198 | Tape-creep detection via frequency domain data | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11011199 | Magnetic disk device and control method of servo write | KABUSHIKI KAISHA TOSHIBA | 1 |
11011200 | Fluorine-containing ether compound, lubricant for magnetic recording medium, and magnetic recording medium | SHOWA DENKO K.K. | 1 |
11011201 | Heatsink structures for heat-assisted magnetic recording heads | SEAGATE TECHNOLOGY LLC | 1 |
11011202 | Recording apparatus, recording method, and storage medium | CANON KABUSHIKI KAISHA | 1 |
11011203 | Patterned thermal absorption layer for granular storage media | SEAGATE TECHNOLOGY LLC | 1 |
11011204 | Detecting media defects | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11011205 | Servo writer with magnetic tape edge polishing unit and servo signal writing method thereof | SONY CORPORATION | 1 |
11011206 | User control for displaying tags associated with items in a video playback | GULA CONSULTING LIMITED LIABILITY COMPANY | 1 |
11011207 | Hard disk drive tray and hard disk drive tray module | HONGFUJIN PRECISION ELECTRONICS(TIANJIN)CO., LTD. | 1 |
11011208 | Semiconductor memory device including parallel substrates in three dimensional structures | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11011209 | Three-dimensional memory device including contact-level bit-line-connection structures and methods of making the same | SANDISK TECHNOLOGIES LLC | 1 |
11011210 | Memory layout structure | -- | 1 |
11011211 | Semiconductor storage device | KIOXIA CORPORATION | 1 |
11011212 | Delay calibration oscillators for a memory device | MICRON TECHNOLOGY, INC. | 1 |
11011213 | Memory system and data transmission method | LONGITUDE LICENSING LIMITED | 1 |
11011214 | Data receiving circuit | SK HYNIX INC. | 1 |
11011215 | Apparatus with an internal-operation management mechanism | MICRON TECHNOLOGY, INC. | 1 |
11011216 | Compute-in-memory dynamic random access memory | QUALCOMM INCORPORATED | 1 |
11011217 | Selective extension of a fine granularity mode for memory refresh operations | MICRON TECHNOLOGY, INC. | 1 |
11011218 | Apparatuses and methods for refresh operations including multiple refresh activations | MICRON TECHNOLOGY, INC. | 1 |
11011219 | Method for refreshing a memory array | -- | 1 |
11011220 | Apparatuses and methods for compute in data path | MICRON TECHNOLOGY, INC. | 1 |
11011221 | Apparatuses and methods for signal line buffer timing control | MICRON TECHNOLOGY, INC. | 1 |
11011222 | Memory structure with bitline strapping | ARM LIMITED | 1 |
11011223 | Memory sub-system grading and allocation | MICRON TECHNOLOGY, INC. | 1 |
11011224 | Memory device and method for forming the same | -- | 1 |
11011225 | Semiconductor storage device | TOSHIBA MEMORY CORPORATION | 1 |
11011226 | Access signal adjustment circuits and methods for memory cells in a cross-point array | UNITY SEMICONDUCTOR CORPORATION | 1 |
11011227 | Method, system and device for non-volatile memory device operation | ARM LIMITED | 1 |
11011228 | Memory device having an increased sensing margin | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11011229 | Memory systems and memory programming methods | MICRON TECHNOLOGY, INC. | 1 |
11011230 | Memory device and operation method thereof | -- | 1 |
11011231 | Data write-in method and non-volatile memory | -- | 1 |
11011232 | Memory cells, memory cell arrays, methods of using and methods of making | ZERO SEMICONDUCTOR, INC. | 1 |
11011233 | Nonvolatile memory device, storage device including nonvolatile memory device, and method of accessing nonvolatile memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11011234 | Non-volatile memory and operating method thereof | -- | 1 |
11011235 | Non-volatile semiconductor memory device in which erase and write operations are sequentially performed to control voltage thresholds of memory cells | TOSHIBA MEMORY CORPORATION | 1 |
11011236 | Erasing memory | MICRON TECHNOLOGY, INC. | 1 |
11011237 | Semiconductor memory device with erase control | KIOXIA CORPORATION | 1 |
11011238 | Floating data line circuits and methods | -- | 1 |
11011239 | Semiconductor memory | KIOXIA CORPORATION | 1 |
11011240 | Flash memory cell and associated high voltage row decoder | SILICON STORAGE TECHNOLOGY, INC. | 1 |
11011241 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION | 1 |
11011242 | Bit line voltage control for damping memory programming | SANDISK TECHNOLOGIES LLC | 1 |
11011243 | Storage device that uses a host memory buffer and a memory management method including the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11011244 | Shift register, semiconductor device, display device, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
11011245 | Semiconductor device and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
11011246 | Shift register, gate driving circuit, display device, and driving method of node sustaining circuit | HEFEI BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. | 1 |
11011247 | Source driving sub-circuit and driving method thereof, source driving circuit, and display device | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. | 1 |
11011248 | DRAM retention test method for dynamic error correction | RAMBUS INC. | 1 |
11011249 | Concurrent testing of a logic device and a memory device within a system package | NVIDIA CORPORATION | 1 |
11011250 | Modifying memory bank operating parameters | MICRON TECHNOLOGY, INC. | 1 |
11011251 | System and method of verifying hard post package repair and non-transitory computer readable medium | -- | 1 |
11011449 | Apparatus and method for dissipating heat in multiple semiconductor device modules | MICRON TECHNOLOGY, INC. | 1 |
11011506 | Bonded structure including a performance-optimized support chip and a stress-optimized three-dimensional memory chip and method for making the same | SANDISK TECHNOLOGIES LLC | 1 |
11011530 | Memory cell, nonvolatile semiconductor storage device, and method for manufacturing nonvolatile semiconductor storage device | FLOADIA CORPORATION | 1 |
11011533 | Memory structure and programing and reading methods thereof | -- | 1 |
11011534 | Multi-level cell thin-film transistor memory and method of fabricating the same | FUDAN UNIVERSITY | 1 |
11011541 | Semiconductor memory device in which memory cells are three-dimensionally arrange | TOSHIBA MEMORY CORPORATION | 1 |
11011575 | Circuit selector of embedded magnetoresistive random access memory | -- | 1 |
11011577 | One-time programmable memory using gate-all-around structures | -- | 1 |
11011578 | Resistive memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11011582 | Three-dimensional memory array | MICRON TECHNOLOGY, INC. | 1 |
11011697 | Faceted sidewall magnetic tunnel junction structure | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11011698 | Enhanced coercivity in MTJ devices by contact depth control | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11011700 | Resistance-change type memory device | TOSHIBA MEMORY CORPORATION | 1 |
11011703 | Semiconductor device and manufacturing method thereof | SIEN (QINGDAO) INTEGRATED CIRCUITS CO., LTD. | 1 |
11012037 | Techniques for controlling an auto-zero amplifier | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY | 1 |
11012056 | Ring oscillator and time measuring circuit | -- | 1 |
11012062 | Bootstrap circuit and a sampling circuit using the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11012076 | Linear input and non-linear output majority logic gate with and/or function | KEPLER COMPUTING INC. | 1 |
11012246 | SRAM-based authentication circuit | -- | 1 |
11012641 | Multimedia distribution system for multimedia files with interleaved media chunks of varying types | DIVX, LLC | 1 |
11012675 | Automatic selection of viewpoint characteristics and trajectories in volumetric video presentations | AT&T INTELLECTUAL PROPERTY I, L.P. | 1 |
11012698 | Image encoding apparatus and method for controlling the same | CANON KABUSHIKI KAISHA | 1 |
11012734 | Interactive video distribution system and video player utilizing a client server architecture | SCORPCAST, LLC | 1 |
11012736 | Transmission apparatus, transmission method, reception apparatus, and reception method | SONY CORPORATION | 1 |
11013087 | Light-emitting device having circuits and method for driving the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
11013137 | Server capable of accessing and rotating storage devices accommodated therein | SUPER MICRO COMPUTER, INC. | 1 |
11014256 | Semiconductor memory device and method for manufacturing same | KIOXIA CORPORATION | 1 |
11014723 | Container and/or container lid with recordable audio player | NOBLEIQ LLC | 1 |
11015547 | Apparatuses and methods for storing redundancy repair information for memories | MICRON TECHNOLOGY, INC. | 1 |
11016228 | System and method for forming diffracted optical element having varied gratings | VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC. | 1 |
11016545 | Thermal throttling for memory devices | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11016663 | Memory system | KIOXIA CORPORATION | 1 |
11016670 | Nonvolatile semiconductor memory device | TOSHIBA MEMORY CORPORATION | 1 |
11016672 | Memory card and host device thereof | TOSHIBA MEMORY COIPORATION | 1 |
11016679 | Balanced die set execution in a data storage system | SEAGATE TECHNOLOGY LLC | 1 |
11016687 | Writing file information for tape file systems | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11016701 | Oscillator circuitry to facilitate in-memory computation | INTEL CORPORATION | 1 |
11016705 | Electronic apparatus and method of managing read levels of flash memory | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
11016781 | Methods and memory modules for enabling vendor specific functionalities | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11016837 | Memory module register access | RAMBUS, INC. | 1 |
11016842 | Methods and apparatus to detect and correct errors in destructive read non-volatile memory | TEXAS INSTRUMENTS INCORPORATED | 1 |
11016843 | Direct-input redundancy scheme with adaptive syndrome decoder | MICRON TECHNOLOGY, INC. | 1 |
11016844 | Error correction code structure | TOSHIBA MEMORY CORPORATION | 1 |
11016845 | Semiconductor device having error correction code (ECC) circuit | MICRON TECHNOLOGY, INC. | 1 |
11016847 | Memory system and operation method thereof | SK HYNIX INC. | 1 |
11016880 | Data storage system with read disturb control strategy whereby disturb condition can be predicted | SEAGATE TECHNOLOGY LLC | 1 |
11016918 | Flash-DRAM hybrid memory module | NETLIST, INC. | 1 |
11016962 | Blockchain data storage based on shared nodes and error correction code | ADVANCED NEW TECHNOLOGIES CO., LTD. | 1 |
11017012 | Dynamically ranking media effects based on user and device characteristics | FACEBOOK, INC. | 1 |
11017128 | Data security using bit transposition during memory accesses | SEAGATE TECHNOLOGY LLC | 1 |
11017290 | Signal processing module, especially for a neural network and a neuronal circuit | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES | 1 |
11017488 | Systems, methods, and user interface for navigating media playback using scrollable text | -- | 1 |
11017691 | Training using tracking of head mounted display | STRIVR LABS, INC. | 1 |
11017800 | Head shell | AUDIO-TECHNICA CORPORATION | 1 |
11017801 | Magnetic head with assisted magnetic recording and method of making thereof | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11017802 | Magnetic head with assisted magnetic recording and method of making thereof | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11017803 | Disk drive with actuator assemblies | KABUSHIKI KAISHA TOSHIBA | 1 |
11017804 | Magnetic disk device and method of setting recording capacity | KABUSHIKI KAISHA TOSHIBA | 1 |
11017805 | Magnetic tape recording device having dual reading transducers | FUJIFILM CORPORATION | 1 |
11017806 | Alternative designs for magnetic recording assisted by a single Spin Hall Effect (SHE) layer in the write gap | HEADWAY TECHNOLOGIES, INC. | 1 |
11017808 | Fractionation method for magnetic recording-magnetic powder, fractionation apparatus for magnetic recording-magnetic powder, and method of producing magnetic recording medium | SONY CORPORATION | 1 |
11017809 | Magnetic recording medium having a controlled dimensional variation | SONY CORPORATION | 1 |
11017810 | Spring clip leader and housing for magnetic tape | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11017811 | Playback speed optimization | LENOVO (SINGAPORE) PTE. LTD. | 1 |
11017812 | Systems and methods for generating time lapse videos | GOPRO, INC. | 1 |
11017813 | Storyline experience | GOOGLE LLC | 1 |
11017814 | Live and post workflow system and method | NEWBLUE, INC. | 1 |
11017815 | Editing method and recording and reproducing device | MAXELL, LTD. | 1 |
11017816 | Multimedia distribution system | DIVX, LLC | 1 |
11017817 | Image processing apparatus, image processing method, camera apparatus, remote control apparatus, and camera system | SONY CORPORATION | 1 |
11017818 | Event-based media playback | SYNAPTICS INCORPORATED | 1 |
11017819 | Data storage devices, and related components and methods of making | SEAGATE TECHNOLOGY LLC | 1 |
11017820 | Electromagnetic shielding for electronic devices | SEAGATE TECHNOLOGY LLC | 1 |
11017821 | Magnetic recording array and magnetic recording device | TDK CORPORATION | 1 |
11017822 | Yield-centric power gated regulated supply design with programmable leakers | XILINX, INC. | 1 |
11017823 | System and method for dynamic adjustment of SSD critical temperature threshold based on memory size | DELL PRODUCTS L.P. | 1 |
11017824 | Semiconductor device, control device and control method | RENESAS ELECTRONICS CORPORATION | 1 |
11017825 | Memory circuit including tracking circuit | -- | 1 |
11017826 | Magnetic memory device | KABUSHIKI KAISHA TOSHIBA | 1 |
11017827 | Magnetic device storing first and second values | KABUSHIKI KAISHA TOSHIBA | 1 |
11017828 | Apparatus for generating a magnetic field and method of using said apparatus | CROCUS TECHNOLOGY SA | 1 |
11017829 | Magnetic memory device including voltage generator connected to both word line driver and write driver | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11017830 | Ferroelectric memories | -- | 1 |
11017831 | Ferroelectric memory cell access | MICRON TECHNOLOGY, INC. | 1 |
11017832 | Multi-level storage in ferroelectric memory | MICRON TECHNOLOGY, INC. | 1 |
11017833 | Apparatuses and methods for pure-time, self adopt sampling for row hammer refresh sampling | MICRON TECHNOLOGY, INC. | 1 |
11017834 | Refresh command management | MICRON TECHNOLOGY, INC. | 1 |
11017836 | Apparatus and method for non-volatile memory for applying voltages to selected and unselected strings during the channel initialization for improved read operation | SK HYNIX INC. | 1 |
11017837 | Memory system | KIOXIA CORPORATION | 1 |
11017838 | Nonvolatile memory devices | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11017839 | DRAM, memory controller and associated training method | -- | 1 |
11017840 | Semiconductor devices | SK HYNIX INC. | 1 |
11017841 | Nonvolatile memory device, operating method of nonvolatile memory device, and storage device including nonvolatile memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11017842 | Copy data in a memory system with artificial intelligence mode | MICRON TECHNOLOGY, INC. | 1 |
11017843 | Thin film transistors for memory cell array layer selection | INTEL CORPORATION | 1 |
11017844 | Semiconductor memory device | SK HYNIX INC. | 1 |
11017845 | RAM cell processing circuit for concurrency of refresh and read | SIGMASENSE, LLC. | 1 |
11017846 | Updating program files of a memory device using a differential write operation | MICRON TECHNOLOGY, INC. | 1 |
11017847 | On-demand high performance mode for memory write commands | MICRON TECHNOLOGY, INC. | 1 |
11017848 | Static random-access memory (SRAM) system with delay tuning and control and a method thereof | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11017849 | Non-volatile memory device with concurrent bank operations | CONVERSANT INTELLECTUAL PROPERTY MANAGEMENT INC. | 1 |
11017850 | Master set of read voltages for a non-volatile memory (NVM) to mitigate cross-temperature effects | SEAGATE TECHNOLOGY LLC | 1 |
11017851 | Silicon-oxide-nitride-oxide-silicon based multi level non-volatile memory device and methods of operation thereof | CYPRESS SEMICONDUCTOR CORPORATION | 1 |
11017852 | Method of forming memory device | -- | 1 |
11017853 | Cross point resistive memory device with compensation for leakage current in read operation | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11017854 | Storage device having a memory cell with a variable resistance element, in which voltage applied to a word line of the memory cell is controlled based on voltage of a bit line of the memory cell | TOSHIBA MEMORY CORPORATION | 1 |
11017855 | Electronic device and operating method thereof | SK HYNIX INC. | 1 |
11017856 | Soft reset for multi-level programming of memory cells in non-Von Neumann architectures | APPLIED MATERIALS, INC. | 1 |
11017857 | Ranged content-addressable memory | MICRON TECHNOLOGY, INC. | 1 |
11017858 | Low power content addressable memory | -- | 1 |
11017859 | Sequential write and sequential write verify in memory device | MICRON TECHNOLOGY, INC. | 1 |
11017861 | Semiconductor memory device and method of operating the same | SK HYNIX INC. | 1 |
11017862 | Multi-time programming memory cell and memory cell array with erase inhibit capability | -- | 1 |
11017863 | Multi-bit memory system with adaptive read voltage controller | TOSHIBA MEMORY CORPORATION | 1 |
11017864 | Preemptive mitigation of cross-temperature effects in a non-volatile memory (NVM) | SEAGATE TECHNOLOGY LLC | 1 |
11017865 | Memory controller determining optimal read voltage and operating method thereof | SK HYNIX INC. | 1 |
11017866 | Method of improving read current stability in analog non-volatile memory using final bake in predetermined program state | SILICON STORAGE TECHNOLOGY, INC. | 1 |
11017867 | Adjustable read retry order based on decoding success trend | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11017868 | Responding to power loss | MICRON TECHNOLOGY, INC. | 1 |
11017869 | Programming process combining adaptive verify with normal and slow programming speeds in a memory device | SANDISK TECHNOLOGIES LLC | 1 |
11017870 | Select gate maintenance in a memory sub-system | MICRON TECHNOLOGY, INC. | 1 |
11017871 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
11017872 | Gate driving circuit, display device and driving method | BOE TECHNOLOGY GROUP CO., LTD. | 1 |
11017873 | Memory bypass function for a memory | SYNOPSYS, INC. | 1 |
11017874 | Data and memory reorganization | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11017875 | Tracking address ranges for computer memory errors | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11017876 | Memory correcting method | 2X MEMORY TECHNOLOGY CORP. | 1 |
11017877 | Multi-chip package | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11017878 | Memory device with a dynamic fuse array | MICRON TECHNOLOGY, INC. | 1 |
11017879 | Adjustable column address scramble using fuses | MICRON TECHNOLOGY, INC. | 1 |
11018042 | 3D semiconductor memory device and structure | MONOLITHIC 3D INC. | 1 |
11018084 | Managed integrated circuit power supply distribution | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11018093 | Magnetic shielding of STT-MRAM in multichip packaging and method of manufacturing the same | GLOBALFOUNDRIES SINGAPORE PTE. LTD. | 1 |
11018124 | Embedded memory device and method for embedding memory device in a substrate | INTEL CORPORATION | 1 |
11018136 | Method of maintaining the state of semiconductor memory having electrically floating body transistor | ZENO SEMICONDUCTOR, INC. | 1 |
11018137 | Semiconductor memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11018156 | 3D memory semiconductor devices and structures | MONOLITHIC 3D INC. | 1 |
11018184 | Magnetoresistive random access memory with particular shape of dielectric layer | -- | 1 |
11018186 | Multi-level memristor elements | CIRRUS LOGIC, INC. | 1 |
11018190 | Three-dimensional memory apparatuses and methods of use | MICRON TECHNOLOGY, INC. | 1 |
11018227 | Semiconductor storage device, method of controlling semiconductor storage device, computer program product, and method of fabricating semiconductor storage device | KABUSHIKI KAISHA TOSHIBA | 1 |
11018293 | Magnetoresistance effect element | TDK CORPORATION | 1 |
11018295 | Non-volatile memory structure with positioned doping | HEFEI RELIANCE MEMORY LIMITED | 1 |
11018559 | Spindle motor | LG INNOTEK CO., LTD. | 1 |
11018577 | Charge pump circuit for providing voltages to multiple switch circuits | FAIRCHILD SEMICONDUCTOR CORPORATION | 1 |
11018607 | Motor driver device, semiconductor device, and electronic device including the same | ROHM CO., LTD. | 1 |
11018659 | Comparator, AD converter, solid-state image pickup device, electronic device, method of controlling comparator, data writing circuit, data reading circuit, and data transferring circuit | SONY CORPORATION | 1 |
11018676 | Coarse delay lock estimation for digital DLL circuits | INTEL CORPORATION | 1 |
11018693 | System and method for continuously verifying device state integrity | MAXIM INTEGRATED PRODUCTS, INC. | 1 |
11018881 | Device security with physically unclonable functions | SQUARE, INC. | 1 |
11019227 | Storage control apparatus equipped with storage device, method of controlling same, and storage medium | CANON KABUSHIKI KAISHA | 1 |
11019319 | Systems and methods for controlled dichoptic viewing | TUFTS MEDICAL CENTER, INC. | 1 |
11019320 | Storage method, playback method, storage apparatus, and playback apparatus | SUN PATENT TRUST | 1 |
11019378 | Methods and apparatuses for editing videos from multiple video streams | RAZER (ASIA-PACIFIC) PTE. LTD. | 1 |
11019748 | Suspended fan modules | SEAGATE TECHNOLOGY LLC | 1 |
11020816 | Methods of forming a friction stir weld between a steel piece and an aluminum piece, and related assemblies | SEAGATE TECHNOLOGY LLC | 1 |
11022660 | Magnetic sensor including a magnetic member offset from a magnetoresistive effect element | TDK CORPORATION | 1 |
11023028 | Systems and methods for generating a graphical representation of audio-file playback during playback manipulation | INMUSIC BRANDS, INC. | 1 |
11023164 | Managed NAND data tagging | MICRON TECHNOLOGY, INC. | 1 |
11023171 | Performing a refresh operation based on a write to read time difference | MICRON TECHNOLOGY, INC. | 1 |
11023172 | Selecting read voltage using write transaction data | MICRON TECHNOLOGY, INC. | 1 |
11023173 | Apparatuses and methods to mask write operations for a mode of operation using ECC circuitry | MICRON TECHNOLOGY, INC. | 1 |
11023175 | Semiconductor memory device including program operation status flag cells | SK HYNIX INC. | 1 |
11023177 | Temperature correction in memory sub-systems | MICRON TECHNOLOGY, INC. | 1 |
11023209 | On-chip hardware random number generator | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11023310 | Detection of address errors in memory devices using multi-segment error detection codes | SYNOPSYS, INC. | 1 |
11023316 | DRAM-based storage device and associated data processing method | -- | 1 |
11023317 | Read recovery control circuitry | MICRON TECHNOLOGY, INC. | 1 |
11023321 | Raid storage-device-assisted deferred parity data update system | DELL PRODUCTS L.P. | 1 |
11023322 | Raid storage-device-assisted parity update data storage system | DELL PRODUCTS L.P. | 1 |
11023336 | Memory-based distributed processor architecture | NEUROBLADE, LTD. | 1 |
11023371 | Memory system and method for controlling nonvolatile memory | TOSHIBA MEMORY CORPORATION | 1 |
11023380 | Non-volatile storage system with filtering of data samples for a monitored operational statistic | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11023388 | Data path protection parity determination for data patterns in storage devices | SK HYNIX INC. | 1 |
11023733 | System and method for analyzing a video file in a shortened time frame | FLICKSTREE PRODUCTIONS PVT LTD | 1 |
11023736 | Methods and systems of spatiotemporal pattern recognition for video content development | SECOND SPECTRUM, INC. | 1 |
11023737 | Detection of demarcating segments in video | ARRIS ENTERPRISES LLC | 1 |
11024085 | Electronic system and method for three-dimensional mixed-reality space and experience construction and sharing | DOUBLEME, INC. | 1 |
11024210 | Display panel and display device with notch edge | XIAMEN TIANMA MICRO-ELECTRONICS CO., LTD. | 1 |
11024235 | Shift register unit, method for driving shift register unit, gate driving circuit, and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. | 1 |
11024245 | Gate driver and display device using the same | LG DISPLAY CO., LTD. | 1 |
11024247 | Electronic device and driving method thereof | -- | 1 |
11024333 | Magnetic read head structure with improved bottom shield design for better reader performance | HEADWAY TECHNOLOGIES, INC. | 1 |
11024334 | High density magnetic recording medium for heat-assisted magnetic storage apparatus | SHOWA DENKO K.K. | 1 |
11024335 | Magnetic-disk glass substrate | HOYA CORPORATION | 1 |
11024336 | Magnetic disk device and memory management method of magnetic disk device | KABUSHIKI KAISHA TOSHIBA | 1 |
11024337 | Magnetic disk device and write processing method | KABUSHIKI KAISHA TOSHIBA | 1 |
11024338 | Device, method, and non-transitory computer readable medium for processing motion image | SNOW CORPORATION | 1 |
11024339 | System and method for testing for COVID-19 | -- | 1 |
11024340 | Audio sample playback unit | SYNESTHESIA CORPORATION | 1 |
11024341 | Conformance of media content to original camera source using optical character recognition | COMPANY 3 / METHOD INC. | 1 |
11024342 | Digital image processing apparatus and method of controlling the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11024343 | Electronic device that includes a composition that can actively generate and release a gaseous oxidizing agent component into an interior space of the electronic device, and related subassemblies and methods | SEAGATE TECHNOLOGY LLC | 1 |
11024344 | Landing pad in interconnect and memory stacks: structure and formation of the same | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11024345 | Power management integrated circuit load switch driver with dynamic biasing | MICRON TECHNOLOGY, INC. | 1 |
11024346 | Semiconductor circuit, driving method, and electronic device with less disturbance | SONY SEMICONDUCTOR SOLUTIONS CORPORATION | 1 |
11024347 | Multiple sense amplifier and data path-based pseudo dual port SRAM | MARVELL ASIA PTE, LTD. | 1 |
11024348 | Memory array with reduced read power requirements and increased capacity | PURDUE RESEARCH FOUNDATION | 1 |
11024349 | Memory device with a clocking mechanism | MICRON TECHNOLOGY, INC. | 1 |
11024350 | Semiconductor device including a calibration circuit capable of generating strobe signals and clock signals having accurate duty ratio and training method thereof | SK HYNIX INC. | 1 |
11024351 | Memory device and operating method for controlling non-volatile memory | -- | 1 |
11024352 | Memory system for access concentration decrease management and access concentration decrease method | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11024353 | Mechanism to improve driver capability with fine tuned calibration resistor | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11024354 | Low power linear memory readout | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11024355 | MRAM bit line write control with source follower | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11024356 | Apparatus for low power write and read operations for resistive memory | INTEL CORPORATION | 1 |
11024357 | Nonvolatile memory cell and nonvolatile memory device comprising the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11024358 | Differential compute-in-memory bitcell | QUALCOMM INCORPORATED | 1 |
11024359 | Memory devices adjusting operating cycle based on operating temperature | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11024360 | Nonvolatile semiconductor memory device | KIOXIA CORPORATION | 1 |
11024361 | Coincident memory bank access via cross connected shared bank resources | QUALCOMM INCORPORATED | 1 |
11024362 | High capacity memory system using standard controller component | RAMBUS INC. | 1 |
11024363 | Memory device having different numbers of bits stored in memory cells | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11024364 | Sense amplifiers for sensing multilevel cells and memory devices including the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11024365 | Time interleaved sampling of sense amplifier circuits, memory devices and methods of operating memory devices | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11024366 | Under-memory array process edge mats with sense amplifiers | MICRON TECHNOLOGY, INC. | 1 |
11024367 | Memory with on-die data transfer | MICRON TECHNOLOGY, INC. | 1 |
11024368 | Semiconductor circuit and semiconductor circuit system | SONY SEMICONDUCTOR SOLUTIONS CORPORATION | 1 |
11024369 | Static random-access memory cell design | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11024370 | Static random access memory with write assist adjustment | -- | 1 |
11024371 | Method of programming memory device and related memory device | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
11024372 | Segregation-based memory | MICRON TECHNOLOGY, INC. | 1 |
11024373 | Voltage-mode bit line precharge for random-access memory cells | HEFEI RELIANCE MEMORY LIMITED | 1 |
11024374 | Semiconductor memory device | KIOXIA CORPORATION | 1 |
11024375 | Semiconductor storage device and control method of semiconductor storage device with detecting levels of a multi-ary signal | TOSHIBA MEMORY CORPORATION | 1 |
11024376 | Memory apparatus and method of controlling memory apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION | 1 |
11024377 | Nonvolatile memory apparatus for performing a read operation and a method of operating the same | SK HYNIX INC. | 1 |
11024378 | Memory systems and memory programming methods | MICRON TECHNOLOGY, INC. | 1 |
11024379 | Methods and systems for highly optimized memristor write process | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP | 1 |
11024380 | Dual demarcation voltage sensing before writes | INTEL CORPORATION | 1 |
11024381 | Resistive random access memory device | -- | 1 |
11024382 | Fully associative cache management | MICRON TECHNOLOGY, INC. | 1 |
11024383 | Memory device, memory controller, and storage device including memory device and memory controller | SK HYNIX INC. | 1 |
11024384 | Memory device using comb-like routing structure for reduced metal line loading | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
11024385 | Parallel memory operations in multi-bonded memory device | SANDISK TECHNOLOGIES LLC | 1 |
11024386 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION | 1 |
11024387 | Memory device with compensation for program speed variations due to block oxide thinning | SANDISK TECHNOLOGIES LLC | 1 |
11024388 | Methods for programming memory including an overdrive voltage for gating an access line voltage | MICRON TECHNOLOGY, INC. | 1 |
11024390 | Overlapping RAID groups | PURE STORAGE, INC. | 1 |
11024391 | System and method for estimating uninformed log-likelihood ratio (LLR) for NAND flash memories | TOSHIBA MEMORY CORPORATION | 1 |
11024392 | Sense amplifier for bidirectional sensing of memory cells of a non-volatile memory | SANDISK TECHNOLOGIES LLC | 1 |
11024393 | Read operation for non-volatile memory with compensation for adjacent wordline | SANDISK TECHNOLOGIES LLC | 1 |
11024394 | Implementing sticky read using error control success rate associated with a memory sub-system | MICRON TECHNOLOGY, INC. | 1 |
11024395 | Adjustment circuit for partitioned memory block | -- | 1 |
11024396 | Reduction or elimination of a latency penalty associated with adjusting read thresholds for non-volatile memory | SEAGATE TECHNOLOGY LLC | 1 |
11024397 | Nonvolatile memory device with verification signal to indicate success or failure of programming memory cell and method for operating thereof | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11024398 | Semiconductor device having a diode type electrical fuse (e-fuse) cell array | KEY FOUNDRY CO., LTD. | 1 |
11024399 | Shift register unit, gate drive circuit, display device and driving method | CHONGQING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. | 1 |
11024400 | Nonvolatile memory including on-die-termination circuit and storage device including the nonvolatile memory | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11024401 | Compute an optimized read voltage | MICRON TECHNOLOGY, INC. | 1 |
11024402 | Memory system and operation method thereof | SK HYNIX INC. | 1 |
11024632 | Semiconductor structure for SRAM cell | -- | 1 |
11024634 | Semiconductor device having an inter-layer via (ILV), and method of making same | -- | 1 |
11024646 | Memory device | TOSHIBA MEMORY CORPORATION | 1 |
11024648 | Ferroelectric memory devices including a stack of ferroelectric and antiferroelectric layers and method of making the same | SANDISK TECHNOLOGIES LLC | 1 |
11024669 | LIDAR system with fiber tip reimaging | AEVA, INC. | 1 |
11024670 | Forming an MRAM device over a transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11024674 | Metal-insulator-semiconductor-insulator-metal (MISIM) device, method of operation, and memory device including the same | -- | 1 |
11024726 | Skyrmion diode and method of manufacturing the same | UNIST (ULSAN NATIONAL INSTITUTE OF SCIENCE AND TECHNOLOGY) | 1 |
11024748 | Nonvolatile memory device including two-dimensional material and apparatus including the nonvolatile memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11024749 | Dual channel transistor device and methods of forming the same | -- | 1 |
11024800 | Film scheme to improve peeling in chalcogenide based PCRAM | -- | 1 |
11025200 | Magnetic coupling layers, structures comprising magnetic coupling layers and methods for fabricating and/or using same | -- | 1 |
11025411 | Technologies for providing streamlined provisioning of accelerated functions in a disaggregated architecture | INTEL CORPORATION | 1 |
11025877 | Multi-camera system, camera, processing method of camera, confirmation apparatus, and processing method of confirmation apparatus | SONY CORPORATION | 1 |
11025985 | Audio processing for detecting occurrences of crowd noise in sporting event television programming | STATS LLC | 1 |
11026334 | Wired circuit board and producing method thereof | NITTO DENKO CORPORATION | 1 |
11029348 | Measuring a load resistance coupled to a transmission line | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11029355 | Direct measurement test structures for measuring static random access memory static noise margin | THE BOEING COMPANY | 1 |
11029720 | Area-efficient scalable memory read-data multiplexing and latching | INTEL CORPORATION | 1 |
11029736 | Support apparatus | LENOVO ENTERPRISE SOLUTIONS (SINGAPORE) PTE. LTD. | 1 |
11029737 | Partitioning member, hard disk fixing mechanism and server | -- | 1 |
11029823 | Jukebox with customizable avatar | TOUCHTUNES MUSIC CORPORATION | 1 |
11029852 | Dedicated interface for coupling flash memory and dynamic random access memory | ADVANCED MICRO DEVICES, INC. | 1 |
11029856 | Flash memory device with data fragment function | QUALCOMM INCORPORATED | 1 |
11029861 | Sense flags in a memory device | MICRON TECHNOLOGY, INC. | 1 |
11029863 | Using non-volatile random access memory as volatile random access memory | VMWARE, INC. | 1 |
11029872 | Non-volatile storage system with data shaping memory partitions | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11029888 | Memory system and method of controlling operations on bad memory block based on temperature | SK HYNIX INC. | 1 |
11029889 | Soft bit read mode selection for non-volatile memory | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11029890 | Compound feature generation in classification of error rate of data retrieved from memory cells | MICRON TECHNOLOGY, INC. | 1 |
11029893 | Storage device including nonvolatile memory device and controller, controller and operating method of nonvolatile memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11030040 | Memory device detecting an error in write data during a write operation, memory system including the same, and operating method of memory system | SK HYNIX INC. | 1 |
11030041 | Decoding method, associated flash memory controller and electronic device | -- | 1 |
11030042 | Flash memory apparatus and storage management method for flash memory | -- | 1 |
11030061 | Single and double chip spare | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP | 1 |
11030099 | Data storage apparatus and operating method thereof | SK HYNIX INC. | 1 |
11030124 | Semiconductor device with secure access key and associated methods and systems | MICRON TECHNOLOGY, INC. | 1 |
11030130 | Storage device, access method and system utilizing the same | -- | 1 |
11030132 | Synchronous memory bus access to storage media | MICRON TECHNOLOGY, INC. | 1 |
11030141 | Apparatuses for independent tuning of on-die termination impedances and output driver impedances, and related methods, semiconductor devices, and systems | MICRON TECHNOLOGY, INC. | 1 |
11030145 | Server | JABIL INC. | 1 |
11030546 | Measurement based uncomputation for quantum circuit optimization | GOOGLE LLC | 1 |
11030813 | Video clip object tracking | SNAP INC. | 1 |
11030931 | Shift register unit, driving method, gate drive circuit and display device | HEFEI BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. | 1 |
11031030 | Automatic tonearm lifter | -- | 1 |
11031031 | Forming recessed portions in a tape head module to provide an air bearing between a tape medium and a tape bearing surface of the tape head module | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11031032 | Cryogenic magnetic alloys with less grain refinement dopants | SEAGATE TECHNOLOGY LLC | 1 |
11031033 | Magnetic recording medium and cartridge | SONY CORPORATION | 1 |
11031034 | Magnetic recording medium having a recording layer including epsilon-iron oxide | SONY CORPORATION | 1 |
11031036 | Non-magnetic substrate for magnetic disk, and magnetic disk | HOYA CORPORATION | 1 |
11031037 | System for disk-to-disk access for reduced-head data storage device | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11031038 | Glass spacer, hard disk drive apparatus, and method for manufacturing glass spacer | HOYA CORPORATION | 1 |
11031039 | Circuits and methods for optimizing write current waveform for main pole relaxation in perpendicular magnetic recording | HEADWAY TECHNOLOGIES, INC. | 1 |
11031040 | Optical disk recording method, optical disk device, and integrated circuit | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. | 1 |
11031041 | Detecting damaged areas on magnetic tape based on measuring a number of servo errors | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11031042 | Efficient rewrite using larger codeword sizes | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11031043 | Video collaboration tool | FACEBOOK, INC. | 1 |
11031044 | Method, system and computer program product for self-learned and probabilistic-based prediction of inter-camera object movement | MOTOROLA SOLUTIONS, INC. | 1 |
11031045 | Systems and methods for media production and editing | EVERTZ MICROSYSTEMS LTD. | 1 |
11031046 | Disk-shaped article shipping container | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11031047 | Hard-disk pulling device | -- | 1 |
11031048 | Devices with a snap-fit engagement and related methods | SEAGATE TECHNOLOGY LLC | 1 |
11031049 | Flexible memory system with a controller and a stack of memory | MICRON TECHNOLOGY, INC. | 1 |
11031050 | Power line compensation for flash memory sense amplifiers | SILICON STORAGE TECHNOLOGY, INC. | 1 |
11031051 | Memory device with recycling arrangement for gleaned charge | -- | 1 |
11031053 | Derivative receiver | SK HYNIX INC. | 1 |
11031054 | Apparatuses and methods for pre-emphasis control | MICRON TECHNOLOGY, INC. | 1 |
11031055 | Memory macro and method of operating the same | -- | 1 |
11031056 | Clock generation circuitry for memory device to generate multi-phase clocks and output data clocks to sort and serialize output data | SK HYNIX INC. | 1 |
11031057 | Mode conversion method and apparatus for a nonvolatile memory | GIGADEVICE SEMICONDUCTOR (BEIJING) INC. | 1 |
11031058 | Spin-transfer torque magnetoresistive memory device with a free layer stack including multiple spacers and methods of making the same | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11031059 | Magnetic random-access memory with selector voltage compensation | SANDISK TECHNOLOGIES LLC | 1 |
11031060 | Data reading circuit and storage unit | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION | 1 |
11031061 | Write efficiency in magneto-resistive random access memories | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11031062 | Magnetic memory device and method for controlling a write pulse | NATIONAL INSTITUTE OF ADVANCED INDUSTRIAL SCIENCE AND TECHNOLOGY | 1 |
11031063 | Word-line driver and method of operating a word-line driver | -- | 1 |
11031064 | Semiconductor devices | SK HYNIX INC. | 1 |
11031065 | Semiconductor memory devices, memory systems and methods of operating semiconductor memory devices | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11031066 | Methods for adjusting memory device refresh operations based on memory device temperature, and related memory devices and systems | MICRON TECHNOLOGY, INC. | 1 |
11031067 | Semiconductor memory device for securing sensing margin at cryogenic temperature | SK HYNIX INC. | 1 |
11031068 | Memory device receiving data clock signals and operation method thereof | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11031069 | Memory cell and memory cell array having an electrically floating body transistor, and methods of operating same | OVONYX MEMORY TECHNOLOGY, LLC | 1 |
11031070 | Apparatus and method for performing continuous time linear equalization on a command/address signal | MICRON TECHNOLOGY, INC. | 1 |
11031071 | Nonvolatile memory device, operating method of nonvolatile memory device, and storage device including nonvolatile memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11031072 | Dynamic random access memory including threshold switch | INTEL CORPORATION | 1 |
11031073 | SRAM cells with vertical gate-all-round MOSFETs | -- | 1 |
11031074 | Dual port SRAM cell with dummy transistors | -- | 1 |
11031075 | High bandwidth register file circuit with high port counts for reduced bitline delay | QUALCOMM INCORPORATED | 1 |
11031076 | Memory circuit capable of implementing calculation operations | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES | 1 |
11031077 | Resistance variable memory device | SK HYNIX INC. | 1 |
11031078 | SEU stabilized memory cells | MICROSEMI SOC CORP. | 1 |
11031079 | Dynamic digital perceptron | FLASHSILICON INCORPORATION | 1 |
11031080 | Architecture for fast content addressable memory search | MICRON TECHNOLOGY, INC. | 1 |
11031081 | Apparatus having memory arrays and having trim registers associated with memory array access operation commands | -- | 1 |
11031082 | Non-volatile memory with double capa implant | STMICROELECTRONICS (ROUSSET) SAS | 1 |
11031083 | Apparatuses and methods for decoding addresses for memory | MICRON TECHNOLOGY, INC. | 1 |
11031084 | Memory device and method of operating the same | SK HYNIX INC. | 1 |
11031085 | Non-volatile memory with fast partial page operation | SANDISK TECHNOLOGIES LLC | 1 |
11031086 | Semiconductor memory and operating method thereof | SK HYNIX INC. | 1 |
11031087 | Method for reading data stored in a flash memory according to a voltage characteristic and memory controller thereof | SILICON MOTION, INC. | 1 |
11031088 | Hot-cold VTH mismatch using VREAD modulation | SANDISK TECHNOLOGIES LLC | 1 |
11031089 | Block read count voltage adjustment | MICRON TECHNOLOGY, INC. | 1 |
11031090 | Analog current memory with droop compensation | OMNI DESIGN TECHNOLOGIES INC. | 1 |
11031091 | Apparatus and method for measuring round-trip time of test signal using programmable logic | UNITEST INC. | 1 |
11031167 | Giant perpendicular magnetic anisotropy in Fe/GaN thin films for data storage and memory devices | UNIVERSITY OF NEW HAMPSHIRE | 1 |
11031251 | Self-aligned planarization of low-k dielectrics and method for producing the same | GLOBALFOUNDRIES SINGAPORE PTE. LTD. | 1 |
11031275 | 3D semiconductor device and structure with memory | MONOLITHIC 3D INC. | 1 |
11031400 | Integrated memory comprising secondary access devices between digit lines and primary access devices | MICRON TECHNOLOGY, INC. | 1 |
11031401 | Memory device comprising electrically floating body transistor | ZENO SEMICONDUCTOR, INC. | 1 |
11031402 | Capacitorless dram cell | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11031404 | Dynamic memory structure with a shared counter electrode | -- | 1 |
11031405 | Peripheral logic circuits under DRAM memory arrays | MICRON TECHNOLOGY, INC. | 1 |
11031407 | Anti-fuse device, circuit, methods, and layout | -- | 1 |
11031408 | Semiconductor device and method of producing semiconductor device | LAPIS SEMICONDUCTOR CO., LTD. | 1 |
11031410 | Nonvolatile memory device and method for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11031414 | Integrated assemblies having vertically-spaced channel material segments, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. | 1 |
11031415 | Semiconductor storage device | TOSHIBA MEMORY CORPORATION | 1 |
11031541 | Spin-orbit torque type magnetization rotating element, spin-orbit torque type magnetoresistance effect element, and magnetic memory | TDK CORPORATION | 1 |
11031547 | Reduction of capping layer resistance area product for magnetic device applications | -- | 1 |
11031549 | Magnetoresistive random access memory (MRAM) device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11031550 | Phase-change memory cell having a compact structure | STMICROELECTRONICS (CROLLES 2) SAS | 1 |
11031551 | Light-activated switching resistor, an optical sensor incorporating a light-activated switching resistor, and methods of using such devices | UCL BUSINESS LTD | 1 |
11031553 | Method, system, and device for phase change memory switch wall cell with approximately horizontal electrode contact cross references | OVONYX MEMORY TECHNOLOGY, LLC | 1 |
11031554 | Method for manufacturing a pass-through device | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES | 1 |
11031779 | Memory system with a random bit block | -- | 1 |
11032466 | Apparatus for editing image using depth map and method thereof | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11032490 | Camera array including camera modules | VERIZON PATENT AND LICENSING INC. | 1 |
11032617 | Multiple household management | SONOS, INC. | 1 |
11032933 | Storage device | -- | 1 |
11032934 | Apparatus, system, and method for enabling multiple storage-system configurations | FACEBOOK, INC. | 1 |
11033239 | Alert system for auditory queues | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11036361 | Timeline-video relationship presentation for alert events | GOOGLE LLC | 1 |
11036398 | High-throughput low-latency hybrid memory module | RAMBUS, INC. | 1 |
11036399 | Memory system and operating method of the memory system | SK HYNIX INC. | 1 |
11036406 | Thermally aware memory management | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11036407 | Storage system and method for smart folding | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11036421 | Apparatus and method for retaining firmware in memory system | SK HYNIX INC. | 1 |
11036426 | Memory controller and method of operating the same | SK HYNIX INC. | 1 |
11036432 | Low power mode for a memory device | MICRON TECHNOLOGY, INC. | 1 |
11036433 | Memory controller, data storage device, and storage system having the same | SK HYNIX INC. | 1 |
11036438 | Efficient storage architecture for high speed packet capture | FMAD ENGINEERING KABUSHIKI GAISHA | 1 |
11036578 | Semiconductor memory devices and memory systems including the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11036579 | Decoder for memory system and method thereof | SK HYNIX INC. | 1 |
11036580 | Metadata hardening and parity accumulation for log-structured arrays | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11036581 | Non-volatile memory control circuit with parallel error detection and correction | APPLE INC. | 1 |
11036582 | Uncorrectable error correction code (UECC) recovery time improvement | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11036597 | Semiconductor memory system and method of repairing the semiconductor memory system | SK HYNIX INC. | 1 |
11036601 | Memory module, memory system including the same and operation method thereof | SK HYNIX INC. | 1 |
11036627 | Self-management memory system and operating method thereof | SK HYNIX INC. | 1 |
11036631 | Configurable trim settings on a memory device | MICRON TECHNOLOGY, INC. | 1 |
11036633 | Hierarchical memory apparatus | MICRON TECHNOLOGY, INC. | 1 |
11036636 | Providing improved efficiency for metadata usages | INTEL CORPORATION | 1 |
11036782 | Generating and updating event-based playback experiences | MICROSOFT TECHNOLOGY LICENSING, LLC | 1 |
11036841 | Systems and methods for detecting unauthorized use of an application | NORTONLIFELOCK INC. | 1 |
11036992 | Method and system for segmenting and transmitting on-demand live-action video in real-time | LIVECLIPS LLC | 1 |
11036996 | Method and apparatus for determining (raw) video materials for news | BAIDU USA LLC | 1 |
11037056 | Computing device and method for inferring a predicted number of data chunks writable on a flash memory before wear out | DISTECH CONTROLS INC. | 1 |
11037302 | Motion video segmenting method, motion video segmenting device, and motion video processing system | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. | 1 |
11037515 | Shift register unit and method for controlling the same, gate driving circuit, display device | BOE TECHNOLOGY GROUP CO., LTD. | 1 |
11037585 | Method of processing a slider | SEAGATE TECHNOLOGY LLC | 1 |
11037586 | Methods and systems for providing electrical power to one or more heat sources in one or more sliders while lapping said sliders | SEAGATE TECHNOLOGY LLC | 1 |
11037587 | Tunnel magnetoresistive sensor having conductive ceramic layers | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11037588 | Increasing tape drive head performance with sectional cleaning using a cartridge memory | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11037589 | Multi-piece head gimbal assembly | SEAGATE TECHNOLOGY LLC | 1 |
11037590 | In-pivot hybrid stepper motor for ball screw cam elevator mechanism for reduced-head hard disk drive | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11037591 | Heat-assisted magnetic recording medium and magnetic storage apparatus | SHOWA DENKO K.K. | 1 |
11037592 | Resin film with controlled youngs modulus | TOYOBO CO., LTD. | 1 |
11037593 | Methods of separating one or more substrates that are adhesively bonded to a carrier, and related systems and apparatuses | SEAGATE TECHNOLOGY LLC | 1 |
11037594 | Aluminum-alloy substrate for magnetic disk, method for manufacturing same and magnetic disk employing said aluminum-alloy substrate for magnetic disk | UACJ CORPORATION | 1 |
11037595 | Optical memory devices using a silicon wire grid polarizer and methods of making and using | WOSTEC, INC. | 1 |
11037596 | Motor driver device and semiconductor device | ROHM CO., LTD. | 1 |
11037597 | Heat-assisted magnetic recording apparatus that modulates laser power to reduce differences between track widths of recorded marks | SEAGATE TECHNOLOGY LLC | 1 |
11037598 | Detecting damaged areas on magnetic tape based on measuring a number of servo errors | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11037599 | Automatic slow motion video recording | QUALCOMM INCORPORATED | 1 |
11037600 | Video processing method and apparatus, terminal and medium | BEIJING MICROLIVE VISION TECHNOLOGY CO., LTD. | 1 |
11037601 | Spherical video editing | SNAP INC. | 1 |
11037602 | Advanced video editing techniques using sampling patterns | SNAP INC. | 1 |
11037603 | Computing system with DVE template selection and video content item generation feature | GRACENOTE, INC. | 1 |
11037604 | Method for video investigation | IDEMIA IDENTITY & SECURITY GERMANY AG | 1 |
11037605 | Power delivery circuitry | MICRON TECHNOLOGY, INC. | 1 |
11037606 | Methods of command based and current limit controlled memory device power up | MICRON TECHNOLOGY, INC. | 1 |
11037607 | Strong arm latch with wide common mode range | INTEL CORPORATION | 1 |
11037608 | Stacked memory device and memory system including the same | SK HYNIX INC. | 1 |
11037609 | Semiconductor devices | SK HYNIX INC. | 1 |
11037610 | Read time-out managers and memory systems including the read time-out managers | SK HYNIX INC. | 1 |
11037611 | Magnetic property measuring systems, methods for measuring magnetic properties, and methods for manufacturing magnetic memory devices using the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11037613 | Implementations to store fuse data in memory devices | MICRON TECHNOLOGY, INC. | 1 |
11037614 | Imprint-free write driver for ferroelectric memory | INTEL CORPORATION | 1 |
11037615 | Refresh processing method, apparatus, and system, and memory controller | HUAWEI TECHNOLOGIES CO., LTD. | 1 |
11037616 | Apparatuses and methods for refresh operations in semiconductor memories | MICRON TECHNOLOGY, INC. | 1 |
11037617 | Methods for row hammer mitigation and memory devices and systems employing the same | MICRON TECHNOLOGY, INC. | 1 |
11037618 | Row hammer prevention circuit, a memory module including the row hammer prevention circuit, and a memory system including the memory module | SK HYNIX INC. | 1 |
11037619 | Using dual channel memory as single channel memory with spares | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11037620 | Memory device having fault detection functionality and control system including the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11037621 | Sensing techniques using a charge transfer device | MICRON TECHNOLOGY, INC. | 1 |
11037622 | Semiconductor device and dynamic logic circuit | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
11037623 | Semiconductor memory device | SK HYNIX INC. | 1 |
11037624 | Devices for programming resistive change elements in resistive change element arrays | NANTERO, INC. | 1 |
11037625 | Solid state drive architectures | THSTYME BERMUDA LIMITED | 1 |
11037626 | Nonvolatile memory devices including memory planes and memory systems including the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11037627 | Cell block allocation for hybrid dual write | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11037628 | Methods of operating nonvolatile memory devices, methods of operating storage device and storage devices | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11037629 | Semiconductor memory device and method for operating thereof | SK HYNIX INC. | 1 |
11037630 | NAND temperature data management | MICRON TECHNOLOGY, INC. | 1 |
11037631 | Column erasing in non-volatile memory strings | SANDISK TECHNOLOGIES LLC | 1 |
11037632 | Multi-tier 3D memory and erase method thereof | -- | 1 |
11037633 | Methods and apparatuses including an asymmetric assist device | MICRON TECHNOLOGY, INC. | 1 |
11037634 | Semiconductor storage device having a group adjacent bit lines connected to sense circuits that are each connected to a different data bus | TOSHIBA MEMORY CORPORATION | 1 |
11037635 | Power management for multi-plane read operations | SANDISK TECHNOLOGIES LLC | 1 |
11037636 | Memory devices including voltage generation systems | MICRON TECHNOLOGY, INC. | 1 |
11037637 | Defect detection in memories with time-varying bit error rate | MICRON TECHNOLOGY, INC. | 1 |
11037638 | Write operations to mitigate write disturb | MICRON TECHNOLOGY, INC. | 1 |
11037639 | Memory controller and method of operating the same for processing the failed read operation | SK HYNIX INC. | 1 |
11037640 | Multi-pass programming process for memory device which omits verify test in first program pass | SANDISK TECHNOLOGIES LLC | 1 |
11037641 | Temperature and cycling dependent refresh operation for memory cells | SANDISK TECHNOLOGIES LLC | 1 |
11037642 | Method for programming a memory system | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
11037643 | Memory system for controlling magnetic memory | TOSHIBA MEMORY CORPORATION | 1 |
11037644 | Testing circuit, testing method, and apparatus for testing multi-port random access memory | -- | 1 |
11037645 | Dynamic boosting techniques for memory | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11037646 | Memory controller, operating method of memory controller and memory system | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11037647 | Systems and methods for updating memory circuitry | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC | 1 |
11037648 | Memory system for improving memory reliability and memory management method for the same | SK HYNIX INC. | 1 |
11037649 | Test device and test method of semiconductor storage device | -- | 1 |
11037650 | Self-evaluating array of memory | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11037651 | Dual tap architecture for enabling secure access for DDR memory test controller | QUALCOMM INCORPORATED | 1 |
11037652 | Controller to detect malfunctioning address of memory device | RAMBUS, INC. | 1 |
11037653 | Memory devices performing repair operations and repair operation methods thereof | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11037929 | Compact semiconductor memory device having reduced number of contacts, methods of operating and methods of making | ZENO SEMICONDUCTOR INC. | 1 |
11037934 | SRAM circuits with aligned gate electrodes | -- | 1 |
11037938 | Memory cell | STMICROELECTRONICS S.A. | 1 |
11037942 | Memory cell and an array of memory cells | MICRON TECHNOLOGY, INC. | 1 |
11037943 | Three-dimensional memory device having on-pitch drain select gate electrodes and method of making the same | SANDISK TECHNOLOGIES LLC | 1 |
11037945 | Bonded three-dimensional memory devices and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
11037949 | Embedded memory using SOI structures and methods | -- | 1 |
11037950 | Semiconductor memory device, semiconductor device, and method of manufacturing semiconductor device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11037953 | Semiconductor device and method of manufacturing the same | SK HYNIX INC. | 1 |
11037954 | Three dimensional flash memory element with middle source-drain line and manufacturing method thereof | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11037956 | Integrated assemblies having charge-trapping material arranged in vertically-spaced segments, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. | 1 |
11037981 | Semiconductor device with magnetic tunnel junctions | -- | 1 |
11037982 | Semiconductor structure integrated with magnetic tunneling junction | -- | 1 |
11037988 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11038098 | Magnetic random access memory with various size magnetic tunneling junction film stacks | -- | 1 |
11038099 | Perpendicular magnetoelectric spin orbit logic | INTEL CORPORATION | 1 |
11038536 | Semiconductor memory device and method of controlling the same | TOSHIBA MEMORY CORPORATION | 1 |
11038600 | Wireless audio streaming system for swimmers and under water applications | FINNOVATE GROUP LLC | 1 |
11038723 | Bi-level adaptive equalizer | TEXAS INSTRUMENTS INCORPORATED | 1 |
11039046 | System of automated script generation with integrated video production | -- | 1 |
11039263 | Wide effect sound producing method | -- | 1 |
11039545 | Pull-out aiding device and chassis-wall module with pull-out aiding function | -- | 1 |
11039546 | Pull-out aiding device and chassis-wall module with pull-out aiding function | -- | 1 |
11039547 | Server | INVENTEC (PUDONG) TECHNOLOGY CORPORATION | 1 |
11041890 | Current measurement at a switching amplifier output | SYNAPTICS INCORPORATED | 1 |
11042260 | Method for providing graphical user interface (GUI), and multimedia apparatus applying the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11042274 | Extracting demonstrations from in-situ video content | AUTODESK, INC. | 1 |
11042298 | Access schemes for drive-specific read/write parameters | SEAGATE TECHNOLOGY LLC | 1 |
11042306 | Memory management | MICRON TECHNOLOGY, INC. | 1 |
11042307 | System and method for facilitating improved utilization of NAND flash based on page-wise operation | ALIBABA GROUP HOLDING LIMITED | 1 |
11042310 | Reading of start-up information from different memory regions of a memory system | KIOXIA CORPORATION | 1 |
11042312 | DRAM bank activation management | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11042313 | Method and apparatus for configuring write performance for electrically writable memory devices | MICRON TECHNOLOGY, INC. | 1 |
11042329 | Reordering a sequence of files based on compression rates in data transfer | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11042351 | Fast-resume audio playback | SONOS, INC. | 1 |
11042432 | Data storage device with dynamic stripe length manager | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11042436 | Semiconductor device with modified access and associated methods and systems | MICRON TECHNOLOGY, INC. | 1 |
11042437 | Metadata hardening and parity accumulation for log-structured arrays | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11042438 | Copy-back operations in a memory device | MICRON TECHNOLOGY, INC. | 1 |
11042493 | Memory system | SK HYNIX INC. | 1 |
11042587 | Performing behavior analysis on audio track data to obtain a name of an application | HONOR DEVICE CO., LTD. | 1 |
11042588 | Apparatus, method, and computer program product for video enhanced photo browsing | NOKIA TECHNOLOGIES OY | 1 |
11042596 | Preserving contextual relevance of content | VERIZON MEDIA INC. | 1 |
11042632 | Auto-recording of media data | GOPRO, INC. | 1 |
11042688 | Method of certifying safety levels of semiconductor memories in integrated circuits | -- | 1 |
11042715 | Electronic system for performing a multiplication of a matrix and vector | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11042754 | Summarizing video content | GOOGLE LLC | 1 |
11043168 | Shift register and method for driving the same, gate driving circuit and display apparatus | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. | 1 |
11043177 | Shift register | -- | 1 |
11043216 | Voice feedback for user interface of media playback device | SPOTIFY AB | 1 |
11043232 | Spin torque reversal assisted magnetic recording (STRAMR) device having a width substantially equal to that of a traililng shield | HEADWAY TECHNOLOGIES, INC. | 1 |
11043233 | Magnetic write head with dual return pole and tapered main pole | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11043234 | Spin transfer torque oscillator (STO) with spin torque injection to a flux generating layer (FGL) from two sides | HEADWAY TECHNOLOGIES, INC. | 1 |
11043235 | Assembly that enables reduction in disk to disk spacing | SEAGATE TECHNOLOGY LLC | 1 |
11043236 | Curved outer gimbal strut | SEAGATE TECHNOLOGY LLC | 1 |
11043237 | Multi-spool tape recording apparatus | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11043238 | Magnetic recording medium and magnetic storage device | SHOWA DENKO K.K. | 1 |
11043239 | Magneto-optic Kerr effect metrology systems | KLA CORPORATION | 1 |
11043240 | RhIr alloy near-field transducer with Rh template layer in a thermally assisted magnetic recording (TAMR) application | HEADWAY TECHNOLOGIES, INC. | 1 |
11043241 | Reservation-based management of movable components | ORACLE INTERNATIONAL CORPORATION | 1 |
11043242 | Systems and methods for information capture | SENWORTH, INC. | 1 |
11043243 | Calibrating elevator actuator for disk drive | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11043244 | Tap to advance by subtitles | SNAP INC. | 1 |
11043245 | System and method for compiling a singular video file from user-generated video file fragments | VERTIGO MEDIA, INC. | 1 |
11043246 | Memory modules including a mirroring circuit and methods of operating the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11043247 | Memory card | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11043248 | Circuit for detection of predominant data in a memory cell | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES | 1 |
11043249 | Memory devices with improved refreshing operation | -- | 1 |
11043250 | Buffer control of multiple memory banks | -- | 1 |
11043251 | Magnetic tunnel junction device and method of forming same | -- | 1 |
11043252 | Semiconductor storage device, read method thereof, and test method thereof | FUJITSU SEMICONDUCTOR MEMORY SOLUTION LIMITED | 1 |
11043253 | Self reference for ferroelectric memory | MICRON TECHNOLOGY, INC. | 1 |
11043254 | Semiconductor device having cam that stores address signals | MICRON TECHNOLOGY, INC. | 1 |
11043255 | Memory device with improved writing features | MICRON TECHNOLOGY, INC. | 1 |
11043256 | High bandwidth destructive read embedded memory | INTEL CORPORATION | 1 |
11043257 | Sense amplifier having offset cancellation | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11043258 | Memory system topologies including a memory die stack | RAMBUS INC. | 1 |
11043259 | System and method for in-memory compute | THE TRUSTEES OF PRINCETON UNIVERSITY | 1 |
11043260 | Single word line gain cell with complementary read write channel | MICRON TECHNOLOGY, INC. | 1 |
11043261 | Memory circuit configuration | -- | 1 |
11043262 | Write assist circuitry | ARM LIMITED | 1 |
11043263 | Low offset and enhanced write margin for stacked fabric dies | XILINX, INC. | 1 |
11043264 | Static random access memory method | -- | 1 |
11043265 | Memory devices with volatile and non-volatile behavior | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP | 1 |
11043266 | Multi-level read after heating event in non-volatile storage | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11043267 | Memory device architecture | MICRON TECHNOLOGY, INC. | 1 |
11043268 | Resistive memory devices and methods of operating resistive memory devices including adjustment of current path resistance of a selected memory cell in a resistive memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11043269 | Performing a test of memory components with fault tolerance | MICRON TECHNOLOGY, INC. | 1 |
11043270 | SoC package with integrated ultraviolet light source | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11043271 | Reusing a cell block for hybrid dual write | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11043272 | Memory cell programming with a program pulse having a plurality of different voltage levels | MICRON TECHNOLOGY, INC. | 1 |
11043273 | Vertical memory device and an operating method thereof | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11043274 | Nonvolatile memory device, storage device, and operating method of nonvolatile memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11043275 | Memory system, read method, program, and memory controller | TOSHIBA MEMORY CORPORATION | 1 |
11043276 | Sense amplifier architecture providing improved memory performance | SANDISK TECHNOLOGIES LLC | 1 |
11043277 | Two multi-level memory cells sensed to determine multiple data values | MICRON TECHNOLOGY, INC. | 1 |
11043278 | Read voltage calibration based on host IO operations | MICRON TECHNOLOGY, INC. | 1 |
11043279 | Method for reading three-dimensional flash memory | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
11043280 | Refresh operations for dedicated groups of blocks of memory cells | SANDISK TECHNOLOGIES LLC | 1 |
11043281 | Shift register unit and driving method thereof, circuit, array substrate and display device | BOE TECHNOLOGY GROUP CO., LTD. | 1 |
11043288 | Automated clinical documentation system and method | NUANCE COMMUNICATIONS, INC. | 1 |
11043318 | Multi-layer magnetic nanoparticles for magnetic recording | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11043488 | High voltage protection for high-speed data interface | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11043496 | Thin film transistors and related fabrication techniques | MICRON TECHNOLOGY, INC. | 1 |
11043497 | Integrated memory having non-ohmic devices and capacitors | MICRON TECHNOLOGY, INC. | 1 |
11043500 | Integrated assemblies comprising twisted digit line configurations | MICRON TECHNOLOGY, INC. | 1 |
11043501 | Embedded SRAM and methods of forming the same | -- | 1 |
11043503 | Plate node configurations and operations for a memory array | MICRON TECHNOLOGY, INC. | 1 |
11043506 | Three-dimensional memory device having a shielding layer and method for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
11043507 | Devices including dummy regions, and related memory devices and electronic systems | MICRON TECHNOLOGY, INC. | 1 |
11043531 | Semiconductor structure and manufacturing method of the same | -- | 1 |
11043532 | Semiconductor device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION | 1 |
11043533 | Switch and method for fabricating the same, and resistive memory cell and electronic device, including the same | SK HYNIX INC. | 1 |
11043631 | Perpendicular magnetoresistive elements | -- | 1 |
11043633 | Resistive memory device having a template layer | 4DS MEMORY, LIMITED | 1 |
11043938 | Digital logic circuit for deterring race violations at an array test control boundary using an inverted array clock signal feature | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11043941 | Apparatuses and methods for adjusting a phase mixer circuit | MICRON TECHNOLOGY, INC. | 1 |
11043952 | 3D stacked integrated circuits having failure management | MICRON TECHNOLOGY, INC. | 1 |
11043976 | System and methods for low complexity list decoding of turbo codes and convolutional codes | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11044123 | Auto-zero receiver with integrated DFE, VGA and eye monitor | RENESAS ELECTRONICS AMERICA INC. | 1 |
11044292 | Apparatus and method for playing back media content from multiple sources | SONY CORPORATION | 1 |
11044301 | Sharing digital media assets for presentation within an online social network | KODAK ALARIS INC. | 1 |
11044436 | Information processing apparatus | CANON KABUSHIKI KAISHA | 1 |
11044502 | Systems and methods for providing audio content during trick-play playback | DIVX, LLC | 1 |
11044512 | Use of near field communication (NFC) to transfer DVR timers | DISH TECHNOLOGIES L.L.C. | 1 |
11044521 | Modifying digital video content | GOOGLE LLC | 1 |
11044538 | Environmental sensor or semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
11047910 | Path based controls for ATE mode testing of multicell memory circuit | TEXAS INSTRUMENTS INCORPORATED | 1 |
11048397 | Methods and systems for presenting alert event indicators | GOOGLE LLC | 1 |
11048410 | Distributed procedure execution and file systems on a memory interface | RAMBUS INC. | 1 |
11048421 | Flash memory controller | -- | 1 |
11048428 | Apparatuses and methods for memory alignment | MICRON TECHNOLOGY, INC. | 1 |
11048431 | Flip-flop based on nonvolatile memory and backup operation method thereof | KOREA UNIVERSITY RESEARCH AND BUSINESS FOUNDATION | 1 |
11048434 | Compute in memory circuits with time-to-digital computation | INTEL CORPORATION | 1 |
11048437 | Double threshold controlled scheduling of memory access commands | MICRON TECHNOLOGY, INC. | 1 |
11048441 | Semiconductor devices | SK HYNIX INC. | 1 |
11048443 | Non-volatile memory interface | SANDISK TECHNOLOGIES LLC | 1 |
11048475 | Multi-cycle key compares for keys and records of variable length | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11048571 | Selectively performing multi-plane read operations in non-volatile memory | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11048573 | Data processing system and operating method thereof | SK HYNIX INC. | 1 |
11048581 | Storage device telemetry for provisioning I/O | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11048583 | Flexible, low-latency error correction architecture for semiconductor memory products | GREEN MOUNTAIN SEMICONDUCTOR INC. | 1 |
11048584 | Controller and method of operating the same | SK HYNIX INC. | 1 |
11048597 | Memory die remapping | MICRON TECHNOLOGY, INC. | 1 |
11048633 | Determining an inactive memory bank during an idle memory cycle to prevent error cache overflow | SPIN MEMORY, INC. | 1 |
11048639 | Tape drive with intelligent selection of wrap / track for temporarily storing flushed data | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11048640 | Tape drive with intelligent selection of wrap / track for temporarily storing flushed data | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11048644 | Memory mapping in an access device for non-volatile memory | AMAZON TECHNOLOGIES, INC. | 1 |
11048645 | Memory module, operation method therof, and operation method of host | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11048649 | Non-sequential page continuous read | -- | 1 |
11048651 | Method of memory time division control and related device | -- | 1 |
11048652 | Apparatus and methods for in data path compute operations | MICRON TECHNOLOGY, INC. | 1 |
11048654 | Systems and methods for providing multiple memory channels with one set of shared address pins on the physical interface | INNOGRIT TECHNOLOGIES CO., LTD. | 1 |
11048724 | Method and system for exploring similarities | HUAWEI TECHNOLOGIES CO., LTD. | 1 |
11048758 | Multi-level low-latency hashing scheme | MORGAN STANLEY SERVICES GROUP INC. | 1 |
11048827 | Protection systems for portable devices | VALEO CASES LLC | 1 |
11049003 | Analog neuromorphic circuit implemented using resistive memories | UNIVERSITY OF DAYTON | 1 |
11049009 | Identifying memory block write endurance using machine learning | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11049083 | Digital downloading jukebox system with central and local music servers and payment-triggered game devices update capability | TOUCHTUNES MUSIC CORPORATION | 1 |
11049257 | Data structure, information processing apparatus, and control method thereof | CANON KABUSHIKI KAISHA | 1 |
11049466 | Display device | SAMSUNG DISPLAY CO., LTD. | 1 |
11049491 | System and method for prosodically modified unit selection databases | AT&T INTELLECTUAL PROPERTY I, L.P. | 1 |
11049512 | Areal density capability improvement with a main pole skin | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11049513 | Magnetic recording head with non-magnetic conductive structure surrounding a main pole and contacting a spin torque oscillator | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11049514 | MAMR writer with low resistance MAMR stack | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11049515 | Dual wire assisted magnetic recording | SEAGATE TECHNOLOGY LLC | 1 |
11049516 | Near-field light generator and thermally-assisted magnetic recording head | HEADWAY TECHNOLOGIES, INC. | 1 |
11049517 | Data storage device staggering access operations to facilitate concurrent access of two disk surfaces | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11049518 | Data storage device defining track trajectory to reduce AC track squeeze | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11049519 | Method for voice recording and electronic device thereof | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11049520 | Data storage device employing multi-tier coding for magnetic tape | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11049521 | Concurrent secure communication generation | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11049522 | Digital media editing | GOPRO, INC. | 1 |
11049523 | System and method for performing real time, dynamic and adaptive segmentation of video content for display on digital media channels | SMILE INTERNET TECHNOLOGIES PRIVATE LIMITED | 1 |
11049524 | Interrelated entertainment devices for music and related information | -- | 1 |
11049525 | Transcript-based insertion of secondary video content into primary video content | ADOBE INC. | 1 |
11049526 | Play sequence visualization and analysis | DISNEY ENTERPRISES, INC. | 1 |
11049527 | Selecting a recording mode based on available storage space | MOTOROLA MOBILITY LLC | 1 |
11049528 | Multichannel tape head module having thermoelectric devices for controlling span between transducers | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11049529 | Narrow range sense amplifier with immunity to noise and variation | APPLIED MATERIALS, INC. | 1 |
11049530 | Semiconductor devices | SK HYNIX INC. | 1 |
11049531 | Nonvolatile memory device, operating method thereof, and data storage apparatus including the same | SK HYNIX INC. | 1 |
11049532 | Memory module and system supporting parallel and serial access modes | RAMBUS INC. | 1 |
11049533 | Semiconductor system and semiconductor device | SK HYNIX INC. | 1 |
11049534 | Column control circuit and semiconductor device including the same | SK HYNIX INC. | 1 |
11049535 | Memory device and method of operating the memory device | SK HYNIX INC. | 1 |
11049536 | Memory device having hardware regulation training | SHANGHAI ZHAOXIN SEMICONDUCTOR CO., LTD. | 1 |
11049537 | Additive patterning of semiconductor film stacks | APPLIED MATERIALS, INC. | 1 |
11049538 | Voltage-controlled interlayer exchange coupling magnetoresistive memory device and method of operating thereof | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11049539 | Magnetoresistive random access memory (MRAM) with OTP cells | NXP USA, INC. | 1 |
11049540 | Time-based access of a memory cell | MICRON TECHNOLOGY, INC. | 1 |
11049541 | Memory cell arrangement and methods thereof | FERROELECTRIC MEMORY GMBH | 1 |
11049542 | Semiconductor device with multiple chips and weak cell address storage circuit | SK HYNIX INC. | 1 |
11049543 | Apparatuses and methods for deactivating a delay locked loop update in semiconductor devices | MICRON TECHNOLOGY, INC. | 1 |
11049544 | Row hammer correction logic for dram with integrated processor | UPMEM | 1 |
11049545 | Methods for adjusting row hammer refresh rates and related memory devices and systems | MICRON TECHNOLOGY, INC. | 1 |
11049546 | Memory component with command-triggered data clock distribution | RAMBUS INC. | 1 |
11049547 | Non-volatile memory device, operating method thereof, and storage device including the non-volatile memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11049548 | Multi-bank type semiconductor memory device with reduced current consumption in data lines | DOSILICON CO., LTD. | 1 |
11049549 | Decoder structure including array of decoder cells organized into different rows | SK HYNIX INC. | 1 |
11049550 | Multi-bit current sense amplifier with pipeline current sampling of resistive memory array structure and sensing method thereof | -- | 1 |
11049551 | Memory devices providing in situ computing using sequential transfer of row buffered data and related methods and circuits | UNIVERSITY OF VIRGINIA PATENT FOUNDATION | 1 |
11049552 | Write assist circuitry for memory | QUALCOMM INCORPORATED | 1 |
11049553 | Memory device having variable impedance memory cells and time-to-transition sensing of data stored therein | R&D 3 LLC | 1 |
11049554 | SRAM array | -- | 1 |
11049555 | SRAM power-up random number generator | -- | 1 |
11049556 | Electronic device and manufacturing method of electronic device | SK HYNIX INC. | 1 |
11049557 | Leakage current compensation in crossbar array | -- | 1 |
11049558 | Semiconductor system including a phase changeable memory device | SK HYNIX INC. | 1 |
11049559 | Subthreshold voltage forming of selectors in a crosspoint memory array | SANDISK TECHNOLOGIES LLC | 1 |
11049560 | Pulsed integrator and memory techniques for determining a state of a memory cell | MICRON TECHNOLOGY, INC. | 1 |
11049561 | Method for programming a phase-change memory device of differential type, memory device, and electronic system | STMICROELECTRONICS S.R.L. | 1 |
11049562 | System and method for atomic persistence in storage class memory | -- | 1 |
11049563 | Mixed mode memory cell | JIANGSU ADVANCED MEMORY TECHNOLOGY CO., LTD. | 1 |
11049564 | Erasable programmable non-volatile memory | -- | 1 |
11049565 | Non-volatile memory devices and systems with volatile memory features and methods for operating the same | MICRON TECHNOLOGY, INC. | 1 |
11049566 | Erase cycle healing using a high voltage pulse | MICRON TECHNOLOGY, INC. | 1 |
11049567 | Read-once memory | PROTON WORLD INTERNATIONAL N.V. | 1 |
11049568 | Three-dimensional memory device with depletion region position control and method of erasing same using gate induced leakage | SANDISK TECHNOLOGIES LLC | 1 |
11049569 | Controller and operating method thereof | SK HYNIX INC. | 1 |
11049570 | Dynamic writes-per-day adjustment for storage drives | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11049571 | Semiconductor memory device for executing a read operation at high speed | TOSHIBA MEMORY CORPORATION | 1 |
11049572 | Memory device, source line voltage adjuster and source line voltage adjusting method thereof | -- | 1 |
11049573 | Semiconductor storage device | KIOXIA CORPORATION | 1 |
11049574 | Non-volatile semiconductor memory having multiple external power supplies | MOSAID TECHNOLOGIES INC. | 1 |
11049575 | Memory system and method of operating the same | SK HYNIX INC. | 1 |
11049576 | Power-on-reset for memory | MICRON TECHNOLOGY, INC. | 1 |
11049577 | Memory device having improved data reliability by varying program intervals, and method of operating the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11049578 | Non-volatile memory with program verify skip | SANDISK TECHNOLOGIES LLC | 1 |
11049579 | Methods and apparatus for NAND flash memory | -- | 1 |
11049580 | Modulation of programming voltage during cycling | SANDISK TECHNOLOGIES LLC | 1 |
11049581 | Media error reporting improvements for storage drives | TOSHIBA MEMORY CORPORATION | 1 |
11049582 | Detection of an incorrectly located read voltage | MICRON TECHNOLOGY, INC. | 1 |
11049583 | Semiconductor system with a training operation | SK HYNIX INC. | 1 |
11049584 | Integrated circuit memory devices having buffer dies and test interface circuits therein that support testing and methods of testing same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11049585 | On chip block repair scheme | -- | 1 |
11049586 | Systems and methods for implementing redundancy for tile-based intelligence processing computing architecture | MYTHIC, INC. | 1 |
11049768 | Methods of forming microelectronic devices, and related microelectronic devices, and electronic systems | MICRON TECHNOLOGY, INC. | 1 |
11049776 | Semiconductor memory device having chip-to-chip bonding structure | SK HYNIX INC. | 1 |
11049804 | Arrays of memory cells individually comprising a capacitor and a transistor and methods of forming such arrays | MICRON TECHNOLOGY, INC. | 1 |
11049864 | Apparatuses including capacitor structures, and related memory devices, electronic systems, and methods | MICRON TECHNOLOGY, INC. | 1 |
11049867 | Semiconductor memory device including an asymmetrical memory core region | TOSHIBA MEMORY CORPORATION | 1 |
11049868 | Stacked type semiconductor memory device | TOSHIBA MEMORY CORPORATION | 1 |
11049871 | Semiconductor storage device and manufacturing method of semiconductor storage device | TOSHIBA MEMORY CORPORATION | 1 |
11049872 | Semiconductor storage device and method of manufacturing the same | TOSHIBA MEMORY CORPORATION | 1 |
11049874 | NOR-type memory device and method of fabricating the same | -- | 1 |
11049879 | Three-dimensional vertical NOR flash thin-film transistor strings | SUNRISE MEMORY CORPORATION | 1 |
11049905 | Memory device and memory system | SONY SEMICONDUCTOR SOLUTIONS CORPORATION | 1 |
11049947 | Non-volatile memory and manufacturing method for the same | NEXCHIP SEMICONDUCTOR CO., LTD. | 1 |
11050008 | Display apparatus and method of manufacturing the same | SEOUL SEMICONDUCTOR CO., LTD. | 1 |
11050015 | Storage device and method for manufacturing storage device | TOSHIBA MEMORY CORPORATION | 1 |
11050016 | Semiconductor devices including spin-orbit torque line and contact plug | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11050017 | Semiconductor device and method for fabricating the same | -- | 1 |
11050413 | Latched comparator, clock generation circuit and semiconductor apparatus relating to the latched comparator | SK HYNIX INC. | 1 |
11050425 | Memory device processing | MICRON TECHNOLOGY, INC. | 1 |
11050426 | Logic gate devices and methods of forming a logic gate device | GLOBALFOUNDRIES SINGAPORE PTE. LTD. | 1 |
11050442 | Reducing the latency of a syndrome-based quasi-cyclic decoder | SK HYNIX INC. | 1 |
11050554 | Technologies for managing exact match hash table growth | INTEL CORPORATION | 1 |
11050970 | Decedent communication assembly | -- | 1 |
11050971 | Pulse code modulation (PCM) data-marking | CIRRUS LOGIC, INC. | 1 |
11054466 | Semiconductor device test system and semiconductor device test method | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11054471 | Electrical testing apparatus for spintronics devices | -- | 1 |
11055007 | Data storage device, operation method thereof and storage system having the same | SK HYNIX INC. | 1 |
11055011 | Storage device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11055021 | Resistive memory | -- | 1 |
11055023 | Electronic device, related controller circuit and method | RAYMX MICROELECTRONICS CORP. | 1 |
11055059 | Identification of audio content | SONOS, INC. | 1 |
11055080 | Serial bootloading of power supplies | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP | 1 |
11055171 | Data storage device employing multi-level parity sectors for data recovery procedure | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11055173 | Redundant storage of error correction code (ECC) checkbits for validating proper operation of a static random access memory (SRAM) | STMICROELECTRONICS (GRENOBLE 2) SAS | 1 |
11055176 | Storage devices hiding parity swapping behavior | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11055214 | Memory controller and method having predictive buffering of address mapping table | RAYMX MICROELECTRONICS, CORP. | 1 |
11055215 | Memory system and control method for garbage collection in a memory system | TOSHIBA MEMORY CORPORATION | 1 |
11055227 | Controller and operating method thereof | SK HYNIX INC. | 1 |
11055229 | Memory controller including address translation module, memory system including the memory controller, and operating method of the memory controller | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11055235 | Storage cell using charge-trapping devices | -- | 1 |
11055537 | Systems and methods for determining actions depicted in media contents based on attention weights of media content frames | DISNEY ENTERPRISES, INC. | 1 |
11055606 | Vertically integrated neuro-processor | HANGZHOU HAICUN INFORMATION TECHNOLOGY CO., LTD. | 1 |
11055858 | Method and apparatus for measuring meandering amount of strip, and method and apparatus for detecting abnormal meandering of strip | JFE STEEL CORPORATION | 1 |
11056132 | Multichannel tape head module having thermally settable transducer pitch and method of setting pitch thereof | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11056133 | Writer with HMTS (high moment trailing shield) aligned with spin layer | HEADWAY TECHNOLOGIES, INC. | 1 |
11056134 | Capacitively operated microwave assisted magnetic recording oscillator | SEAGATE TECHNOLOGY LLC | 1 |
11056135 | Heat-assisted recording head with one or more surface-plasmonic plates operable as a near-field transducer | SEAGATE TECHNOLOGY LLC | 1 |
11056136 | Third alternative design for magnetic recording assisted by one or two spin hall effect (SHE) layers in the write gap | HEADWAY TECHNOLOGIES, INC. | 1 |
11056137 | Load beam side rail shock contact feature | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11056138 | Disk drive suspension tri-stage actuator with single side actuator attach | MAGNECOMP CORPORATION | 1 |
11056139 | Semi-flexible structure for micro-positioning a write/read head | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11056140 | High resolution tape directory recovery by writing a null data set | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11056141 | Magnetic tape, magnetic tape cartridge, and magnetic tape apparatus | FUJIFILM CORPORATION | 1 |
11056142 | Magnetic recording medium | FUJIFILM CORPORATION | 1 |
11056143 | Magnetic recording medium | SONY CORPORATION | 1 |
11056144 | System and method for write protecting portions of magnetic tape storage media | ORACLE INTERNATIONAL CORPORATION | 1 |
11056145 | Global secondary path locking technique enabling high read concurrency for read-mostly workloads | ORACLE INTERNATIONAL CORPORATION | 1 |
11056146 | Replay a service graph at a point in time to troubleshoot | CITRIX SYSTEMS, INC. | 1 |
11056147 | Automated conversion of text based privacy policy to video | TATA CONSULTANCY SERVICES LIMITED | 1 |
11056148 | Elastic cloud video editing and multimedia search | INTEL CORPORATION | 1 |
11056149 | Medical image storage and reproduction apparatus, method, and program | FUJIFILM CORPORATION | 1 |
11056150 | Multi-time search analytics | -- | 1 |
11056151 | Application tune manifests and tune state recovery | ROVI TECHNOLOGIES CORPORATION | 1 |
11056152 | Semiconductor memory device | KIOXIA CORPORATION | 1 |
11056153 | Memory module including battery | SK HYNIX INC. | 1 |
11056154 | Semiconductor memory device | -- | 1 |
11056155 | Nonvolatile memory devices, systems and methods with switching charge pump architectures | ADESTO TECHNOLOGIES CORPORATION | 1 |
11056156 | Optimized scan interval | MICRON TECHNOLOGY, INC. | 1 |
11056157 | Wear leveling | MICRON TECHNOLOGY, INC. | 1 |
11056158 | Memory device and divided clock correction method thereof | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11056159 | Data acquisition method and data acquisition apparatus | OMRON CORPORATION | 1 |
11056160 | Non-volatile memory with selectable hard write | NXP USA, INC. | 1 |
11056161 | Data processing system and method for generating a digital code with a physically unclonable function | NXP USA, INC. | 1 |
11056162 | Memory device and method of operating the same | SK HYNIX INC. | 1 |
11056163 | Amplifier circuit devices and methods | ARM LIMITED | 1 |
11056164 | Circuits to control output signal variability | ARM LIMITED | 1 |
11056165 | Cell-specific reference generation and sensing | MICRON TECHNOLOGY, INC. | 1 |
11056166 | Performing a refresh operation based on a characteristic of a memory sub-system | MICRON TECHNOLOGY, INC. | 1 |
11056167 | Apparatuses for refreshing memory of a semiconductor device | MICRON TECHNOLOGY, INC. | 1 |
11056168 | Temperature compensated memory refresh | PANASONIC AUTOMOTIVE SYSTEMS COMPANY OF AMERICA, DIVISION OF PANASONIC CORPORATION OF NORTH AMERICA | 1 |
11056169 | Current comparator for submicron processes | MENTIUM TECHNOLOGIES INC. | 1 |
11056170 | Semiconductor device providing an output in response to a read command or a mode-register read command | MICRON TECHNOLOGY, INC. | 1 |
11056171 | Apparatuses and methods for wide clock frequency range command paths | MICRON TECHNOLOGY, INC. | 1 |
11056172 | Flash memory and operation method thereof for controlling raising speed of the read pass voltage | -- | 1 |
11056173 | Semiconductor memory device and memory module including the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11056174 | Dynamic random access memory with shaped word-line waveform | -- | 1 |
11056175 | Semiconductor device and manufacturing method thereof | -- | 1 |
11056176 | Memory device and operating method thereof | SK HYNIX INC. | 1 |
11056177 | Controller, memory system including the same, and method of operating the memory system | SK HYNIX INC. | 1 |
11056178 | Read operations based on a dynamic reference | MICRON TECHNOLOGY, INC. | 1 |
11056179 | Techniques to couple high bandwidth memory device on silicon substrate and package substrate | INTEL CORPORATION | 1 |
11056180 | Non-volatile memory data bus | STMICROELECTRONICS (ROUSSET) SAS | 1 |
11056181 | Strap cell design for static random access memory (SRAM) array | -- | 1 |
11056182 | Word line pulse width control circuit in static random access memory | -- | 1 |
11056183 | Multi-port memory circuitry | ARM LIMITED | 1 |
11056184 | Static memory based on components with current-voltage hysteresis characteristics | TSINGHUA UNIVERSITY | 1 |
11056185 | Apparatus for deep learning operations on resistive crossbar array | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11056186 | Apparatuses and methods for sensing a phase change test cell and determining changes to the test cell resistance due to thermal exposure | MICRON TECHNOLOGY, INC. | 1 |
11056187 | Memory device with read-write-read memory controller | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11056188 | Three dimensional nonvolatile memory device including channel structure and resistance change memory layer | SK HYNIX INC. | 1 |
11056189 | Resistance variable memory sensing using programming signals | MICRON TECHNOLOGY, INC. | 1 |
11056190 | Methods and apparatus for NAND flash memory | NEO SEMICONDUCTOR, INC. | 1 |
11056191 | Nonvolatile memory device having different DQ lines receiving DQ line codes and method of operating nonvolatile memory device using different threshold voltages or error margins | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11056192 | Monotonic counters in memories | MICRON TECHNOLOGY, INC. | 1 |
11056193 | Non-volatile memory devices having enhanced erase control circuits therein | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11056194 | Method of erasing data in nonvolatile memory device, nonvolatile memory device performing the same and memory system including the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11056195 | Nonvolatile memory device and related driving method | -- | 1 |
11056196 | Methods of enhancing speed of reading data from memory device | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
11056197 | Charge pump and memory device including the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11056198 | Read disturb scan consolidation | MICRON TECHNOLOGY, INC. | 1 |
11056199 | Updating corrective read voltage offsets in non-volatile random access memory | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11056200 | Nonvolatile memory device and method of controlling initialization of the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11056201 | Apparatus for determining data states of memory cells | MICRON TECHNOLOGY, INC. | 1 |
11056202 | Semiconductor memory device capable of shortening erase time | TOSHIBA MEMORY CORPORATION | 1 |
11056203 | Boosted bitlines for storage cell programmed state verification in a memory array | INTEL CORPORATION | 1 |
11056204 | Memory device and method of operating the same | SK HYNIX INC. | 1 |
11056205 | Memory device and write method thereof | -- | 1 |
11056206 | Non-volatile memory with dynamic wear leveling group configuration | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11056207 | Efuse circuit and operation method thereof | -- | 1 |
11056208 | Data dependent sense amplifier with symmetric margining | GLOBALFOUNDRIES U.S. INC. | 1 |
11056209 | Track-and-hold circuit | NIPPON TELEGRAPH AND TELEPHONE CORPORATION | 1 |
11056210 | Electrical circuit comprising a trim circuit | DIALOG SEMICONDUCTOR (UK) LIMITED | 1 |
11056211 | Apparatus and method for handling temperature dependent failures in a memory device | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11056226 | Video signal generating apparatus, video signal receiving apparatus, and video signal generating and receiving system | SONY CORPORATION | 1 |
11056407 | Semiconductor chips including through electrodes and methods of testing the through electrodes | SK HYNIX INC. | 1 |
11056416 | Semiconductor device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11056463 | Arrangement of penetrating electrode interconnections | SONY CORPORATION | 1 |
11056492 | Dense memory arrays utilizing access transistors with back-side contacts | INTEL CORPORATION | 1 |
11056496 | Semiconductor memory device and method for programming shared page data in memory cells of two different word lines | SK HYNIX INC. | 1 |
11056497 | Memory arrays and methods used in forming a memory array | MICRON TECHNOLOGY, INC. | 1 |
11056508 | Ferroelectric memory device | SK HYNIX INC. | 1 |
11056515 | Logic circuit and semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
11056535 | Non-volatile memory element arrays in a wheatstone bridge arrangement | GLOBALFOUNDRIES U.S. INC. | 1 |
11056565 | Flash memory device and method | LONGITUDE FLASH MEMORY SOLUTIONS LTD. | 1 |
11056640 | Magnetoresistive memory device including a high dielectric constant capping layer and methods of making the same | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11056641 | Spin-orbit-torque magnetization rotational element, spin-orbit-torque magnetoresistance effect element, and magnetic memory | TDK CORPORATION | 1 |
11056642 | Magnetoresistance effect element | TDK CORPORATION | 1 |
11056644 | Phase-change memory cell with vanadium oxide based switching layer | HUAZHONG UNIVERSITY OF SCIENCE AND TECHNOLOGY | 1 |
11056646 | Memory device having programmable impedance elements with a common conductor formed below bit lines | ADESTO TECHNOLOGIES CORPORATION | 1 |
11056647 | Ion-based nanoelectric memory | THE AEROSPACE CORPORATION | 1 |
11056648 | Semiconductor device including variable resistance element | SK HYNIX INC. | 1 |
11056649 | Transition metal doped germanium-antimony tellurium (GST) memory device components and composition | MICRON TECHNOLOGY, INC. | 1 |
11056981 | Method and apparatus for signal extraction with sample and hold and release | INTELESOL, LLC | 1 |
11057021 | Fixed latency configurable tap digital filter | SCHWEITZER ENGINEERING LABORATORIES, INC. | 1 |
11057025 | Level shifter | -- | 1 |
11057038 | Semiconductor device including buffer circuit | MICRON TECHNOLOGY, INC. | 1 |
11057143 | Wavelength division multiplexing (WDM)-based and multipath interferometry based optical ternary content addressable memory (TCAM) | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP | 1 |
11057223 | Anti-counterfeit communication system | -- | 1 |
11057224 | Method and system for performing physical unclonable function generated by non-volatile memory write delay difference | -- | 1 |
11057247 | Transmitter with self-triggered transition equalizer | RAMBUS INC. | 1 |
11057468 | Vast data storage system | PURE STORAGE, INC. | 1 |
11057584 | Article of clothing with video recording device support | UTILITY ASSOCIATES, INC. | 1 |
11057674 | Methods and apparatus for metering portable media players | THE NIELSEN COMPANY (US), LLC | 1 |
11058221 | Systems and methods for damping a storage system | CISCO TECHNOLOGY, INC. | 1 |
11061429 | Fine-grained speed binning in an accelerated processing device | ADVANCED MICRO DEVICES, INC. | 1 |
11061459 | Hybrid computing module | -- | 1 |
11061568 | Variable operation tape performance | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11061577 | System on chip performing training of duty cycle of write clock using mode register write command, operating method of system on chip, electronic device including system on chip | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11061582 | Codeword interleaving for magnetic storage media | MARVELL ASIA PTE, LTD. | 1 |
11061590 | Efficiently training memory device chip select control | INTEL CORPORATION | 1 |
11061606 | NAND temperature-aware operations | MICRON TECHNOLOGY, INC. | 1 |
11061608 | Memory controller and memory system having the same | SK HYNIX INC. | 1 |
11061612 | Internal communication interface management | MICRON TECHNOLOGY, INC. | 1 |
11061614 | Electronic apparatus having data retention protection and operating method thereof | SK HYNIX INC. | 1 |
11061615 | Memory system, memory controller and operating method thereof | SK HYNIX INC. | 1 |
11061617 | High density fractional bit solid state drives using coded set partitions | MARVELL ASIA PTE, LTD. | 1 |
11061619 | Power management for data storage devices implementing non-volatile memory (NVM) sets | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11061646 | Compute in memory circuits with multi-Vdd arrays and/or analog multipliers | INTEL CORPORATION | 1 |
11061750 | Corrupted track analyzer | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11061752 | Dynamic programming of page margins | MICRON TECHNOLOGY, INC. | 1 |
11061757 | Storage device and method of operating the same | SK HYNIX INC. | 1 |
11061762 | Memory programming techniques | INTEL CORPORATION | 1 |
11061764 | Data storage device and data retrieval method | -- | 1 |
11061765 | Systems and methods for adaptive error-correction coding | UNIFICATION TECHNOLOGIES, LLC | 1 |
11061766 | Fault-tolerant dot product engine | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP | 1 |
11061767 | Post-ECC CRC for DDR CRC retry performance improvement | SYNOPSYS, INC. | 1 |
11061768 | Storage device with increased endurance | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11061769 | Storage device selectively generating parity bits according to endurance of memory cell, and method thereof | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11061771 | Extended error detection for a memory device | MICRON TECHNOLOGY, INC. | 1 |
11061836 | Wave pipeline including synchronous stage | MICRON TECHNOLOGY, INC. | 1 |
11061852 | Reconfigurable circuit architecture | ARM LIMITED | 1 |
11061997 | Dynamic functional obfuscation | REGENTS OF THE UNIVERSITY OF MINNESOTA | 1 |
11062001 | Matrix transformation-based authentication | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11062143 | Systems and methods for generating a video summary | GOPRO, INC. | 1 |
11062654 | Shift register unit, gate driving circuit, display device and driving method | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. | 1 |
11062657 | Display device having a gate driver in a non-display area having a curved portion | SAMSUNG DISPLAY CO., LTD. | 1 |
11062666 | Semiconductor device and electronic device including the semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
11062728 | Magnetic head having specific distance between magnetic pole, stacked body, and first shield, and magnetic recording device including same | KABUSHIKI KAISHA TOSHIBA | 1 |
11062729 | Identifying damaged tunneling magnetoresistance sensors using electrical resistance | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11062730 | Method for evaluating magnetic head and evaluation apparatus of magnetic head | KABUSHIKI KAISHA TOSHIBA | 1 |
11062731 | Solder bump height stabilization for micro and fine pitch electrode pads | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11062733 | Disk drive with efficient handling of off-track events during sequential write | KABUSHIKI KAISHA TOSHIBA | 1 |
11062734 | Multi-spindle and multi-actuator data storage devices | SEAGATE TECHNOLOGY LLC | 1 |
11062735 | Radiation image display apparatus and radiation image photographing system | KONICA MINOLTA, INC. | 1 |
11062736 | Automated audio-video content generation | SOCLIP! | 1 |
11062737 | Process for defining, capturing, assembling, and displaying customized video content | ZAZZLE INC. | 1 |
11062738 | Signalling of video content including sub-picture bitstreams for video coding | QUALCOMM INCORPORATED | 1 |
11062739 | Semiconductor chip having memory and logic cells | -- | 1 |
11062740 | Memory with non-volatile configurations for efficient power management and operation of the same | MICRON TECHNOLOGY, INC. | 1 |
11062741 | Semiconductor devices | SK HYNIX INC. | 1 |
11062742 | Memory system capable of improving stability of a data read operation of interface circuit, and method of operating the memory system | SK HYNIX INC. | 1 |
11062743 | System and method for providing a configurable timing control for a memory system | RAMBUS, INC. | 1 |
11062744 | Memory device performing ZQ calibration, memory system, and operation method of memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11062745 | FDSOI sense amplifier configuration in a memory device | -- | 1 |
11062746 | Computer program product and method and apparatus for activating flash devices | -- | 1 |
11062747 | Apparatus for adjusting delay of command signal path | MICRON TECHNOLOGY, INC. | 1 |
11062748 | Strobe acquisition and tracking | RAMBUS INC. | 1 |
11062749 | Data output method and semiconductor device using the data output method | SK HYNIX INC. | 1 |
11062750 | Semiconductor devices and semiconductor systems | SK HYNIX INC. | 1 |
11062751 | Memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11062752 | Spin orbit torque memory devices and methods of fabrication | INTEL CORPORATION | 1 |
11062753 | Array data bit inversion | MICRON TECHNOLOGY, INC. | 1 |
11062754 | Apparatus and methods for refreshing memory | MICRON TECHNOLOGY, INC. | 1 |
11062755 | Memory with partial bank refresh | MICRON TECHNOLOGY, INC. | 1 |
11062756 | Extending operating temperature of storage device | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11062757 | Data receiving device, a semiconductor apparatus, and a semiconductor system using the data receiving device | SK HYNIX INC. | 1 |
11062758 | Memory system to process multiple word line failures with limited storage and method of operating such memory system | SK HYNIX INC. | 1 |
11062759 | Memory device and programming method thereof | -- | 1 |
11062760 | Memory device including data input/output circuit | SK HYNIX INC. | 1 |
11062761 | Digital address compensation for memory devices | INFINEON TECHNOLOGIES AG | 1 |
11062762 | Storage device applying a cancel circuit | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
11062763 | Memory array with multiplexed digit lines | MICRON TECHNOLOGY, INC. | 1 |
11062764 | Semiconductor devices | SK HYNIX INC. | 1 |
11062765 | Semiconductor integrated circuit device | SOCIONEXT INC. | 1 |
11062766 | Enhanced read sensing margin and minimized VDD for SRAM cell arrays | SYNOPSYS, INC. | 1 |
11062767 | Techniques for programming neural memory unit using cell conditioning | MICRON TECHNOLOGY, INC. | 1 |
11062768 | Semiconductor memory apparatus, operation method of the semiconductor memory apparatus and system including the semiconductor memory apparatus | SK HYNIX INC. | 1 |
11062770 | Memory device | KIOXIA CORPORATION | 1 |
11062771 | Variable resistance memory with lattice array using enclosing transistors | OVONYX MEMORY TECHNOLOGY, LLC | 1 |
11062772 | Variable resistance non-volatile memory device | PANASONIC CORPORATION | 1 |
11062773 | Near-memory computation system for analog computing | -- | 1 |
11062774 | Intelligent flash reprogramming | MICROSOFT TECHNOLOGY LICENSING, LLC | 1 |
11062775 | Nonvolatile memory device and storage device including nonvolatile memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11062776 | Nonvolatile memory device and memory system including thereof | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11062777 | Nonvolatile semiconductor memory device which performs improved erase operation | TOSHIBA MEMORY CORPORATION | 1 |
11062778 | Nonvolatile semiconductor memory device | TOSHIBA MEMORY CORPORATION | 1 |
11062779 | Data processing system and data processing method | -- | 1 |
11062780 | System and method of reading two pages in a nonvolatile memory | SANDISKTECHNOLOGIES LLC | 1 |
11062781 | Equalizer circuit, memory storage device and signal adjustment method | -- | 1 |
11062782 | Three-dimensional memory device programming with reduced disturbance | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
11062783 | Memory device | SK HYNIX INC. | 1 |
11062784 | Non-volatile memory devices, operating methods thereof and memory systems including the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11062785 | Apparatus for determining an expected data age of memory cells | MICRON TECHNOLOGY, INC. | 1 |
11062786 | One-time programmable memories with low power read operation and novel sensing scheme | -- | 1 |
11062787 | Gate driving unit and gate driving method | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. | 1 |
11062788 | STT-MRAM failed address bypass circuit and STT-MRAM device including same | INDUSTRY-UNIVERSITY COOPERATION FOUNDATION HANYANG UNIVERSITY | 1 |
11062963 | Structure and process of integrated circuit having latch-up suppression | -- | 1 |
11063031 | Semiconductor memory system | TOSHIBA MEMORY CORPORATION | 1 |
11063034 | Capacitor structures | MICRON TECHNOLOGY, INC. | 1 |
11063037 | Devices, memory devices, and electronic systems | MICRON TECHNOLOGY, INC. | 1 |
11063047 | Semiconductor device and method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
11063048 | Dual-port semiconductor memory and first in first out (FIFO) memory having electrically floating body transistor | ZENO SEMICONDUCTOR, INC. | 1 |
11063053 | Integrated circuit and static random access memory thereof | -- | 1 |
11063056 | Non-volatile memory device and manufacturing method thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
11063088 | Magnetic memory devices and methods of fabrication | INTEL CORPORATION | 1 |
11063132 | Semiconductor device layout structure and manufacturing method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION | 1 |
11063206 | Semiconductor device and method for fabricating the same | -- | 1 |
11063208 | Embedded MRAM fabrication process for ion beam etching with protection by top electrode spacer | -- | 1 |
11063210 | Spin-orbit-torque magnetization rotational element, spin-orbit-torque magnetoresistance effect element, and magnetic memory | TDK CORPORATION | 1 |
11063211 | Method for manufacturing an integrated magnetoresistive device | STMICROELECTRONICS S.R.L. | 1 |
11063212 | Magnetic tunnel junction device and formation method thereof | -- | 1 |
11063214 | Two-terminal reversibly switchable memory device | HEFEI RELIANCE MEMORY LIMITED | 1 |
11063215 | Spacial arrangments of and critical dimensions for bit line contacts of three-dimensional phase-change memory devices | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
11063227 | Electronic switching element | MERCK PATENT GMBH | 1 |
11063541 | System and method using bins to identify gain value(s) of an engage/arrest controller in an aircraft arrestment system | ELECTRO STANDARDS LABORATORIES | 1 |
11063772 | Multi-cell per bit nonvolatile memory unit | -- | 1 |
11063794 | Continuous-time sampler circuits | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY | 1 |
11064115 | Image sensor, image pickup apparatus, image sensor-identifying method, image forgery-preventing method, and image alternation-limiting method | SONY CORPORATION | 1 |
11064136 | System and method for creating and manipulating synthetic environments | AT&T INTELLECTUAL PROPERTY I, L.P. | 1 |
11064175 | Event-triggered video creation with data augmentation | AT&T INTELLECTUAL PROPERTY I, L.P. | 1 |
11064202 | Encoding 3D rendered images by tagging objects | INTEL CORPORATION | 1 |
11065079 | Image-based system for estimating surgical contact force | THEATOR INC. | 1 |
11065713 | Disk drive apparatus including pre-treated, welded housing that provides sealed cavity for holding hard disk drive components | SEAGATE TECHNOLOGY LLC | 1 |
11066661 | Methods of gene assembly and their use in DNA data storage | SEAGATE TECHNOLOGY LLC | 1 |
11067591 | System and method for determining angular displacement, velocity and acceleration of a rotating member mounted to a platform | AKTIEBOLAGET SKF | 1 |
11067621 | Apparatus for burning in electronic components | 3D PLUS | 1 |
11067628 | Replication of a first interface onto a second interface and related systems, methods, and devices | MICRON TECHNOLOGY, INC. | 1 |
11067672 | Shared sample and convert capacitor architecture | WAYMO LLC | 1 |
11068017 | Memory system using asymmetric source-synchronous clocking | RAMBUS INC. | 1 |
11068137 | Systems and methods for augmenting content | FACEBOOK, INC. | 1 |
11068139 | Communications devices and methods for single-mode and automatic media capture | ONESNAPS TECHNOLOGY PVT LTD | 1 |
11068161 | Memory module with emulated memory device population | RAMBUS INC. | 1 |
11068166 | Hybrid memory device using different types of capacitors and operating method thereof | MICRON TECHNOLOGY, INC. | 1 |
11068170 | Multi-tier scheme for logical storage management | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11068174 | Semiconductor device, electronic component, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
11068183 | Memory access techniques in memory devices with multiple partitions | MICRON TECHNOLOGY, INC. | 1 |
11068186 | Providing recovered data to a new memory cell at a memory sub-system based on an unsuccessful error correction operation | MICRON TECHNOLOGY, INC. | 1 |
11068340 | Semiconductor memory devices and memory systems | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11068341 | Error tolerant memory array and method for performing error correction in a memory array | MICROCHIP TECHNOLOGY INC. | 1 |
11068343 | Data storage error protection | MICRON TECHNOLOGY, INC. | 1 |
11068358 | Method for backing up and restoring disc management information | LITE-ON ELECTRONICS (GUANGZHOU) LIMITED | 1 |
11068359 | Stream level uninterrupted restore operation using data probe | EMC IP HOLDING COMPANY LLC | 1 |
11068388 | Verify before program resume for memory devices | RAMBUS INC. | 1 |
11068391 | Mapping table updating method for data storage device | -- | 1 |
11068408 | Memory system and operating method thereof | SK HYNIX INC. | 1 |
11068639 | Metal layout techniques | ARM LIMITED | 1 |
11068771 | Integrated neuro-processor comprising three-dimensional memory array | HANGZHOU HAICUN INFORMATION TECHNOLOGY CO., LTD. | 1 |
11068774 | Spiking neural network system for dynamic control of flexible, stable and hybrid memory storage | KOREA ADVANCED INSTITUTE OF SCIENCE AND TECHNOLOGY | 1 |
11069109 | Seamless representation of video and geometry | DREAMWORKS ANIMATION L.L.C. | 1 |
11069271 | Shift register unit and driving method thereof, gate driving circuit and display device | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. | 1 |
11069272 | Shift register, gate drive circuit, display panel, and driving method | HEFEI BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. | 1 |
11069274 | Shift register unit, gate driving circuit, driving method and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. | 1 |
11069367 | Speaker association with a visual representation of spoken content | SHOPIFY INC. | 1 |
11069369 | Method and electronic device | SONY EUROPE B.V. | 1 |
11069374 | Method of restoring suspension of hard disk drive | NHK SPRING CO., LTD. | 1 |
11069375 | Suspension standoff arrangement for confining adhesive | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11069376 | Waveguide with optical isolator for heat-assisted magnetic recording | SEAGATE TECHNOLOGY LLC | 1 |
11069378 | Method and apparatus for frame accurate high resolution video editing in cloud using live video streams | GOOGLE LLC | 1 |
11069379 | Intelligent print recognition system and method | BRANDACTIF LTD. | 1 |
11069380 | Scene and activity identification in video summary generation | GOPRO, INC. | 1 |
11069381 | Automated sensor data retention | AMAZON TECHNOLOGIES, INC. | 1 |
11069382 | Tool-free hard disk bracket | -- | 1 |
11069383 | Thermal interface materials for immersion cooled data storage devices | SEAGATE TECHNOLOGY LLC | 1 |
11069384 | Apparatuses and methods for compensation of sense amplifiers | MICRON TECHNOLOGY, INC. | 1 |
11069385 | Integrated assemblies comprising folded-digit-line-configurations | MICRON TECHNOLOGY, INC. | 1 |
11069386 | Circuits and techniques to compensate memory access signals for variations of parameters in multiple layers of memory | UNITY SEMICONDUCTOR CORPORATION | 1 |
11069387 | Memory system and method of operating the memory system | SK HYNIX INC. | 1 |
11069388 | Storage device and operating method of storage device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11069389 | Magnetic memory and magnetic memory recording method | SONY SEMICONDUCTOR SOLUTIONS CORPORATION | 1 |
11069390 | Spin-orbit torque magnetoresistive random access memory with magnetic field-free current-induced perpendicular magnetization reversal | WISCONSIN ALUMNI RESEARCH FOUNDATION | 1 |
11069391 | Dual-precision analog memory cell and array | HEFEI RELIANCE MEMORY LIMITED | 1 |
11069392 | Memory component with efficient write operations | RAMBUS, INC. | 1 |
11069393 | Apparatuses and methods for controlling steal rates | MICRON TECHNOLOGY, INC. | 1 |
11069394 | Refresh operation in multi-die memory | MICRON TECHNOLOGY, INC. | 1 |
11069395 | Analog-to-digital converter for non-volatile memory arrays used for in-memory computation with floating bitlines | MENTIUM TECHNOLOGIES INC. | 1 |
11069396 | Memory device and method of operating the memory device for initializing sensing latch during evaluation operation | SK HYNIX INC. | 1 |
11069397 | Phase clock correction | MICRON TECHNOLOGY, INC. | 1 |
11069398 | Controller and operating method thereof | SK HYNIX INC. | 1 |
11069399 | 3-dimensional memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11069400 | High bandwidth memory and system having the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11069401 | Memory with symmetric read current profile and read method thereof | -- | 1 |
11069402 | Integrated pixel and three-terminal non-volatile memory cell and an array of cells for deep in-sensor, in-memory computing | GLOBALFOUNDRIES U.S. INC. | 1 |
11069403 | Semiconductor storage device and memory system | TOSHIBA MEMORY CORPORATION | 1 |
11069404 | Nonvolatile memory device including banks operating in different operation modes, operation method of memory controller, and storage device comprising nonvolatile memory device and memory controller | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11069405 | Semiconductor memory device | KIOXIA CORPORATION | 1 |
11069406 | Nonvolatile memory device including temperature compensation circuit | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11069407 | Semiconductor memory device | KIOXIA CORPORATION | 1 |
11069408 | Apparatus for discharging control gates after performing an access operation on a memory cell | MICRON TECHNOLOGY, INC. | 1 |
11069409 | Method, associated memory device and controller thereof for performing programming management | -- | 1 |
11069410 | Three-dimensional NOR-NAND combination memory device and method of making the same | SANDISK TECHNOLOGIES LLC | 1 |
11069411 | Programming circuit and method for flash memory array | SILICON STORAGE TECHNOLOGY, INC. | 1 |
11069412 | Managing pre-programming of a memory device for a reflow process | MICRON TECHNOLOGY, INC. | 1 |
11069413 | Memory system and nonvolatile memory | KIOXIA CORPORATION | 1 |
11069414 | Non-volatile semiconductor storage device | TOSHIBA MEMORY CORPORATION | 1 |
11069415 | Memory device including charge pump circuit | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11069416 | First-pass dynamic program targeting (DPT) | MICRON TECHNOLOGY, INC. | 1 |
11069417 | Memory system and method of operating the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11069418 | Method and system for offline program/erase count estimation | EMC IP HOLDING COMPANY LLC | 1 |
11069419 | Test line letter for embedded non-volatile memory technology | -- | 1 |
11069420 | In-system test of a memory device | MICRON TECHNOLOGY, INC. | 1 |
11069421 | Circuitry for checking operation of error correction code (ECC) circuitry | NXP USA, INC. | 1 |
11069422 | Testing multi-port array in integrated circuits | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11069423 | Buffer circuit with adaptive repair capability | RAMBUS INC. | 1 |
11069424 | Sensor for performance variation of memory read and write characteristics | ARM LIMITED | 1 |
11069425 | Multi-level memory repurposing technology to process a request to modify a configuration of a persistent storage media | INTEL CORPORATION | 1 |
11069426 | Memory device with a row repair mechanism and methods for operating the same | MICRON TECHNOLOGY, INC. | 1 |
11069655 | Semiconductor device including two or more chips mounted over wiring substrate | MICRON TECHNOLOGY, INC. | 1 |
11069695 | Floating gate test structure for embedded memory device | -- | 1 |
11069696 | Device structure for a 3-dimensional NOR memory array and methods for improved erase operations applied thereto | SUNRISE MEMORY CORPORATION | 1 |
11069697 | 3D memory semiconductor devices and structures | MONOLITHIC 3D INC. | 1 |
11069699 | NAND memory cell string having a stacked select gate structure and process for forming same | CYPRESS SEMICONDUCTOR CORPORATION | 1 |
11069704 | 3D NOR memory having vertical gate structures | -- | 1 |
11069709 | Vertical memory devices | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11069741 | Electric field controllable spin filter tunnel junction magnetoresistive memory devices and methods of making the same | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11069742 | Crossbar array circuit with parallel grounding lines | TETRAMEM INC. | 1 |
11069743 | Non-volatile memory elements with a multi-level cell configuration | GLOBALFOUNDRIES SINGAPORE PTE. LTD. | 1 |
11069745 | Memory device | TOSHIBA MEMORY CORPORATION | 1 |
11069852 | Magnetoresistance effect element | TDK CORPORATION | 1 |
11070128 | Charge pump regulation circuit to increase program and erase efficiency in nonvolatile memory | STMICROELECTRONICS INTERNATIONAL N.V. | 1 |
11070200 | Duty cycle correction system and low dropout (LDO) regulator based delay-locked loop (DLL) | INTEL CORPORATION | 1 |
11070208 | Level shifter | -- | 1 |
11070238 | Decoding device and decoding method | SONY SEMICONDUCTOR SOLUTIONS CORPORATION | 1 |
11070353 | Combined decision feedback equalizer and phase detector for clock data recovery | DIODES INCORPORATED | 1 |
11070384 | Semiconductor device and security system | -- | 1 |
11070706 | Notifications for deviations in depiction of different objects in filmed shots of video content | SONY CORPORATION | 1 |
11070780 | Commercials on mobile devices | PENTHERA PARTNERS, INC. | 1 |
11070868 | System and method for capturing audio or video data | UNITED SERVICES AUTOMOBILE ASSOCIATION (USAA) | 1 |
11072003 | MICR-embedded cleaning card for check-reading machines | WELLS FARGO BANK, N.A. | 1 |
11072851 | Sputtering target | TANAKA KIKINZOKU KOGYO K.K. | 1 |
11073428 | Conductive line-based temperature-sensing device | -- | 1 |
11073553 | Dynamic generation of ATPG mode signals for testing multipath memory circuit | TEXAS INSTRUMENTS INCORPORATED | 1 |
11073648 | Light guide element and electronic device having the same | -- | 1 |
11073998 | Method, apparatus for processing disk bad sector,and computer storage medium | WANGSU SCIENCE & TECHNOLOGY CO., LTD. | 1 |
11074007 | Optimize information requests to a memory system | MICRON TECHNOLOGY, INC. | 1 |
11074009 | Managed NAND cold data storage | MICRON TECHNOLOGY, INC. | 1 |
11074016 | Using flash storage devices with different sized erase blocks | PURE STORAGE, INC. | 1 |
11074121 | Predicting failure of a magnetic tape head element | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11074125 | Data storage system and method for decoding data based on extrapolated flipped-bit data | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11074126 | Methods for error count reporting with scaled error count information, and memory devices employing the same | MICRON TECHNOLOGY, INC. | 1 |
11074127 | Semiconductor memory devices and methods of operating semiconductor memory devices | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11074131 | Storage backed memory package save trigger | MICRON TECHNOLOGY, INC. | 1 |
11074169 | Programmed memory controlled data movement and timing within a main memory device | MICRON TECHNOLOGY, INC. | 1 |
11074172 | On-device-copy for hybrid SSD with second persistent storage media update of logical block address for first persistent storage media data | INTEL CORPORATION | 1 |
11074174 | Method for managing flash memory module and associated flash memory controller and electronic device based on timing of dummy read operations | -- | 1 |
11074201 | Apparatus with a security mechanism and methods for operating the same | MICRON TECHNOLOGY, INC. | 1 |
11074291 | Apparatus and method for providing image | HANWHA TECHWIN CO., LTD. | 1 |
11074318 | Hardware accelerated discretized neural network | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11074455 | Machine learning in video classification | MATROID, INC. | 1 |
11074458 | System and method for searching video | VERINT AMERICAS INC. | 1 |
11074520 | Extended coherence and single-shot readout of a silicon-vacancy spin in diamond | PRESIDENT AND FELLOWS OF HARVARD COLLEGE | 1 |
11074842 | Gate driving circuit and display device including the same | LG DISPLAY CO., LTD. | 1 |
11074844 | Shift register and method for driving the same, gate driving circuit and display apparatus | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. | 1 |
11074884 | Control circuit and display panel applied by control circuit | -- | 1 |
11074899 | VOC sequestering acoustic foam | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11074929 | Energy-assisted magnetic recording head with protective cap | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11074930 | Read transducer structure having an embedded wear layer between thin and thick shield portions | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11074931 | Suspension assembly and disk device | KABUSHIKI KAISHA TOSHIBA | 1 |
11074932 | Suspension assembly with limiter and disk drive | KABUSHIKI KAISHA TOSHIBA | 1 |
11074933 | Write once read many data storage devices | SEAGATE TECHNOLOGY LLC | 1 |
11074934 | Heat assisted magnetic recording (HAMR) media with Curie temperature reduction layer | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11074935 | Magnetic recording medium and magnetic recording and reproducing apparatus | FUJIFILM CORPORATION | 1 |
11074936 | Magnetic disk device | KABUSHIKI KAISHA TOSHIBA | 1 |
11074937 | Magnetic disk device and depop processing method | KABUSHIKI KAISHA TOSHIBA | 1 |
11074939 | Disambiguation of audio content using visual context | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11074940 | Interface apparatus and recording apparatus | PARONYM INC. | 1 |
11074941 | Hard disk mounting device | ZHENGZHOU YUNHAI INFORMATION TECHNOLOGY CO., LTD. | 1 |
11074942 | Storage system | HONGFUJIN PRECISION ELECTRONICS(TIANJIN)CO., LTD. | 1 |
11074943 | Methods and devices for alleviating thermal boil off in immersion-cooled electronic devices | SEAGATE TECHNOLOGY LLC | 1 |
11074944 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION | 1 |
11074945 | Tri-state buffering techniques | ARM LIMITED | 1 |
11074946 | Temperature dependent voltage differential sense-amplifier | NXP B.V. | 1 |
11074947 | Semiconductor memory apparatus and data processing system | FUJITSU LIMITED | 1 |
11074948 | Semiconductor device and memory system | TOSHIBA MEMORY CORPORATION | 1 |
11074949 | Parallel access for memory subarrays | MICRON TECHNOLOGY, INC. | 1 |
11074950 | Multistate magnetic memory element using metamagnetic materials | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY | 1 |
11074951 | Magnetic memory device | TOSHIBA MEMORY CORPORATION | 1 |
11074952 | System and method for compression Dual In-Line Memory Module reversibility | DELL PRODUCTS L.P. | 1 |
11074953 | Semiconductor device, electronic component, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
11074954 | Memory device | TOSHIBA MEMORY CORPORATION | 1 |
11074955 | Cell voltage accumulation discharge | MICRON TECHNOLOGY, INC. | 1 |
11074956 | Arbitrated sense amplifier | MICRON TECHNOLOGY, INC. | 1 |
11074957 | Semiconductor device | SK HYNIX INC. | 1 |
11074958 | Memory refresh technology and computer system | HUAWEI TECHNOLOGIES CO., LTD. | 1 |
11074959 | DDR memory bus with a reduced data strobe signal preamble timespan | INTEL CORPORATION | 1 |
11074960 | Interrupt-driven content protection of a memory device | MICRON TECHNOLOGY, INC. | 1 |
11074961 | Method of performing internal processing operation of memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11074962 | Semiconductor device, memory device, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
11074963 | Non-volatile memory and memory sector thereof | -- | 1 |
11074964 | Integrated assemblies comprising digit lines configured to have shunted ends during a precharge operation | MICRON TECHNOLOGY, INC. | 1 |
11074965 | Memory device | FUJIAN JINHUA INTEGRATED CIRCUIT CO., LTD. | 1 |
11074966 | Method and system to balance ground bounce | -- | 1 |
11074967 | Low-power and high-density core-power lowering for memory write assist | QUALCOMM INCORPORATED | 1 |
11074968 | Method and system to improve read reliability in memory devices | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11074969 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION | 1 |
11074970 | Mux decoder with polarity transition capability | MICRON TECHNOLOGY, INC. | 1 |
11074971 | Apparatuses and methods including memory and operation of same | MICRON TECHNOLOGY, INC. | 1 |
11074972 | Semiconductor circuit and semiconductor circuit system | SONY SEMICONDUCTOR SOLUTIONS CORPORATION | 1 |
11074973 | Responder signal circuitry for memory arrays finding at least one cell with a predefined value | GSI TECHNOLOGY INC. | 1 |
11074974 | Memory device and method of operating the same | SK HYNIX INC. | 1 |
11074975 | Non-volatile register and implementation of non-volatile register | -- | 1 |
11074976 | Temperature dependent impedance mitigation in non-volatile memory | SANDISK TECHNOLOGIES LLC | 1 |
11074977 | Three-dimensional non-volatile memory device and method of manufacturing the same | SK HYNIX INC. | 1 |
11074978 | Memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11074979 | Erase control circuit and method of non-volatile semiconductor memory device, and non-volatile semiconductor memory device | -- | 1 |
11074980 | Non-volatile memory device with stored index information | SILICON STORAGE TECHNOLOGY, INC. | 1 |
11074981 | Integrated circuit device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11074982 | Memory configured to perform logic operations on values representative of sensed characteristics of data lines and a threshold data value | MICRON TECHNOLOGY, INC. | 1 |
11074983 | Voltage-generating circuit and semiconductor device | -- | 1 |
11074984 | Liquid discharge head and method of manufacturing the same | CANON KABUSHIKI KAISHA | 1 |
11074985 | One-time programmable memory device and method for operating the same | HEFECHIP CORPORATION LIMITED | 1 |
11074986 | Shift register, gate driving circuit, and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. | 1 |
11074987 | Shift register, method for driving the same, gate drive circuitry and display apparatus | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. | 1 |
11074988 | Apparatus and methods for debugging on a host and memory device | MICRON TECHNOLOGY, INC. | 1 |
11074989 | Uncorrectable ECC | MICRON TECHNOLOGY, INC. | 1 |
11074990 | Nonvolatile memory device including a plurality of input/output units and an operating method thereof | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11074996 | Automated clinical documentation system and method | NUANCE COMMUNICATIONS, INC. | 1 |
11075163 | Vertical NAND string multiple data line memory | MICRON TECHNOLOGY, INC. | 1 |
11075174 | Semiconductor device | -- | 1 |
11075205 | Apparatuses including conductive structures and layouts thereof | MICRON TECHNOLOGY, INC. | 1 |
11075207 | SRAM using 2T-2S | INTEL CORPORATION | 1 |
11075210 | Method for fabricating a circular printed memory device with rotational detection | XEROX CORPORATION | 1 |
11075214 | NOR memory cell with vertical floating gate | GREENLIANT IP, LLC | 1 |
11075215 | Memory arrays and methods used in forming a memory array | MICRON TECHNOLOGY, INC. | 1 |
11075216 | Non-volatile memory | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11075247 | Circuit structure and method for resistive RAM with self aligned contacts in zero-via layer | GLOBALFOUNDRIES U.S. INC. | 1 |
11075336 | Magnetic random access memory and manufacturing method thereof | -- | 1 |
11075637 | Signal generation circuit, memory storage device and signal generation method | -- | 1 |
11075770 | Semiconductor device and security system | -- | 1 |
11075969 | Utilizing publishing and subscribing clients in network media channels | DRNC HOLDINGS, INC. | 1 |
11076120 | Method and system for synchronization of controller movements | SWAYBOX STUDIOS, INC. | 1 |
11076121 | Apparatus and associated methods for video presentation | NOKIA TECHNOLOGIES OY | 1 |
11076194 | Time-shifted playback | SONY CORPORATION | 1 |
11076198 | System and method to generate an interactive video on the fly | IDOMOO LTD. | 1 |
11076497 | Storage device carrier system | EMC IP HOLDING COMPANY LLC | 1 |
11077471 | MICR-embedded cleaning card for check-reading machines | WELLS FARGO BANK, N.A. | 1 |
11077519 | Clamping device that develops both axial and circumferential clamping forces in response to a common axial clamping force | SEAGATE TECHNOLOGY LLC | 1 |
11079434 | Test systems for executing self-testing in deployed automotive platforms | NVIDIA CORPORATION | 1 |
11079784 | Power management integrated circuit (PMIC), memory module and computing system including a PMIC, and method of operating a memory system | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11079912 | Method and apparatus for enhancing digital video effects (DVE) | GRASS VALLEY CANADA | 1 |
11079946 | Write training in memory devices | MICRON TECHNOLOGY, INC. | 1 |
11079949 | Quick activate for memory sensing | MICRON TECHNOLOGY, INC. | 1 |
11080001 | Concurrent transmission and playback of audio information | SONOS, INC. | 1 |
11080130 | Semiconductor devices | SK HYNIX INC. | 1 |
11080134 | Memory controller and memory system including the same | SK HYNIX INC. | 1 |
11080152 | Optimized neural network data organization | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11080155 | Identifying error types among flash memory | PURE STORAGE, INC. | 1 |
11080192 | Storage system and storage control method | HITACHI, LTD. | 1 |
11080218 | Interface chip used to select memory chip and storage device including interface chip and memory chip | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11080219 | Addressing scheme for a memory system | MICRON TECHNOLOGY, INC. | 1 |
11080453 | Integrated circuit fin layout method, system, and structure | -- | 1 |
11080474 | Calculations on sound associated with cells in spreadsheets | MICROSOFT TECHNOLOGY LICENSING, LLC | 1 |
11080494 | Recording tape cartridge, barcode printing system, barcode printing method, and method of manufacturing recording tape cartridge with barcode | FUJIFILM CORPORATION | 1 |
11080499 | Recording tape cartridge and barcode label reissuing method | FUJIFILM CORPORATION | 1 |
11080531 | Editing multimedia contents based on voice recognition | LG ELECTRONICS INC. | 1 |
11080621 | Machine learning-based read channel data detection | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11080908 | Synchronized display of street view map and video stream | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11080977 | Management system, server, management device, and management method | HYOGO | 1 |
11081031 | Gate control unit, driving method thereof, gate driver on array and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. | 1 |
11081033 | Shift register unit and driving method thereof, gate driver, display panel and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. | 1 |
11081035 | Shift register unit, driving method, gate driving circuit and display panel | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. | 1 |
11081042 | Gate driving unit, driving method thereof, gate driving circuit and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. | 1 |
11081058 | Shift register unit, gate drive circuit, display device and driving method | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. | 1 |
11081100 | Sound processing device and method | SONY CORPORATION | 1 |
11081129 | Magnetic disk device | KABUSHIKI KAISHA TOSHIBA | 1 |
11081130 | Suspension standoff arrangement for confining adhesive | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11081131 | Flexure of disk drive suspension | NHK SPRING CO., LTD. | 1 |
11081132 | Tape embedded drive with HDD components | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11081133 | Glass for magnetic recording medium substrate, magnetic recording medium substrate, magnetic recording medium and glass spacer for magnetic recording and reproducing apparatus | HOYA CORPORATION | 1 |
11081134 | Hard disk drive with magnetic-disk substrate and hard disk drive with magnetic disk | HOYA CORPORATION | 1 |
11081135 | Shingled magnetic recording storage system | SEAGATE TECHNOLOGY LLC | 1 |
11081136 | Information processing apparatus, information processing system, and program | SONY CORPORATION | 1 |
11081137 | Method and device for processing multimedia information | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11081138 | Systems and methods for automated music rearrangement | APPLE INC. | 1 |
11081139 | Video inpainting via confidence-weighted motion estimation | ADOBE INC. | 1 |
11081140 | Systems and methods for generating templates for short-form media content | FACEBOOK, INC. | 1 |
11081141 | Processing and formatting video for interactive presentation | SNAP INC. | 1 |
11081142 | Messenger MSQRD—mask indexing | FACEBOOK, INC. | 1 |
11081143 | Providing enhanced content | TIVO CORPORATION | 1 |
11081144 | Tool-free hard disk mounting and dismounting device | ZHENGZHOU YUNHAI INFORMATION TECHNOLOGY CO., LTD. | 1 |
11081145 | Packaging container for multimedia storage carrier | FINEST PRODUCTS LIMITED. | 1 |
11081146 | Storage device and operating method of the storage device for controlling voltage rising time | SK HYNIX INC. | 1 |
11081147 | Pseudo-cryogenic semiconductor device having pseudo-cryogenic temperature sensor and voltage supplier and pseudo-cryogenic semiconductor stack | SK HYNIX INC. | 1 |
11081148 | Binary weighted voltage encoding scheme for supporting multi-bit input precision | SANDISK TECHNOLOGIES LLC | 1 |
11081149 | Memory device for artificial intelligence operation | -- | 1 |
11081150 | Methods, semiconductor devices, and semiconductor systems | SK HYNIX INC. | 1 |
11081151 | Techniques to improve a read operation to a memory array | INTEL CORPORATION | 1 |
11081152 | Dynamic random access memory (DRAM) device, memory controller therefor, and memory system | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11081153 | Magnetic memory device with balancing synthetic anti-ferromagnetic layer | -- | 1 |
11081154 | Synthetic magnetic pinning element having strong antiferromagnetic coupling | -- | 1 |
11081155 | MRAM reference current | -- | 1 |
11081156 | Voltage regulation circuitry | ARM LIMITED | 1 |
11081157 | Leakage compensation for memory arrays | MICRON TECHNOLOGY, INC. | 1 |
11081158 | Source follower-based sensing scheme | MICRON TECHNOLOGY, INC. | 1 |
11081159 | Memory cell arrangement and methods thereof | FERROELECTRIC MEMORY GMBH | 1 |
11081160 | Apparatus and methods for triggering row hammer address sampling | MICRON TECHNOLOGY, INC. | 1 |
11081161 | Sensing and tuning for memory die power management | MICRON TECHNOLOGY, INC. | 1 |
11081162 | Source side precharge and boosting improvement for reverse order program | SANDISK TECHNOLOGIES LLC | 1 |
11081163 | Information processing apparatus, information processing system, and semiconductor storage device | KIOXIA CORPORATION | 1 |
11081164 | Non-volatile memory device and control method | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
11081165 | Memories for decoding memory access addresses for access operations | MICRON TECHNOLOGY, INC. | 1 |
11081166 | Memory device random option inversion | MICRON TECHNOLOGY, INC. | 1 |
11081167 | Sense amplifier architecture for low supply voltage operations | SANDISK TECHNOLOGIES LLC | 1 |
11081168 | Mixed digital-analog memory devices and circuits for secure storage and computing | HEFEI RELIANCE MEMORY LIMITED | 1 |
11081169 | Semiconductor device and data retention method | RENESAS ELECTRONICS CORPORATION | 1 |
11081170 | Apparatus and methods for programming memory cells responsive to an indication of age of the memory cells | MICRON TECHNOLOGY, INC. | 1 |
11081171 | Nonvolatile memory device including a fast read page and a storage device including the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11081172 | On-chip security key with phase change memory | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11081173 | Via formation for cross-point memory | MICRON TECHNOLOGY, INC. | 1 |
11081174 | Set/reset methods for crystallization improvement in phase change memories | SANDISK TECHNOLOGIES LLC | 1 |
11081175 | Semiconductor device and memory device | KIOXIA CORPORATION | 1 |
11081176 | 2T-1R architecture for resistive RAM | HEFEI RELIANCE MEMORY LIMITED | 1 |
11081177 | Generating a reference current for sensing | ARM LIMITED | 1 |
11081178 | Memory, information processing system, and method of controlling memory | SONY CORPORATION | 1 |
11081179 | Pre-charge voltage for inhibiting unselected NAND memory cell programming | SANDISK TECHNOLOGIES LLC | 1 |
11081180 | Memory device with bit lines disconnected from NAND strings for fast programming | SANDISK TECHNOLOGIES LLC | 1 |
11081181 | Flash memory and method for operating the same | -- | 1 |
11081182 | Integrated circuit and computing method thereof | -- | 1 |
11081183 | Memory system and control method of memory system for controlling of first and second writing operations | KIOXIA CORPORATION | 1 |
11081184 | Method of concurrent multi-state programming of non-volatile memory with bit line voltage step up | SANDISK TECHNOLOGIES LLC | 1 |
11081185 | Non-volatile memory array driven from both sides for performance improvement | SANDISK TECHNOLOGIES LLC | 1 |
11081186 | Non-volatile memory device and erasing method of the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11081187 | Erase suspend scheme in a storage device | SANDISKTECHNOLOGIES LLC | 1 |
11081188 | Semiconductor memory device | KIOXIA CORPORATION | 1 |
11081189 | Charge loss compensation | MICRON TECHNOLOGY, INC. | 1 |
11081190 | Reverse sensing for data recovery in non-volatile memory structures | SANDISKTECHNOLOGIES LLC | 1 |
11081191 | Dynamic switching for improved power utilization | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11081192 | Memory plane structure for ultra-low read latency applications in non-volatile memories | SANDISKTECHNOLOGIES LLC | 1 |
11081193 | Inverter based delay chain for calibrating data signal to a clock | SANDISK TECHNOLOGIES LLC | 1 |
11081194 | Suppression of program disturb with bit line and select gate voltage regulation | CYPRESS SEMICONDUCTOR CORPORATION | 1 |
11081195 | Programming process which compensates for data state of adjacent memory cell in a memory device | SANDISK TECHNOLOGIES LLC | 1 |
11081196 | Non-volatile memory with erase verify skip | SANDISK TECHNOLOGIES LLC | 1 |
11081197 | Wordline voltage overdrive methods and systems | SANDISK TECHNOLOGIES LLC | 1 |
11081198 | Non-volatile memory with countermeasure for over programming | SANDISK TECHNOLOGIES LLC | 1 |
11081199 | Shift register and method of driving the same, gate driving circuit, display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. | 1 |
11081200 | Intelligent proactive responses to operations to read data from memory cells | MICRON TECHNOLOGY, INC. | 1 |
11081201 | Parallel test device | -- | 1 |
11081202 | Failing address registers for built-in self tests | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11081203 | Leakage source detection by scanning access lines | MICRON TECHNOLOGY, INC. | 1 |
11081204 | Method for setting a reference voltage for read operations | MICRON TECHNOLOGY, INC. | 1 |
11081205 | Semiconductor apparatus for compensating for degradation and semiconductor system using the same | SK HYNIX INC. | 1 |
11081229 | Surgical tracking and procedural map analysis tool | DIGITAL SURGERY LIMITED | 1 |
11081446 | Semiconductor device | SK HYNIX INC. | 1 |
11081451 | Die stack with reduced warpage | INTEL CORPORATION | 1 |
11081468 | Stacked die package including a first die coupled to a substrate through direct chip attachment and a second die coupled to the substrate through wire bonding and related methods, devices and apparatuses | MICRON TECHNOLOGY, INC. | 1 |
11081474 | Dynamic resource management in circuit bound array architecture | SANDISK TECHNOLOGIES LLC | 1 |
11081486 | Integrated circuit having memory cell array including barriers, and method of manufacturing same | OVONYX MEMORY TECHNOLOGY, LLC | 1 |
11081492 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION | 1 |
11081493 | Method for forming semiconductor memory device with sacrificial via | -- | 1 |
11081498 | Integrated assemblies having vertically-spaced channel material segments, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. | 1 |
11081522 | Wiring line layout in a semiconductor memory device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION | 1 |
11081523 | Memory devices and methods of forming memory devices | GLOBALFOUNDRIES SINGAPORE PTE. LTD. | 1 |
11081524 | Three-dimensional memory devices | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
11081595 | Multi-gate transistor and memory device using the same | -- | 1 |
11081641 | Magnetoresistance effect element, magnetic memory, and method for manufacturing magnetoresistance effect element | TOHOKU UNIVERSITY | 1 |
11081642 | MTJ CD variation by HM trimming | -- | 1 |
11081988 | Data storage device with spread spectrum spindle motor control | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11082036 | Memory interface system for duty-cycle error detection and correction | SANDISKTECHNOLOGIES LLC | 1 |
11082044 | Integrated circuit including power gating cell | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11082267 | Multi-tap hybrid equalization scheme for 24GBPS GDDR6 memory interface transmitter | CADENCE DESIGN SYSTEMS, INC. | 1 |
11082722 | Method and system for generating highlights from scored data streams | AFTERLIVE.TV INC. | 1 |
11086342 | Low power high speed maximum input supply selector | QUALCOMM INCORPORATED | 1 |
11086349 | Reference voltage generator capable of reducing hot carrier stress | -- | 1 |
11086367 | Computers | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. | 1 |
11086487 | Apparatuses and methods for identifying a contactee for a message | GRUS TECH, LLC | 1 |
11086529 | Data storage systems and methods for improved data relocation based on read-level voltages associated with error recovery | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11086546 | Preserve write for solid-state drives | MICROSOFT TECHNOLOGY LICENSING, LLC | 1 |
11086566 | Storage device and operating method thereof | SK HYNIX INC. | 1 |
11086567 | Flash memory controller, flash memory module and associated electronic device | -- | 1 |
11086569 | Memory system and method | TOSHIBA MEMORY CORPORATION | 1 |
11086572 | Self adapting iterative read calibration to retrieve data from memory cells | MICRON TECHNOLOGY, INC. | 1 |
11086573 | Memory system | TOSHIBA MEMORY CORPORATION | 1 |
11086645 | Proficiency based tutorial modification | ADOBE INC. | 1 |
11086717 | Random selection of code words for read voltage calibration | SEAGATE TECHNOLOGY LLC | 1 |
11086719 | Use of error correction codes to prevent errors in neighboring storage | MICROSOFT TECHNOLOGY LICENSING, LLC | 1 |
11086720 | Memory system and operating method thereof | SK HYNIX INC. | 1 |
11086744 | Memory system | TOSHIBA MEMORY CORPORATION | 1 |
11086745 | Memory system including a plurality of controllers | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11086788 | Methods and apparatus for accessing configurable memory during hardware emulation | INTEL CORPORATION | 1 |
11086811 | Low-pincount high-bandwidth memory and memory bus | -- | 1 |
11086876 | Storing derived summaries on persistent memory of a storage device | ORACLE INTERNATIONAL CORPORATION | 1 |
11086931 | Audio and visual asset matching platform including a master digital asset | AUDIOBYTE LLC | 1 |
11087139 | Systems and methods for creating a story board with forensic video analysis on a video repository | HONEYWELL INTERNATIONAL INC. | 1 |
11087208 | Analog neuromorphic circuits for dot-product operation implementing resistive memories | UNIVERSITY OF DAYTON | 1 |
11087670 | Electronic device display with monitoring circuitry utilizing a crack detection resistor | APPLE INC. | 1 |
11087683 | Electro-optical device, driving method of electro-optical device and electronic apparatus | SEIKO EPSON CORPORATION | 1 |
11087706 | Display driving circuit having source auxiliary circuit and gate auxiliary circuit and driving method thereof, display panel and display device | HEFEI BOE DISPLAY TECHNOLOGY CO., LTD. | 1 |
11087779 | Apparatus that identifies a scene type and method for identifying a scene type | YAMAHA CORPORATION | 1 |
11087781 | Magnetic head including plural magnetic layers and plural intermediate layers and magnetic recording device | KABUSHIKI KAISHA TOSHIBA | 1 |
11087782 | Shielding between heads for tape drive | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11087783 | Magnetic recording head soft error rate improvement with compact main pole | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11087784 | Data storage devices with integrated slider voltage potential control | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11087785 | Effective rear hard bias for dual free layer read heads | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11087786 | Tape drive with head-gimbal assembly and contact plate | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11087788 | Unified time base for self-servo-write operation | MARVELL ASIA PTE, LTD. | 1 |
11087789 | Optimized dual thermal fly-height design for dual writers for advanced magnetic recording | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11087790 | Surface acoustic wave-based sensing and actuation of contamination | SEAGATE TECHNOLOGY LLC | 1 |
11087791 | Data storage device with voltage-assisted magnetic recording (VAMR) for high density magnetic recording | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11087792 | Oriented body, method for producing the same, device for producing the same, and magnetic recording medium | THE UNIVERSITY OF TOKYO | 1 |
11087794 | Magnetic recording medium having magnetic layer with a granular structure | FUJI ELECTRIC CO., LTD. | 1 |
11087795 | Magnetic disk device | KABUSHIKI KAISHA TOSHIBA | 1 |
11087796 | Magnetic disk device capable of correcting servo demodulation position | KABUSHIKI KAISHA TOSHIBA | 1 |
11087797 | Tape storage system including at least two tape storage apparatuses for improved writing of data to be synchronized | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11087798 | Selective curation of user recordings | HONDA MOTOR CO., LTD. | 1 |
11087799 | Magnetic random access memory reference voltage generation | QUALCOMM INCORPORATED | 1 |
11087800 | Sense amplifier architecture providing small swing voltage sensing | SANDISK TECHNOLOGIES LLC | 1 |
11087801 | Configuring a host interface of a memory device based on mode of operation | MICRON TECHNOLOGY, INC. | 1 |
11087802 | Semiconductor memory device including output buffer | MICRON TECHNOLOGY, INC. | 1 |
11087803 | Efficient control of memory core circuits | SANDISK TECHNOLOGIES LLC | 1 |
11087804 | Memory device with configurable input/output interface | MICRON TECHNOLOGY, INC. | 1 |
11087805 | Apparatus with a biasing mechanism and methods for operating the same | MICRON TECHNOLOGY, INC. | 1 |
11087806 | Apparatuses and methods for adjusting delay of command signal path | MICRON TECHNOLOGY, INC. | 1 |
11087808 | Word-line structure, memory device and method of manufacturing the same | -- | 1 |
11087809 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION | 1 |
11087810 | Free layer structure in magnetic random access memory (MRAM) for Mo or W perpendicular magnetic anisotropy (PMA) enhancing layer | -- | 1 |
11087811 | NVM synaptic element with gradual reset capability | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11087812 | Magnetoresistive random-access memory | -- | 1 |
11087813 | Control circuit, semiconductor memory device, information processing device, and control method | SONY SEMICONDUCTOR SOLUTIONS CORPORATION | 1 |
11087814 | Sensing scheme for STT-MRAM using low-barrier nanomagnets | GLOBALFOUNDRIES U.S. INC. | 1 |
11087815 | Readout circuit of magnetic memory and magnetic memory | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION | 1 |
11087816 | Charge extraction from ferroelectric memory cell | MICRON TECHNOLOGY, INC. | 1 |
11087817 | Offset cancellation for latching in a memory device | MICRON TECHNOLOGY, INC. | 1 |
11087818 | Semiconductor storage element, semiconductor storage device, semiconductor system, and control method | SONY SEMICONDUCTOR SOLUTIONS CORPORATION | 1 |
11087819 | Methods for row hammer mitigation and memory devices and systems employing the same | MICRON TECHNOLOGY, INC. | 1 |
11087820 | Systems and methods for plate voltage regulation during memory array access | MICRON TECHNOLOGY, INC. | 1 |
11087821 | Memory module including register clock driver detecting address frequently accessed | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11087822 | Semiconductor memory device having clock generation scheme based on command | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11087823 | Nonvolatile memory structures with dram | ASPIRING SKY CO. LIMITED | 1 |
11087824 | Column select swizzle | MICRON TECHNOLOGY, INC. | 1 |
11087825 | Semiconductor memory device for reducing snapback current of non-volatile memory during read operation | SK HYNIX INC. | 1 |
11087826 | Storing method and apparatus of data | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11087827 | Edge memory array mats with sense amplifiers | MICRON TECHNOLOGY, INC. | 1 |
11087828 | Semiconductor storage device for high-speed burst access | LAPIS SEMICONDUCTOR CO., LTD. | 1 |
11087829 | Phase charge sharing | MICRON TECHNOLOGY, INC. | 1 |
11087830 | Semiconductor devices | SK HYNIX INC. | 1 |
11087831 | Gate-all-around memory devices | -- | 1 |
11087832 | Three-dimensional nanoribbon-based static random-access memory | INTEL CORPORATION | 1 |
11087833 | Power management circuit in memory device | -- | 1 |
11087834 | Read and write techniques | ARM LIMITED | 1 |
11087835 | Memory device latch circuitry | MICRON TECHNOLOGY, INC. | 1 |
11087836 | Multi-state programming for memory devices | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11087837 | Circuit cell for a memory device or logic device | IMEC VZW | 1 |
11087838 | Voltage drivers with reduced power consumption during polarity transition | MICRON TECHNOLOGY, INC. | 1 |
11087839 | Nonvolatile memory device with vertical string including semiconductor and resistance change layers, and method of operating the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11087840 | Method of operating resistive memory device to increase read margin | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11087841 | Global bit line pre-charge circuit that compensates for process, operating voltage, and temperature variations | UNITY SEMICONDUCTOR CORPORATION | 1 |
11087842 | Multifunctional memory cells | MICRON TECHNOLOGY, INC. | 1 |
11087843 | Memory with FRAM and SRAM of IC and method for accessing memory | -- | 1 |
11087844 | Non-volatile memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11087845 | Nonvolatile semiconductor memory including a read operation | TOSHIBA MEMORY CORPORATION | 1 |
11087846 | Memory system with single decoder, multiple memory sets and method for decoding multiple codewords from memory sets using the single decoder | SK HYNIX INC. | 1 |
11087847 | Program suspend-resume techniques in non-volatile storage | INTEL CORPORATION | 1 |
11087848 | Data arranging method, memory control circuit unit and memory storage device for flash memory for improving the performance of valid data merging operation | HEFEI CORE STORAGE ELECTRONIC LIMITED | 1 |
11087849 | Non-volatile memory with bit line controlled multi-plane mixed sub-block programming | SANDISK TECHNOLOGIES LLC | 1 |
11087850 | Sensing in floating-source memory architecture | SUNRISE MEMORY CORPORATION | 1 |
11087851 | Apparatus and methods for rapid data destruction | MICRON TECHNOLOGY, INC. | 1 |
11087852 | Semiconductor storage device and memory system | TOSHIBA MEMORY CORPORATION | 1 |
11087853 | Power-on over-erasure correction method and memory device utilizing same | -- | 1 |
11087854 | High current fast read scheme for crosspoint memory | INTEL CORPORATION | 1 |
11087855 | Shift register unit and driving method, gate drive circuit and display device | BOE TECHNOLOGY GROUP CO., LTD. | 1 |
11087856 | Memory system and operating method thereof | SK HYNIX INC. | 1 |
11087857 | Enabling high at-speed test coverage of functional memory interface logic by selective usage of test paths | TEXAS INSTRUMENTS INCORPORATED | 1 |
11087858 | In-place refresh operation in flash memory | -- | 1 |
11087859 | Managing data disturbance in a memory with asymmetric disturbance effects | MICRON TECHNOLOGY, INC. | 1 |
11088072 | Semiconductor device including a fuse and a transistor coupled to the fuse | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC | 1 |
11088151 | 4Cpp SRAM cell and array | -- | 1 |
11088153 | Integrated arrangements of pull-up transistors and pull-down transistors, and integrated static memory | MICRON TECHNOLOGY, INC. | 1 |
11088157 | Three-dimensional semiconductor device having stepped gate electrodes | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11088161 | Three-dimensional semiconductor memory device and method of detecting electrical failure thereof | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11088162 | Semiconductor memory device and semiconductor device manufacturing method | TOSHIBA MEMORY CORPORATION | 1 |
11088163 | Semiconductor devices including upper and lower selectors | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11088170 | Three-dimensional ferroelectric memory array including integrated gate selectors and methods of forming the same | SANDISK TECHNOLOGIES LLC | 1 |
11088200 | Lattice matched seed layer to improve PMA for perpendicular magnetic pinning | -- | 1 |
11088203 | 3D RRAM cell structure for reducing forming and set voltages | -- | 1 |
11088204 | Three terminal selectors for memory applications and their methods of fabrication | INTEL CORPORATION | 1 |
11088206 | Methods of forming a phase change memory with vertical cross-point structure | SANDISK TEHNOLOGIES LLC | 1 |
11088289 | NAND flash memory with vertical cell stack structure and method for manufacturing same | MOSAID TECHNOLOGIES INCORPORATED | 1 |
11088317 | Structures and methods for shielding magnetically sensitive components | EVERSPIN TECHNOLOGIES, INC. | 1 |
11088319 | Magnetic tunnel junction including a free layer structure and magnetic memory device comprising the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11088320 | Fabrication of large height top metal electrode for sub-60nm magnetoresistive random access memory (MRAM) devices | -- | 1 |
11088681 | High speed signal adjustment circuit | MICRON TECHNOLOGY, INC. | 1 |
11088692 | Programmable input/output circuit | CYPRESS SEMICONDUCTOR CORPORATION | 1 |
11088711 | Memory apparatus and data accessing method thereof | -- | 1 |
11088895 | Methods and apparatuses for signal translation in a buffered memory | MICRON TECHNOLOGY, INC. | 1 |
11089213 | Information management apparatus and information management method, and video reproduction apparatus and video reproduction method | SONY GROUP CORPORATION | 1 |
11089214 | Generating output video from video streams | KONINKLIJKE KPN N.V. | 1 |
11089238 | Personalized videos featuring multiple persons | SNAP INC. | 1 |
11089259 | Video recording method and video recording terminal | BIGO TECHNOLOGY PTE. LTD. | 1 |
11089260 | Reproduction device, reproduction method, and recording medium | SATURN LICENSING LLC | 1 |
11089280 | Apparatus and method for capturing and displaying segmented content | SONY INTERACTIVE ENTERTAINMENT INC. | 1 |
11089353 | Hot key systems and methods | AMERICAN INVENTOR TECH, LLC | 1 |
11089374 | Direct navigation in a video clip | ORANGE | 1 |
11089710 | Configuring a modular storage system | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11090716 | ϵ-iron oxide type ferromagnetic powder and magnetic recording medium | FUJIFILM CORPORATION | 1 |
11090886 | Cylindrical base, master and master manufacturing method | DEXERIALS CORPORATION | 1 |
11091850 | Producing method of wired circuit board | NITTO DENKO CORPORATION | 1 |
11092650 | Re-programmable self-test | TEXAS INSTRUMENTS INCORPORATED | 1 |
11093090 | TFT-based fingerprint sensing system with corrected read-out | FINGERPRINT CARDS AB | 1 |
11093126 | Drop zone prediction for user input operations | ADOBE INC. | 1 |
11093145 | Protecting in-memory configuration state registers | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11093167 | Storage device and control method | TOSHIBA MEMORY CORPORATION | 1 |
11093172 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION | 1 |
11093173 | Memory system | KIOXIA CORPORATION | 1 |
11093322 | Memory error recovery using shared structural element error correlations | FACEBOOK, INC. | 1 |
11093324 | Dynamic data verification and recovery in a storage system | PURE STORAGE, INC. | 1 |
11093325 | Controller, memory system including the same, and method of operating memory system | SK HYNIX INC. | 1 |
11093326 | Dynamic multi-stage decoding | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11093330 | Combining data objects in a vast data storage network | PURE STORAGE, INC. | 1 |
11093385 | Memory device with dynamic cache management | MICRON TECHNOLOGY, INC. | 1 |
11093390 | Memory system and operating method thereof | SK HYNIX INC. | 1 |
11093392 | Apparatuses and methods to perform continuous read operations | MICRON TECHNOLOGY, INC. | 1 |
11093417 | Memory module with data buffering | NETLIST, INC. | 1 |
11093419 | System and method for cost and power optimized heterogeneous dual-channel DDR DIMMs | DELL PRODUCTS L.P. | 1 |
11093677 | Logic drive based on standard commodity FPGA IC chips | -- | 1 |
11093704 | Rich data types | MICROSOFT TECHNOLOGY LICENSING, LLC | 1 |
11094105 | Display apparatus and control method thereof | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11094238 | Display panel and display device | SHANGHAI TIANMA AM-OLED CO., LTD. | 1 |
11094239 | Shift register and driving method thereof, gate driving circuit and display device | BOE TECHNOLOGY GROUP CO., LTD. | 1 |
11094244 | Scanning circuit, driver circuit, touch display panel, receiving switching circuit and driving method | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. | 1 |
11094277 | Shift register and driving method thereof, gate drive circuit and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. | 1 |
11094338 | SOT film stack for differential reader | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11094339 | Methods of manufacturing one or more sliders that includes a second lapping process after patterning, and related sliders | SEAGATE TECHNOLOGY LLC | 1 |
11094340 | Methods of manufacturing one or more sliders, and related sliders | SEAGATE TECHNOLOGY LLC | 1 |
11094341 | Magnetic disk device | KABUSHIKI KAISHA TOSHIBA | 1 |
11094342 | Disk device | KABUSHIKI KAISHA TOSHIBA | 1 |
11094344 | Hard disk drive with magnetic-disk substrate | HOYA CORPORATION | 1 |
11094345 | Magnetic-disk substrate, magnetic disk, and magnetic-disk drive device | HOYA CORPORATION | 1 |
11094346 | Systems and methods for adaptive streaming of multimedia content | NETFLIX, INC. | 1 |
11094347 | Split ramp for data storage devices | SEAGATE TECHNOLOGY LLC | 1 |
11094348 | Disc library storage system and disc cartridge used thereof | LITE-ON ELECTRONICS (GUANGZHOU) LIMITED | 1 |
11094349 | Event source content and remote content synchronization | TAGMIX LIMITED | 1 |
11094350 | Recording and reproducing apparatus and method thereof | MAXELL, LTD. | 1 |
11094351 | System and method for representing long video sequences | TIVO CORPORATION | 1 |
11094352 | Removing mechanism of storage device | CS SOLUTION HOLDING LIMITED | 1 |
11094353 | Multiple location load control system | LUTRON TECHNOLOGY COMPANY LLC | 1 |
11094354 | First order memory-less dynamic element matching technique | STMICROELECTRONICS INTERNATIONAL N.V. | 1 |
11094355 | Memory chip or memory array for wide-voltage range in-memory computing using bitline technology | ECOLE POLYTECHNIQUE FEDERALE DE LAUSANNE (EPFL) | 1 |
11094356 | Write cycle execution based on data comparison | MICRON TECHNOLOGY, INC. | 1 |
11094357 | Memory devices with user-defined tagging mechanism | MICRON TECHNOLOGY, INC. | 1 |
11094358 | Semiconductor chip manufacturing process for integrating logic circuitry, embedded DRAM and embedded non-volatile ferroelectric random access memory (FERAM) on a same semiconductor die | INTEL CORPORATION | 1 |
11094359 | High retention multi-level-series magnetic random-access memory | SPIN MEMORY, INC. | 1 |
11094360 | Storage device, electronic component, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
11094361 | Transistorless memory cell | -- | 1 |
11094362 | Virtual ground sensing circuitry and related devices, systems, and methods for crosspoint ferroelectric memory | MICRON TECHNOLOGY, INC. | 1 |
11094363 | Reduced peak self-refresh current in a memory device | MICRON TECHNOLOGY, INC. | 1 |
11094364 | Data storage device and operating method thereof | SK HYNIX INC. | 1 |
11094365 | System and method for refreshing data for integrity protection at a thermal excursion event | DELL PRODUCTS L.P. | 1 |
11094366 | Systems and methods to control semiconductor memory device in various timings | KIOXIA CORPORATION | 1 |
11094367 | Semiconductor device with sub-amplifier | ULTRAMEMORY INC. | 1 |
11094368 | Memory, memory chip and memory data access method | -- | 1 |
11094369 | Semiconductor memory device and operating method thereof | SK HYNIX INC. | 1 |
11094370 | Enhanced auto-precharge memory scheduling policy | INTEL CORPORATION | 1 |
11094371 | Memory device for processing operation and method of operating the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11094372 | Partial writing method of dram memoryl device to reduce power consumption associated with large voltage swing of internal input/output lines | -- | 1 |
11094373 | Oxide semiconductor based memory device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
11094374 | Write data processing circuits and methods associated with computational memory cells | GSI TECHNOLOGY, INC. | 1 |
11094375 | Concurrent read and reconfigured write operations in a memory device | ADESTO TECHNOLOGIES CORPORATION | 1 |
11094376 | In-memory compute array with integrated bias elements | STMICROELECTRONICS INTERNATIONAL N.V. | 1 |
11094377 | Multi-level self-selecting memory device | MICRON TECHNOLOGY, INC. | 1 |
11094378 | Resistance variable memory device including stacked memory cells | SK HYNIX INC. | 1 |
11094379 | Memory cell programming | MICRON TECHNOLOGY, INC. | 1 |
11094380 | Semiconductor memory device | KIOXIA CORPORATION | 1 |
11094381 | Rapid restart protection for a non-volatile memory system | APPLE INC. | 1 |
11094382 | Semiconductor memory device including page buffers | SK HYNIX INC. | 1 |
11094383 | Selective page calibration based on hierarchical page mapping | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11094384 | Dynamic reference current sensing | -- | 1 |
11094385 | Standby biasing techniques to reduce read disturbs | MICRON TECHNOLOGY, INC. | 1 |
11094386 | Device, system, and method to verify data programming of a multi-level cell memory based on one of temperature, pressure, wear condition or relative position of the memory cell | INTEL CORPORATION | 1 |
11094387 | Multi-fuse memory cell circuit and method | -- | 1 |
11094388 | Anti-fuse device and program method using the same | -- | 1 |
11094389 | Shift register unit and driving method, gate driving circuit, and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOG CO., LTD. | 1 |
11094390 | Semiconductor memory devices and methods of operating semiconductor memory devices | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11094391 | List insertion in test segments with non-naturally aligned data boundaries | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11094392 | Testing of fault detection circuit | TEXAS INSTRUMENTS INCORPORATED | 1 |
11094393 | Apparatus and method for clearing memory content | QUALCOMM INCORPORATED | 1 |
11094394 | Imprint management for memory | MICRON TECHNOLOGY, INC. | 1 |
11094395 | Retention voltage management for a volatile memory | APPLE INC. | 1 |
11094576 | Methods for producing a 3D semiconductor memory device and structure | MONOLITHIC 3D INC. | 1 |
11094670 | Semiconductor device assemblies including multiple shingled stacks of semiconductor dies | MICRON TECHNOLOGY, INC. | 1 |
11094685 | Static random access memory device | -- | 1 |
11094687 | Temperature characteristic adjustment circuit | ASAHI KASEI MICRODEVICES CORPORATION | 1 |
11094696 | Methods of forming a thyristor-based random access memory using fin structures and elevated layers | GLOBALFOUNDRIES SINGAPORE PTE. LTD. | 1 |
11094697 | Vertical two-transistor single capacitor memory cells and memory arrays | MICRON TECHNOLOGY, INC. | 1 |
11094698 | Semiconductor storage device | KIOXIA CORPORATION | 1 |
11094700 | Well strap structures and methods of forming the same | -- | 1 |
11094701 | Layout structure of storage cell and method thereof | -- | 1 |
11094703 | Semiconductor plug having an etch-resistant layer in three-dimensional memory devices | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
11094706 | NAND unit cells | MICRON TECHNOLOGY, INC. | 1 |
11094707 | NAND unit cells | MICRON TECHNOLOGY, INC. | 1 |
11094793 | Thin film transistor substrate, shift register and display device | LG DISPLAY CO., LTD. | 1 |
11094901 | NDR device and circuit having a negative differential resistance based on organic-inorganic hybrid halide perovskite | KOREA ADVANCED INSTITUTE OF SCIENCE AND TECHNOLOGY | 1 |
11095273 | High-speed sense amplifier with a dynamically cross-coupled regeneration stage | QUALCOMM INCORPORATED | 1 |
11095290 | Clock recovery method and clock recovery module | ROHDE & SCHWARZ GMBH & CO. KG | 1 |
11095303 | Single-ended to differential circuit | DIALOG SEMICONDUCTOR B.V. | 1 |
11095847 | Methods and systems of video processing | SZ DJI TECHNOLOGY CO., LTD. | 1 |
11095954 | Video-providing method and video-providing system | NAVER CORPORATION | 1 |
11095955 | Method and system for delivering an interactive video | FLAVOURWORKS LTD | 1 |
11097956 | Surface-modified iron-based oxide magnetic particle powder | DOWA ELECTRONICS MATERIALS CO., LTD. | 1 |
11098224 | Method for polishing glass substrate, method for manufacturing glass substrate, method for manufacturing magnetic-disk glass substrate, method for manufacturing magnetic disk, polishing liquid, and method for reducing cerium oxide | HOYA CORPORATION | 1 |
11099456 | Photonic device | OXFORD UNIVERSITY INNOVATION LTD. | 1 |
11099601 | Reducing latency of memory read operations returning data on a read data path across multiple clock boundaries, to a host implementing a high speed serial interface | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11099616 | Heat dissipating housing and pluggable electronic device having the same | -- | 1 |
11099618 | Compact portable data storage device | SEAGATE TECHNOLOGY LLC | 1 |
11099736 | Dynamic processing of storage command based on internal operations of storage system | TOSHIBA MEMORY CORPORATION | 1 |
11099745 | Storage device and operating method thereof | SK HYNIX INC. | 1 |
11099758 | Memory management of computing devices | FACEBOOK TECHNOLOGIES, LLC | 1 |
11099760 | Background data refresh using a system timestamp in storage devices | INTEL CORPORATION | 1 |
11099773 | Memory system for write operation and method thereof | SK HYNIX INC. | 1 |
11099774 | Command address input buffer bias current reduction | MICRON TECHNOLOGY, INC. | 1 |
11099781 | Flash memory controller, flash memory module and associated electronic device | -- | 1 |
11099783 | Memory system including a non-volatile memory chip and method for performing a read operation on the non-volatile memory chip | TOSHIBA MEMORY CORPORATION | 1 |
11099784 | Crosspoint memory architecture for high bandwidth operation with small page buffer | SANDISK TECHNOLOGIES LLC | 1 |
11099787 | Semiconductor memory | KIOXIA CORPORATION | 1 |
11099789 | Remote direct memory access in multi-tier memory systems | MICRON TECHNOLOGY, INC. | 1 |
11099927 | Memory system | TOSHIBA MEMORY CORPORATION | 1 |
11099929 | Separating parity data from host data in a memory sub-system | MICRON TECHNOLOGY, INC. | 1 |
11099931 | Memory system | KIOXIA CORPORATION | 1 |
11099949 | Method apparatus for resuming and recovery checking from interrupted programming of one-time programmable memory device | CIRRUS LOGIC, INC. | 1 |
11099986 | Efficient transfer of memory contents | PURE STORAGE, INC. | 1 |
11099995 | Techniques for prefetching data to a first level of memory of a hierarchical arrangement of memory | INTEL CORPORATION | 1 |
11100003 | Tape drive with intelligent selection of wrap / track for temporarily storing flushed data | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11100013 | Scheduling of read and write memory access requests | APPLE INC. | 1 |
11100021 | Storage drive adapter | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP | 1 |
11100096 | Video content search using captioning data | AT&T INTELLECTUAL PROPERTY I, L.P. | 1 |
11100329 | Ranging system data utilization for marking of video data of interest | LYTX, INC. | 1 |
11100834 | Gate driving sub-circuit, driving method and gate driving circuit | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. | 1 |
11100881 | Display panel | SAMSUNG DISPLAY CO., LTD. | 1 |
11100945 | Servo pattern recording method, servo pattern recording apparatus, manufacturing method for a tape-like magnetic recording medium, and tapelike magnetic recording medium | SONY CORPORATION | 1 |
11100946 | SOT differential reader and method of making same | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11100947 | Magnetic disk device and servo gate generation method | KABUSHIKI KAISHA TOSHIBA | 1 |
11100948 | Coating-type magnetic recording medium for heat-assisted recording, and heat-assisted magnetic recording apparatus and heat-assisted magnetic recording method using the same | MAXELL HOLDINGS, LTD. | 1 |
11100949 | Magnetic recording medium | FUJIFILM CORPORATION | 1 |
11100950 | Method for reading and writing with holographic system and holographic storage system | -- | 1 |
11100951 | Heat-assisted magnetic recording device incorporating laser heater for improved laser stability | SEAGATE TECHNOLOGY LLC | 1 |
11100952 | Storage apparatus | SHOWA DENKO K.K. | 1 |
11100953 | Automatic selection of audio and video segments to generate an audio and video clip | CROWDFLIK, INC. | 1 |
11100954 | Systems and methods for efficient media editing | LOMOTIF PRIVATE LIMITED | 1 |
11100955 | Method, apparatus and smart mobile terminal for editing video | BEIJING DAJIA INFORMATION TECHNOLOGY CO., LTD. | 1 |
11100956 | MP4 file processing method and related device | HUAWEI TECHNOLOGIES CO., LTD. | 1 |
11100957 | Method and system for exporting video | AVIGILON CORPORATION | 1 |
11100958 | Semiconductor memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11100959 | Variable resistance memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11100960 | Noise amplification circuit and memory device including the noise amplification circuit | SK HYNIX INC. | 1 |
11100961 | Semiconductor storage device | KIOXIA CORPORATION | 1 |
11100962 | Semiconductor device with a power-down mode and a power gating circuit and semiconductor system including the same | SK HYNIX INC. | 1 |
11100963 | Data first-in first-out (FIFO) circuit | -- | 1 |
11100964 | Multi-stage bit line pre-charge | -- | 1 |
11100965 | Bitline precharging techniques | ARM LIMITED | 1 |
11100966 | Array edge repeater in memory device | -- | 1 |
11100967 | Apparatuses and methods for setting a duty cycle adjuster for improving clock duty cycle | MICRON TECHNOLOGY, INC. | 1 |
11100968 | Memory systems having a plurality of memory devices and methods of training the memory systems | SK HYNIX INC. | 1 |
11100971 | Ferroelectric domain regulated optical readout mode memory and preparing method thereof | SHANGHAI INSTITUTE OF TECHNICAL PHYSICS OF THE CHINESE ACADEMY OF SCIENCES | 1 |
11100972 | Refresh rate control for a memory device | MICRON TECHNOLOGY, INC. | 1 |
11100973 | Apparatuses and methods for memory devices with continuous self-refresh timer | MICRON TECHNOLOGY, INC. | 1 |
11100974 | Systems and methods for refreshing a memory bank while accessing another memory bank using a shared address path | MICRON TECHNOLOGY, INC. | 1 |
11100975 | Semiconductor memory device and method for adjusting threthold voltage thereof | KIOXIA CORPORATION | 1 |
11100976 | Memory controller with clock-to-strobe skew compensation | RAMBUS INC. | 1 |
11100977 | Systems and methods for performing dynamic on-chip calibration of memory control signals | MICRON TECHNOLOGY, INC. | 1 |
11100978 | Memory unit | SURECORE LIMITED | 1 |
11100979 | Low-power SRAM memory cell and application structure thereof | SHANGHAITECH UNIVERSITY | 1 |
11100980 | Orthogonal dual port ram (ORAM) | -- | 1 |
11100981 | Memory system and operating method of memory system | SK HYNIX INC. | 1 |
11100982 | Memristive device and method based on ion migration over one or more nanowires | AT&T INTELLECTUAL PROPERTY I, L.P. | 1 |
11100983 | Electron device and data processing method using crossbar array | -- | 1 |
11100984 | Non volatile cross point memory having word line pass transistor with multiple active states | INTEL CORPORATION | 1 |
11100985 | Nonvolatile semiconductor memory device | TOSHIBA MEMORY CORPORATION | 1 |
11100986 | Discharge current mitigation in a memory array | MICRON TECHNOLOGY, INC. | 1 |
11100987 | Selection scheme for crosspoint memory | INTEL CORPORATION | 1 |
11100988 | Semiconductor memory device | KIOXIA CORPORATION | 1 |
11100989 | Multiplier-accumulator | FLOADIA CORPORATION | 1 |
11100990 | Memory device for avoiding multi-turn on of memory cell during reading, and operating method thereof | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11100991 | Apparatuses, devices and methods for sensing a snapback event in a circuit | MICRON TECHNOLOGY, INC. | 1 |
11100992 | Selective pixel output | FACEBOOK TECHNOLOGIES, LLC | 1 |
11100993 | TCAM architecture where content-based search is conductible | INDUSTRY-ACADEMIC COOPERATION FOUNDATION CHOSUN UNIVERSITY | 1 |
11100994 | Content addressable memory device having electrically floating body transistor | ZENO SEMICONDUCTOR, INC. | 1 |
11100995 | Structure of a nonvolatile memory device with a low-voltage transistor fabricated on a substrate | -- | 1 |
11100996 | Log data storage for flash memory | MICRON TECHNOLOGY, INC. | 1 |
11100997 | Storage device, controller and method for operating controller for configuring super pages using program timing information | SK HYNIX INC. | 1 |
11100998 | Apparatuses and methods for organizing data in a memory device | MICRON TECHNOLOGY, INC. | 1 |
11100999 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION | 1 |
11101000 | Semiconductor device and method for operating the same | TOWER PARTNERS SEMICONDUCTOR CO., LTD. | 1 |
11101001 | Non-volatile memory with multi-plane mixed sub-block programming | SANDISK TECHNOLOGIES LLC | 1 |
11101002 | Semiconductor memory device including cache latch circuit | SK HYNIX INC. | 1 |
11101003 | Clock and data recovery circuit, memory storage device and signal adjustment method | -- | 1 |
11101004 | Memory device and reading method | -- | 1 |
11101005 | Memory device to execute read operation using read target voltage | KIOXIA CORPORATION | 1 |
11101006 | Read level tracking and optimization | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11101007 | Semiconductor device and method of operating semiconductor device | SK HYNIX INC. | 1 |
11101008 | Semiconductor memory device | KIOXIA CORPORATION | 1 |
11101009 | Systems and methods to convert memory to one-time programmable memory | BOARD OF TRUSTEES OF THE UNIVERSITY OF ALABAMA, FOR AND ON BEHALF OF THE UNIVERSITY OF ALABAMA IN HUNTSVILLE | 1 |
11101010 | Sensing circuits for charge trap transistors | MARVELL ASIA PTE, LTD. | 1 |
11101011 | Circuit for generating bias current for reading OTP cell and control method thereof | MAGNACHIP SEMICONDUCTOR, LTD. | 1 |
11101012 | Magnetic storage device | TOSHIBA MEMORY CORPORATION | 1 |
11101013 | Shift register, method for fabricating inverter, gate driving circuit, and display device | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. | 1 |
11101014 | Two-stage flash programming for embedded systems | MICRON TECHNOLOGY, INC. | 1 |
11101015 | Multi-dimensional usage space testing of memory components | MICRON TECHNOLOGY, INC. | 1 |
11101016 | Test modes for a semiconductor memory device with stacked memory chips using a chip identification | SK HYNIX INC. | 1 |
11101017 | Memory system and test system | SK HYNIX INC. | 1 |
11101022 | Automated clinical documentation system and method | NUANCE COMMUNICATIONS, INC. | 1 |
11101023 | Automated clinical documentation system and method | NUANCE COMMUNICATIONS, INC. | 1 |
11101271 | Array of cross point memory cells and methods of forming an array of cross point memory cells | MICRON TECHNOLOGY, INC. | 1 |
11101291 | Memory cell arrangement and methods thereof | FERROELECTRIC MEMORY GMBH | 1 |
11101318 | Back-side memory element with local memory select transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11101319 | Semiconductor storage device including variable resistance elements | TOSHIBA MEMORY CORPORATION | 1 |
11101320 | System and method for efficient enhancement of an on/off ratio of a bitcell based on 3T2R binary weight cell with spin orbit torque MJTs (SOT-MTJs) | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11101321 | Nonvolatile resistive memory device and manufacturing method thereof | INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES | 1 |
11101326 | Methods of forming a phase change memory with vertical cross-point structure | SANDISK TECHNOLOGIES LLC | 1 |
11101386 | Semiconductor device and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
11101389 | Dual-use semiconductor device for solar power and data storage | MARVELL ASIA PTE, LTD. | 1 |
11101430 | Storage element | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES | 1 |
11101798 | Random bit cell using P-type transistors | -- | 1 |
11101820 | Memory control method, memory storage device and memory control circuit unit | -- | 1 |
11102437 | Memory circuit and semiconductor device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11102522 | Electronic program guide provisioning in real-time | DISNEY ENTERPRISES, INC. | 1 |
11105867 | Magnetic tunnel junction, magnetoresistive element and spintronics device in which said magnetic tunnel junction is used, and method of manufacturing magnetic tunnel junction | NATIONAL INSTITUTE FOR MATERIALS SCIENCE | 1 |
11106153 | Image forming apparatus | CANON KABUSHIKI KAISHA | 1 |
11106237 | Shift registers | SK HYNIX INC. | 1 |
11106362 | Additive library for data structures in a flash memory | EMC IP HOLDING COMPANY LLC | 1 |
11106363 | Nonvolatile memory device and operation method thereof | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11106366 | Maintaining consistent write latencies in non-volatile memory devices | SK HYNIX INC. | 1 |
11106367 | Apparatuses and methods for encoding and decoding of signal lines for multi-level communication architectures | MICRON TECHNOLOGY, INC. | 1 |
11106373 | Flash interface for processing dataset | EMC IP HOLDING COMPANY LLC | 1 |
11106389 | Apparatuses and methods for data transfer from sensing circuitry to a controller | MICRON TECHNOLOGY, INC. | 1 |
11106391 | Managing a memory system including memory devices with different characteristics | MICRON TECHNOLOGY, INC. | 1 |
11106396 | Memory apparatus and compensation method for computation result thereof | -- | 1 |
11106424 | Synchronizing operations among a plurality of independently clocked digital data processing devices | SONOS, INC. | 1 |
11106425 | Synchronizing operations among a plurality of independently clocked digital data processing devices | SONOS, INC. | 1 |
11106518 | Failure mode study based error correction | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11106519 | Automotive electronic control unit reliability and safety during power standby mode | MICRON TECHNOLOGY, INC. | 1 |
11106532 | Selective sampling of a data unit during a program erase cycle based on error rate change patterns | MICRON TECHNOLOGY, INC. | 1 |
11106534 | 3-dimensional NAND flash layer variation aware SSD raid | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11106559 | Memory controller and memory system including the memory controller | SK HYNIX INC. | 1 |
11106575 | Systems and methods for data storage in a memory system | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11106581 | Memory controller and memory system having the memory controller | SK HYNIX INC. | 1 |
11106604 | Variable read latency on a serial memory bus | CYPRESS SEMICONDUCTOR CORPORATION | 1 |
11106865 | Sound on charts | MICROSOFT TECHNOLOGY LICENSING, LLC | 1 |
11106916 | Identifying segment starting locations in video compilations | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11106958 | Orientation-agnostic method to interface to printed memory | XEROX CORPORATION | 1 |
11106966 | Battery-based neural network weights | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11107382 | Shift register and method for driving the same, gate driving circuit and display device | HEFEI BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. | 1 |
11107388 | Gate driving circuit and display device using the same | LG DISPLAY CO., LTD. | 1 |
11107430 | Method of preventing false output of GOA circuit of a liquid crystal display panel | BOE TECHNOLOGY GROUP CO., LTD. | 1 |
11107431 | Display device with shift register segment start signal control in case of malfunction | TIANMA JAPAN, LTD. | 1 |
11107432 | Pulse output circuit, shift register, and display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
11107494 | Data storage device accessing magnetic tape by actuating head in two dimensions | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11107495 | Laser destruction system for hard drives | SERENITY DATA SECURITY, LLC | 1 |
11107496 | Near field transducers including platinum group alloys | SEAGATE TECHNOLOGY LLC | 1 |
11107497 | Recording head with focusing structure | SEAGATE TECHNOLOGY LLC | 1 |
11107498 | Apparatus for producing n-layer optical information carriers and method therefor | SONOPRESS GMBH | 1 |
11107499 | Materials for near field transducers and near field transducers containing same | SEAGATE TECHNOLOGY LLC | 1 |
11107500 | Magnetic disk device and method | KABUSHIKI KAISHA TOSHIBA | 1 |
11107501 | Encrypted data key in medium auxiliary memory | SPECTRA LOGIC CORPORATION | 1 |
11107502 | Method and apparatus for processing a video | VOLKSWAGEN AKTIENGESELLSCHAFT | 1 |
11107503 | Pre-production systems for making movies, TV shows and multimedia contents | WEMOVIE TECHNOLOGIES | 1 |
11107504 | Systems and methods for synchronizing a video signal with an audio signal | LIGHTRICKS LTD | 1 |
11107505 | Cartridge | SONY CORPORATION | 1 |
11107506 | Method and system for combining and editing UAV operation data and video data | SZ DJI TECHNOLOGY CO., LTD. | 1 |
11107507 | Transmitting data signals on separate layers of a memory module, and related methods, systems and apparatuses | MICRON TECHNOLOGY, INC. | 1 |
11107508 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION | 1 |
11107509 | Continuous sensing to determine read points | MICRON TECHNOLOGY, INC. | 1 |
11107510 | Memory bank power coordination including concurrently performing a memory operation in a selected number of memory regions | MICRON TECHNOLOGY, INC. | 1 |
11107511 | Content addressable memory device with charge sharing based selective match line precharging scheme | KOREA UNIVERSITY RESEARCH AND BUSINESS FOUNDATION | 1 |
11107512 | Memory device and memory system including the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11107513 | Spin-orbit torque magnetic memory device using alternating current | KOREA UNIVERSITY RESEARCH AND BUSINESS FOUNDATION | 1 |
11107514 | Electronic device | SK HYNIX INC. | 1 |
11107515 | Ferroelectric memory cells | MICRON TECHNOLOGY, INC. | 1 |
11107516 | Ferroelectric memory devices containing a two-dimensional charge carrier gas channel and methods of making the same | SANDISK TECHNOLOGIES LLC | 1 |
11107517 | Semiconductor memory device and method for refreshing memory with refresh counter | SK HYNIX INC. | 1 |
11107518 | Extending operating temperature of storage device | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11107519 | Techniques for accessing an array of memory cells to reduce parasitic coupling | MICRON TECHNOLOGY, INC. | 1 |
11107520 | Apparatuses and methods for shift decisions | MICRON TECHNOLOGY, INC. | 1 |
11107521 | Semiconductor memory device with cache latches | SK HYNIX INC. | 1 |
11107522 | Multi-level cell programming using optimized multiphase mapping with balanced gray code | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11107523 | Multi-level cell (MLC) cross-point memory | INTEL CORPORATION | 1 |
11107524 | Methods and apparatus for resisitive memory device for sense margin compensation | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11107525 | Phase change memory with supply voltage regulation circuit | STMICROELECTRONICS S.R.L. | 1 |
11107526 | Controlling forming process in RRAM devices using feedback circuits | TETRAMEM INC. | 1 |
11107527 | Reducing sneak current path in crossbar array circuits | TETRAMEM INC. | 1 |
11107528 | Multi-step reset technique to enlarge memory window | -- | 1 |
11107529 | Molecular synthesis device | IMEC VZW | 1 |
11107530 | Non-volatile static random access memory (nvSRAM) with multiple magnetic tunnel junction cells | -- | 1 |
11107531 | Search circuits, hammer address management circuits, and memory systems including the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11107532 | Memory device and method of operating memory device | SK HYNIX INC. | 1 |
11107533 | Memory with improved cross temperature reliability and read performance | MICRON TECHNOLOGY, INC. | 1 |
11107534 | Memory device and control method thereof for fast read | -- | 1 |
11107535 | Memory device with adaptive noise and voltage suppression during read-while-write operations | ADESTO TECHNOLOGIES CORPORATION | 1 |
11107536 | Apparatus for determining data states of memory cells | MICRON TECHNOLOGY, INC. | 1 |
11107537 | Memory device and method of reading data | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11107538 | Storage device and operating method thereof | SK HYNIX INC. | 1 |
11107539 | Semiconductor device and its power supply control method | RENESAS ELECTRONICS CORPORATION | 1 |
11107540 | Program disturb improvements in multi-tier memory devices including improved non-data conductive gate implementation | SANDISK TECHNOLOGIES LLC | 1 |
11107541 | Memory device and method of operating the memory device | SK HYNIX INC. | 1 |
11107542 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION | 1 |
11107543 | Adjustment of read and write voltages using a space between threshold voltage distributions | MICRON TECHNOLOGY, INC. | 1 |
11107544 | Semiconductor memory device including non-volatile storage circuit and operating method thereof | SK HYNIX INC. | 1 |
11107545 | Shift register, gate drive circuit and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. | 1 |
11107546 | Memory device and operating method thereof | SK HYNIX INC. | 1 |
11107547 | Semiconductor devices and semiconductor systems that operate with strobe signal during test mode | SK HYNIX INC. | 1 |
11107548 | Leveraging chip variability | MICROSOFT TECHNOLOGY LICENSING, LLC | 1 |
11107549 | At-risk memory location identification and management | MICROSOFT TECHNOLOGY LICENSING, LLC | 1 |
11107550 | Self-adaptive read voltage adjustment using boundary error statistics for memories with time-varying error rates | MICRON TECHNOLOGY, INC. | 1 |
11107615 | Magnetization rotational element, magnetoresistance effect element, and memory device | TDK CORPORATION | 1 |
11107721 | 3D semiconductor device and structure with NAND logic | MONOLITHIC 3D INC. | 1 |
11107824 | Semiconductor device and manufacturing method thereof | SK HYNIX INC. | 1 |
11107825 | Flash memory structure with enhanced floating gate | -- | 1 |
11107826 | Semiconductor memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11107835 | BEOL cross-bar array ferroelectric synapse units for domain wall movement | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11107838 | Transistor comprising an oxide semiconductor | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
11107840 | Method for fabricating a semiconductor device comprising an oxide semiconductor | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
11107859 | Memory cell with unipolar selectors | -- | 1 |
11107886 | Memory device and method of fabricating the memory device | -- | 1 |
11107974 | Magnetic tunnel junction devices including a free magnetic trench layer and a planar reference magnetic layer | SPIN MEMORY, INC. | 1 |
11107975 | Magnetic tunnel junction structures and related methods | -- | 1 |
11107976 | Magnetic tunnel junction, spintronics device using same, and method for manufacturing magnetic tunnel junction | NATIONAL INSTITUTE FOR MATERIALS SCIENCE | 1 |
11107977 | Seed layer for multilayer magnetic materials | -- | 1 |
11107978 | Methods of manufacturing three-dimensional arrays with MTJ devices including a free magnetic trench layer and a planar reference magnetic layer | SPIN MEMORY, INC. | 1 |
11107980 | MRAM fabrication and device | -- | 1 |
11107983 | Resistive random access memory array and manufacturing method thereof | -- | 1 |
11107984 | Protuberant contacts for resistive switching devices | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11107985 | Materials and components in phase change memory devices | INTEL CORPORATION | 1 |
11107986 | Resistive random access memory device | -- | 1 |
11107987 | Semiconductor storage device | TOSHIBA MEMORY CORPORATION | 1 |
11107988 | Resistive random access memory device comprising metal halide and method for preparing the same | RESEARCH AND BUSINESS FOUNDATION SUNGKYUNKWAN UNIVERSITY | 1 |
11107989 | Memory cell with magnetic layers for reset operation | -- | 1 |
11108178 | Electronic device with housing storing electronic component | KABUSHIKI KAISHA TOSHIBA | 1 |
11108383 | Clock phase control | BAE SYSTEMS INFORMATION AND ELECTRONIC SYSTEMS INTEGRATION INC. | 1 |
11108395 | Memory cell and memory cell array of magnetoresistive random access memory operated by negative voltage | -- | 1 |
11108510 | Communication channel calibration for drift conditions | RAMBUS INC. | 1 |
11108572 | Physically unclonable function device with a load circuit to generate bias to sense amplifier | -- | 1 |
11108863 | Tag operating system | TEGO, INC. | 1 |
11109048 | Encoding and decoding selectively retrievable representations of video content | GOPRO, INC. | 1 |
11109090 | Apparatus and methods for automated highlight reel creation in a content delivery network | TIME WARNER CABLE ENTERPRISES LLC | 1 |
11109482 | Electronic device | KABUSHIKI KAISHA TOSHIBA | 1 |
11112388 | Operation of an ultrasonic sensor | INVENSENSE, INC. | 1 |
11112468 | Magnetoresistive sensor array for molecule detection and related detection schemes | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11112811 | On-chip parameter generation system with an integrated calibration circuit | MARVELL ASIA PTE, LTD. | 1 |
11112813 | Distributed low-dropout voltage regulator (LDO) with uniform power delivery | SHENZHEN GOODIX TECHNOLOGY CO., LTD. | 1 |
11112842 | Resetting qubits in a superconducting quantum processor | RIGETTI & CO, INC. | 1 |
11112931 | System and method for providing three-dimensional graphical user interface | -- | 1 |
11112981 | Apparatus and method and computer program product for configuring impedance of memory interfaces | -- | 1 |
11112982 | Power optimization for memory subsystems | MICRON TECHNOLOGY, INC. | 1 |
11112983 | Recovery of memory device from a reduced power state | MICRON TECHNOLOGY, INC. | 1 |
11112990 | Managing storage device evacuation | PURE STORAGE, INC. | 1 |
11112997 | Storage device and operating method thereof | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11113022 | Method, system and interface for controlling a subwoofer in a networked audio system | D&M HOLDINGS, INC. | 1 |
11113129 | Real time block failure analysis for a memory sub-system | MICRON TECHNOLOGY, INC. | 1 |
11113135 | Memory device and method for handling interrupts thereof | -- | 1 |
11113145 | Memory device, semiconductor device, and semiconductor system | SK HYNIX INC. | 1 |
11113164 | Handling errors in buffers | ARM LIMITED | 1 |
11113198 | Timed data transfer between a host system and a memory sub-system | MICRON TECHNOLOGY, INC. | 1 |
11113201 | Flash memory controller, method and associated electronic device for managing priority of quality detection or garbage collection of block | -- | 1 |
11113203 | Controller and method of operating the same | SK HYNIX INC. | 1 |
11113212 | Multi-level receiver with termination-off mode | MICRON TECHNOLOGY, INC. | 1 |
11113231 | Method of processing in memory (PIM) using memory device and memory device performing the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11113332 | Systems methods and computer storage media for collecting and processing progressivistic metadata in sports | -- | 1 |
11113373 | Unlocking electronic devices using touch-based sensors | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. | 1 |
11113983 | Video presentation, digital compositing, and streaming techniques implemented via a computer network | STUDY SOCIAL, INC. | 1 |
11113986 | Story machine, control method and control device therefor, storage medium and story machine player system | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. | 1 |
11114010 | Shift register, method for driving same, gate driving circuit, and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. | 1 |
11114055 | Shift register, display panel, and driving method of shift register | HKC CORPORATION LIMITED | 1 |
11114074 | Media-media augmentation system and method of composing a media product | MASHTRAXX LIMITED | 1 |
11114117 | Process for manufacturing magnetic head having a servo read transducer structure with dielectric gap liner and a data read transducer structure with an embedded wear layer between thin and thick shield portions | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11114118 | Disk device | KABUSHIKI KAISHA TOSHIBA | 1 |
11114119 | Thermally-assisted magnetic recording head including a main pole and a plasmon generator | HEADWAY TECHNOLOGIES, INC. | 1 |
11114120 | Plural heat-sink layers for an On-Wafer Laser of a heat-assisted magnetic recording device | SEAGATE TECHNOLOGY LLC | 1 |
11114121 | Air-bearing surface (ABS) design to reduce particle scratch risk | SAE MAGNETICS (H.K.) LTD. | 1 |
11114122 | Magnetic devices with overcoat that includes a titanium oxynitride layer | SEAGATE TECHNOLOGY LLC | 1 |
11114124 | Magnetic disk device | KABUSHIKI KAISHA TOSHIBA | 1 |
11114125 | Disc device with head placement responsive to shock detection | KABUSHIKI KAISHA TOSHIBA | 1 |
11114126 | Disk drive server | SPECTRA LOGIC CORPORATION | 1 |
11114127 | Magnetic disk device having first and second assist elements and write operation method | KABUSHIKI KAISHA TOSHIBA | 1 |
11114128 | Systems and methods of interactive exercising | OUTSIDE INTERACTIVE VISUAL SOLUTIONS CORPORATION | 1 |
11114129 | Information processing apparatus and information processing method | SONY CORPORATION | 1 |
11114130 | Method and device for processing video | BEIJING DAJIA INTERNET INFORMATION TECHNOLOGY CO., LTD. | 1 |
11114131 | System for creating an interactive video using a markup language | BANK OF AMERICA CORPORATION | 1 |
11114132 | Media recording system | AUDIGO LABS INC. | 1 |
11114133 | Video recording method and device | BEIJING MICROLIVE VISION TECHNOLOGY CO., LTD. | 1 |
11114134 | Storage device and its holding bracket | -- | 1 |
11114135 | Apparatus and methods to provide power management for memory devices | OVONYX MEMORY TECHNOLOGY, LLC | 1 |
11114136 | Circuit, system, and method for reading memory-based digital identification devices in parallel | -- | 1 |
11114137 | Bidirectional data pin, clock input pin, shift register, debug circuitry | TEXAS INSTRUMENTS INCORPORATED | 1 |
11114138 | Data structures with multiple read ports | GROQ, INC. | 1 |
11114139 | Stacked memory device, a system including the same and an associated method | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11114140 | One time programmable (OTP) bits for physically unclonable functions | HEFECHIP CORPORATION LIMITED | 1 |
11114141 | Clock generating circuit and memory device including the same | SK HYNIX INC. | 1 |
11114142 | Reference voltage training circuit and semiconductor apparatus including the same | SK HYNIX INC. | 1 |
11114143 | Bipolar decoder for crosspoint memory cells | INTEL CORPORATION | 1 |
11114144 | Magnetoelectric spin orbit logic with paramagnets | INTEL CORPORATION | 1 |
11114145 | Three-dimensional magnetic device and magnetic memory | XI'AN JIAOTONG UNIVERSITY | 1 |
11114146 | Nanosecond non-destructively erasable magnetoresistive random-access memory | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11114147 | Self-boost, source following, and sense-and-hold for accessing memory cells | MICRON TECHNOLOGY, INC. | 1 |
11114148 | Efficient ferroelectric random-access memory wordline driver, decoder, and related circuits | WUXI PETABYTE TECHNOLOGIES CO., LTD. | 1 |
11114149 | Operation methods of ferroelectric memory | WUXI PETABYTE TECHNOLOGIES CO, LTD. | 1 |
11114150 | Memory system with multiple open rows per bank | RAMBUS INC. | 1 |
11114152 | Semiconductor memory device including page buffers | SK HYNIX INC. | 1 |
11114153 | SRAM devices with reduced coupling capacitance | -- | 1 |
11114154 | Voltage retention techniques | ARM LIMITED | 1 |
11114155 | High-density high-bandwidth static random access memory (SRAM) with phase shifted sequential read | MARVELL ASIA PTE, LTD. | 1 |
11114156 | Read spike mitigation in integrated circuit memory | MICRON TECHNOLOGY, INC. | 1 |
11114157 | Low resistance monosilicide electrode for phase change memory and methods of making the same | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11114158 | Reducing column switch resistance errors in RRAM-based crossbar array circuits | TETRAMEM INC. | 1 |
11114159 | Dedicated read voltages for data structures | MICRON TECHNOLOGY, INC. | 1 |
11114160 | Memory device for compensating for current of off cells and operating method thereof | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11114161 | Phase change device configured to modify a plurality of reconfigurable layer regions among a plurality of contacts | UNIVERSITY OF CONNECTICUT | 1 |
11114162 | Non-volatile semiconductor memory device including a first memory bunch and a second memory bunch | KIOXIA CORPORATION | 1 |
11114163 | Memory device and method of operating the same | SK HYNIX INC. | 1 |
11114164 | Programming nonvolatile memory cells through a series of predetermined threshold voltages | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11114165 | Semiconductor devices having increased efficiency in generation of gate-induced drain leakage current without insulation deterioration and methods of operating the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11114166 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION | 1 |
11114167 | Page buffer and memory device including the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11114168 | Sense circuit and sensing operation method in flash memory devices | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
11114170 | Memory system | KIOXIA CORPORATION | 1 |
11114171 | Non-volatile memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11114172 | Memory system and method of operating the same | SK HYNIX INC. | 1 |
11114173 | Semiconductor memory device and method of operating the same | SK HYNIX INC. | 1 |
11114174 | Memory system processing request based on inference and operating method of the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11114175 | Systems and methods for providing a read only memory cell array | QUALCOMM INCORPORATED | 1 |
11114176 | Systems and methods to provide write termination for one time programmable memory cells | QUALCOMM INCORPORATED | 1 |
11114177 | Bidirectional shift register circuit | JAPAN DISPLAY INC. | 1 |
11114178 | Physical defect detection in an integrated memory assembly | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11114179 | Systems and methods for detecting counterfeit memory | BOARD OF TRUSTEES OF THE UNIVERSITY OF ALABAMA, FOR AND ON BEHALF OF THE UNIVERSITY OF ALABAMA IN HUNTSVILLE | 1 |
11114180 | Non-volatile memory device | -- | 1 |
11114181 | Memory devices with redundant memory cells for replacing defective memory cells, and related systems and methods | MICRON TECHNOLOGY, INC. | 1 |
11114186 | Automated clinical documentation system and method | NUANCE COMMUNICATIONS, INC. | 1 |
11114434 | Computation-in-memory in three-dimensional memory device | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
11114438 | Thyristor volatile random access memory and methods of manufacture | TC LAB, INC. | 1 |
11114439 | Multi-division 3D NAND memory device | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
11114445 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11114446 | SRAM with hierarchical bit lines in monolithic 3D integrated chips | INTEL CORPORATION | 1 |
11114449 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
11114456 | Memory stacks having silicon oxynitride gate-to-gate dielectric layers and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
11114465 | Memory device, semiconductor device and associated method | -- | 1 |
11114504 | Semiconductor device including variable resistance layer | SK HYNIX INC. | 1 |
11114548 | Semiconductor device having source and drain in active region and manufacturing method for same | SEMICONDUCTOR MANUFACTURING (SHANGHAI) INTERNATIONAL CORPORATION | 1 |
11114608 | Combined spin-orbit torque and spin-transfer torque switching for magnetoresistive devices and methods therefor | EVERSPIN TECHNOLOGIES INC. | 1 |
11114609 | Tunnel magnetoresistive effect element, magnetic memory, and built-in memory | TDK CORPORATION | 1 |
11114612 | Magnetoresistive random access memory and method for fabricating the same | -- | 1 |
11114615 | Chalcogenide memory device components and composition | MICRON TECHNOLOGY, INC. | 1 |
11114937 | Charge pump circuit | SHANGHAI HUAHONG GRACE SEMICONDUCTOR MANUFACTURING CORPORATION | 1 |
11115006 | Internal latch circuit and method for generating latch signal thereof | INTEGRATED SILICON SOLUTION INC. | 1 |
11115007 | Methods and apparatuses of a two-phase flip-flop with symmetrical rise and fall times | MICRON TECHNOLOGY, INC. | 1 |
11115021 | Impedance calibration circuit and memory device including the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11115024 | Integrated circuit, test method for testing integrated circuit, and electronic device | KABUSHIKI KAISHA TOSHIBA | 1 |
11115039 | Voltage-to-time converter and method for reducing parasitic capacitance and power supply influences | NO. 24 RESEARCH INSTITUTE OF CHINA ELECTRONICS TECHNOLOGY GROUP CORPORATION | 1 |
11115062 | Memory system with adaptive threshold decoding and method of operating such memory system | SK HYNIX INC. | 1 |
11115179 | Signaling system with adaptive timing calibration | RAMBUS INC. | 1 |
11115448 | Identifying insertion points for inserting live content into a continuous content stream | GOOGLE LLC | 1 |
11115621 | Embedding video content in portable document format files | NAUTICA CONSULTING SERVICES INC | 1 |
11115694 | Information processing apparatus, method, and program | SONY CORPORATION | 1 |
11115716 | System and method for audio visual content creation and publishing within a controlled environment | GLOBAL TEL*LINK CORPORATION | 1 |
11115728 | Method and apparatus for creation, distribution, assembly and verification of media | NTECH PROPERTIES, INC. | 1 |
11119191 | LiDAR system and method of driving the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11119523 | Apparatuses and methods for providing reference voltages | MICRON TECHNOLOGY, INC. | 1 |
11119561 | Non-volatile memory system or sub-system | MICRON TECHNOLOGY, INC. | 1 |
11119637 | System and method for flexible configuration of a controlling device | UNIVERSAL ELECTRONICS INC. | 1 |
11119661 | Information processing apparatus, method for controlling information processing apparatus, non-transitory recording medium storing control tool, host device, non-transitory recording medium storing performance evaluation tool, and performance evaluation method for external memory device | TOSHIBA MEMORY CORPORATION | 1 |
11119671 | Method for facilitating a memory system operable in advance during power-up, memory controller therefor, and memory system capable of being operable in advance during power-up | -- | 1 |
11119672 | Dynamic single level cell memory controller | INTEL CORPORATION | 1 |
11119676 | Using spare bits in memory systems | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11119692 | Storage device having wide input/output and method of operating the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11119695 | Memory dispatcher | INFINEON TECHNOLOGIES AG | 1 |
11119697 | Read voltage management based on write-to-read time difference | MICRON TECHNOLOGY, INC. | 1 |
11119698 | Data storage device capable of parallel writing, operating method thereof, and storage system having the same | SK HYNIX INC. | 1 |
11119700 | Receive-side crosstalk cancelation | MICRON TECHNOLOGY, INC. | 1 |
11119838 | Techniques for handling errors in persistent memory | INTEL CORPORATION | 1 |
11119849 | Erasure code-based partial write-in | BEIJING SANKUAI ONLINE TECHNOLOGY CO., LTD | 1 |
11119852 | Memory device having error correction function and error correction method for memory device | RESEARCH AND BUSINESS FOUNDATION SUNGKYUNKWAN UNIVERSITY | 1 |
11119853 | Predicted error correction apparatus, operation method thereof and memory system using the same | SK HYNIX INC. | 1 |
11119857 | Substitute redundant memory | MOSYS, INC. | 1 |
11119908 | Systems and methods for memory system management | MICRON TECHNOLOGY, INC. | 1 |
11119909 | Method and system for in-line ECC protection | TEXAS INSTRUMENTS INCORPORATED | 1 |
11119917 | Neuromorphic memories with split gate flash multi-level cell and method of making the same | GLOBALFOUNDRIES SINGAPORE PTE. LTD. | 1 |
11119934 | Storage device having enhanced map update speed and method thereof | SK HYNIX INC. | 1 |
11119935 | Methods and apparatus to facilitate atomic compare and swap in cache for a coherent level 1 data cache system | TEXAS INSTRUMENTS INCORPORATED | 1 |
11119936 | Error cache system with coarse and fine segments for power optimization | SPIN MEMORY, INC. | 1 |
11119950 | Storage device and method of operating the same | SK HYNIX INC. | 1 |
11120271 | Data processing systems and methods for enhanced augmentation of interactive video content | SECOND SPECTRUM, INC. | 1 |
11120490 | Generating video segments based on video metadata | AMAZON TECHNOLOGIES, INC. | 1 |
11120600 | Animated representation of facial expression | APPLE INC. | 1 |
11120705 | Apparatus, method, and system of cognitive assistance for transforming multimedia content into a cognitive formation | FUVI COGNITIVE NETWORK CORP. | 1 |
11120720 | Shift register unit and driving method thereof, gate driver, display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. | 1 |
11120746 | Shift register unit, gate driving circuit, display device and driving method | HEFEI BOE JOINT TECHNOLOGY CO., LTD. | 1 |
11120762 | Gate driving unit, method for driving the same, gate driving circuitry and display module | HEFEI BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. | 1 |
11120818 | Processing audio with a visual representation of an audio source | NOKIA TECHNOLOGIES OY | 1 |
11120822 | Magnetic disk drive and head adjusting method | KABUSHIKI KAISHA TOSHIBA | 1 |
11120823 | Suspension assembly and disk device | KABUSHIKI KAISHA TOSHIBA | 1 |
11120824 | Bolometric sensor for a heat-assisted magnetic recording device | SEAGATE TECHNOLOGY LLC | 1 |
11120825 | Modifying seek operations mid-seek | SEAGATE TECHNOLOGY LLC | 1 |
11120826 | Digital storage system that includes sensor and circuit to determine contact and/or distance between read/write head and data storage medium | SEAGATE TECHNOLOGY LLC | 1 |
11120827 | Conductive polymers for use in magnetic media | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11120829 | Magnetic recording medium having tin containing seed layer | FUJI ELECTRIC CO., LTD. | 1 |
11120830 | Recording head with transfer-printed laser diode unit formed of non-self-supporting layers | SEAGATE TECHNOLOGY LLC | 1 |
11120831 | Compensation for expansion and contraction across the width of a magnetic tape | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11120832 | Recording and reproducing device, recording and reproducing method, and magnetic tape cartridge | FUJIFILM CORPORATION | 1 |
11120833 | Magnetic disk device configured to write data according to normal recording and modified shingled recording formats | KABUSHIKI KAISHA TOSHIBA | 1 |
11120834 | Actuators for an elevator drive | SEAGATE TECHNOLOGY LLC | 1 |
11120835 | Collage of interesting moments in a video | GOOGLE LLC | 1 |
11120836 | Editing apparatus and editing method | GRASS VALLEY CANADA | 1 |
11120837 | System and method for use in playing back panorama video content | SONY INTERACTIVE ENTERTAINMENT INC. | 1 |
11120838 | Information processing apparatus, control method, and program | NEC CORPORATION | 1 |
11120839 | Segmenting and classifying video content using conversation | AMAZON TECHNOLOGIES, INC. | 1 |
11120840 | Information processing method and electronic device | LENOVO (BEIJING) CO., LTD. | 1 |
11120841 | Method for automatically detecting video incidents on an electronic video playback device | SAGEMCOM BROADBAND SAS | 1 |
11120842 | Memory system having plural circuits separately disposed from memories | KIOXIA CORPORATION | 1 |
11120843 | Memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11120844 | Power switching for embedded memory | MICRON TECHNOLOGY, INC. | 1 |
11120845 | Voltage regulation circuit | HUAWEI TECHNOLOGIES CO., LTD. | 1 |
11120846 | Sense amplifier based flip-flop capable of resolving metastable state by removing unintentional current from output nodes | SK HYNIX INC. | 1 |
11120847 | Apparatuses and method for reducing row address to column address delay for a voltage threshold compensation sense amplifier | -- | 1 |
11120848 | Method for determining a proper program voltage for a plurality of memory cells | -- | 1 |
11120849 | Semiconductor layered device with data bus | MICRON TECHNOLOGY, INC. | 1 |
11120850 | Performing logical operations using sensing circuitry | MICRON TECHNOLOGY, INC. | 1 |
11120851 | Memory apparatus and burst read and burst write method thereof | -- | 1 |
11120852 | Memory arrays and methods used in forming a memory array | MICRON TECHNOLOGY, INC. | 1 |
11120853 | Semiconductor memory apparatus with a write voltage level detection | SK HYNIX INC. | 1 |
11120854 | Semiconductor device | SK HYNIX INC. | 1 |
11120855 | Semiconductor device including a clock adjustment circuit | MICRON TECHNOLOGY, INC. | 1 |
11120856 | Spin-orbit torque devices | REGENTS OF THE UNIVERSITY OF MINNESOTA | 1 |
11120857 | Low variability reference parameter generation for magnetic random access memory | GLOBALFOUNDRIES U.S. INC. | 1 |
11120858 | Magnetic memory | KIOXIA CORPORATION | 1 |
11120859 | Memory cell sensing with storage component isolation | MICRON TECHNOLOGY, INC. | 1 |
11120860 | Staggering refresh address counters of a number of memory devices, and related methods, devices, and systems | MICRON TECHNOLOGY, INC. | 1 |
11120862 | Non-volatile memory read method for improving read margin | RENESAS ELECTRONICS CORPORATION | 1 |
11120863 | System and method for compensating for SDRAM signal timing drift through periodic write training | QUALCOMM INCORPORATED | 1 |
11120864 | Capacitive processing unit | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11120865 | Apparatuses and methods for implementing access line loads for sense amplifiers for open access line sensing | MICRON TECHNOLOGY, INC. | 1 |
11120866 | Memory device | KIOXIA CORPORATION | 1 |
11120867 | Hardware compression with search string matching | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11120868 | Semiconductor memory device using shared data line for read/write operation | -- | 1 |
11120869 | Quantizing loop memory cell system | NORTHROP GRUMMAN SYSTEMS CORPORATION | 1 |
11120870 | Mixed cross point memory | MICRON TECHNOLOGY, INC. | 1 |
11120871 | Denoising of intrinsic sneak current by cell location in PRAM | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11120872 | Resistive memory devices and methods of operating resistive memory devices | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11120873 | Devices and methods to program a memory cell | OVONYX MEMORY TECHNOLOGY, LLC | 1 |
11120874 | Electronic memory device and a method of manipulating the electronic memory device | CITY UNIVERSITY OF HONG KONG | 1 |
11120875 | Nonvolatile semiconductor memory device with a plurality of memory blocks with memory strings and a shared block decoder to allow the number of selection signals to be reduced | KIOXIA CORPORATION | 1 |
11120876 | Semiconductor memory device | SK HYNIX INC. | 1 |
11120877 | Semiconductor storage device and program method | -- | 1 |
11120878 | Method for writing in EEPROM memory and corresponding integrated circuit | STMICROELECTRONICS (ROUSSET) SAS | 1 |
11120879 | Adjustment of a voltage corresponding to an erase distribution of a memory sub-system in accordance with a selected rule | MICRON TECHNOLOGY, INC. | 1 |
11120880 | Command sequence for hybrid erase mode for high data retention in memory device | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11120881 | Charge pump for use in non-volatile flash memory devices | SILICON STORAGE TECHNOLOGY, INC. | 1 |
11120882 | Error recovery of data in non-volatile memory during read | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11120883 | Semiconductor storage device | KIOXIA CORPORATION | 1 |
11120884 | Implementing logic function and generating analog signals using NOR memory strings | SUNRISE MEMORY CORPORATION | 1 |
11120885 | Using a status indicator in a memory sub-system to detect an event | MICRON TECHNOLOGY, INC. | 1 |
11120886 | Gate driving circuit and shift register controlling method | -- | 1 |
11120887 | Method for writing in a volatile memory and corresponding integrated circuit | STMICROELECTRONICS (ROUSSET) SAS | 1 |
11120933 | Stack cap with a non-magnetic layer including ferromagnetic elements | SEAGATE TECHNOLOGY LLC | 1 |
11121021 | 3D semiconductor device and structure | MONOLITHIC 3D INC. | 1 |
11121078 | SRAM having irregularly shaped metal lines | -- | 1 |
11121087 | Methods of forming a conductive contact structure to an embedded memory device on an IC product and a corresponding IC product | GLOBALFOUNDRIES U.S. INC. | 1 |
11121141 | Semiconductor structure and method for forming the same | -- | 1 |
11121143 | Integrated assemblies having conductive posts extending through stacks of alternating materials | MICRON TECHNOLOGY, INC. | 1 |
11121145 | Memory arrays comprising strings of memory cells and methods used in forming a memory array comprising strings of memory cells | MICRON TECHNOLOGY, INC. | 1 |
11121148 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11121175 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11121178 | Electronic device and method for fabricating electronic device | SK HYNIX INC. | 1 |
11121180 | Three-dimensional memory array | MICRON TECHNOLOGY, INC. | 1 |
11121223 | Control gate structures for field-effect transistors | MICRON TECHNOLOGY, INC. | 1 |
11121246 | 3D semiconductor device and structure with memory | MONOLITHIC 3D INC. | 1 |
11121307 | Semiconductor device and method for fabricating the same | -- | 1 |
11121309 | Magnetic memory devices including magnetic tunnel junctions | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11121310 | Spin electronics element and method of manufacturing thereof | TOHOKU UNIVERSITY | 1 |
11121314 | Large height tree-like sub 30nm vias to reduce conductive material re-deposition for sub 60nm MRAM devices | -- | 1 |
11121316 | Symmetric tunable PCM resistor for artificial intelligence circuits | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11121317 | Low resistance crosspoint architecture | MICRON TECHNOLOGY, INC. | 1 |
11121318 | Tunable forming voltage for RRAM device | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11121647 | Contact pad features | MAGNECOMP CORPORATION | 1 |
11121706 | Duty cycle correction circuit and semiconductor system | SK HYNIX INC. | 1 |
11121710 | Semiconductor device | KIOXIA CORPORATION | 1 |
11121711 | Method for multiplexing between power supply signals for voltage limited circuits | APPLE INC. | 1 |
11121712 | Level shifters with variably adjusted control voltage and for reading memory cells | INFINEON TECHNOLOGIES AG | 1 |
11121714 | Apparatuses and methods for identifying memory devices of a semiconductor device sharing an external resistance | MICRON TECHNOLOGY, INC. | 1 |
11121729 | Read channel buffer management for higher throughput decoding | SEAGATE TECHNOLOGY LLC | 1 |
11122221 | Semiconductor image detector having redundant memory and/or memory bypass | SHENZHEN XPECTVISION TECHNOLOGY CO., LTD. | 1 |
11122252 | Image processing device, display device, information recording medium, image processing method, and program for virtual reality content | SONY CORPORATION | 1 |
11122313 | Method and system for secure over-the-top live video delivery | ERICSSON AB | 1 |
11125809 | Chip testing device | ONE TEST SYSTEMS | 1 |
11125816 | Method of testing memory device employing limited number of test pins and memory device utilizing same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
11125819 | Comparator | MICRON TECHNOLOGY, INC. | 1 |
11125836 | Magnetic sensor manufacturing | SEAGATE TECHNOLOGY LLC | 1 |
11125840 | Ultra-low RA and high TMR magnetic sensor with radiation reflective lead | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11126082 | Photopolymer composition | LG CHEM, LTD. | 1 |
11126238 | Open channel solid state drive, nonvolatile memory system including the same and method of power loss protection of open channel solid state drive | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11126248 | Data storage device detecting supply current limit | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11126251 | Power management in memory | MICRON TECHNOLOGY, INC. | 1 |
11126317 | Systems and methods for bulk redaction of recorded data | AXON ENTERPRISE, INC. | 1 |
11126362 | Migrating storage data | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11126373 | Semiconductor device and data processing system | RENESAS ELECTRONICS CORPORATION | 1 |
11126402 | Ternary computation memory systems and circuits employing binary bit cell-XNOR circuits particularly suited to deep neural network (DNN) computing | QUALCOMM INCORPORATED | 1 |
11126431 | Dynamic memory scheduling routine with enhanced bank-group batching | QUALCOMM INCORPORATED | 1 |
11126497 | Method of equalizing bit error rates of memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11126498 | Memory device with configurable error correction modes | MICRON TECHNOLOGY, INC. | 1 |
11126500 | Error detection and correction with integrity checking | MICROSOFT TECHNOLOGY LICENSING, LLC | 1 |
11126502 | Systems and methods for proactively preventing and predicting storage media failures | DELL PRODUCTS L.P. | 1 |
11126511 | Memory-based distributed processor architecture | NEUROBLADE, LTD. | 1 |
11126548 | Accelerated in-memory cache with memory array sections having different configurations | MICRON TECHNOLOGY, INC. | 1 |
11126550 | Integrating a resistive memory system into a multicore CPU die to achieve massive memory parallelism | CROSSBAR, INC | 1 |
11126557 | Apparatuses and methods for cache operations | MICRON TECHNOLOGY, INC. | 1 |
11126560 | System-on-chip module for avoiding redundant memory access | -- | 1 |
11126565 | Encrypted memory access using page table attributes | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP | 1 |
11126641 | Optimized data distribution system | TECHNION RESEARCH & DEVELOPMENT FOUNDATION LIMITED | 1 |
11126856 | Contextualized video segment selection for video-filled text | ADOBE INC. | 1 |
11126922 | Extracting live camera colors for application to a digital design | ADOBE INC. | 1 |
11127326 | Shift register unit, method for driving shift register unit, gate drive circuit, and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. | 1 |
11127330 | Shift register allowing narrower bezel and display apparatus based thereon | CENTURY TECHNOLOGY (SHENZHEN) CORPORATION LIMITED | 1 |
11127336 | Gate on array (GOA) unit, gate driver circuit and display device | BOE TECHNOLOGY GROUP CO., LTD. | 1 |
11127340 | Stage circuit and scan driver including the same | SAMSUNG DISPLAY CO., LTD. | 1 |
11127355 | Shift register, gate driving circuit, display device and driving method | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. | 1 |
11127415 | Processing audio with an audio processing operation | NOKIA TECHNOLOGIES OY | 1 |
11127417 | Dual writer for advanced magnetic recording | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11127418 | Write current switching using an effective size of a media thermal spot produced by a heat-assisted magnetic storage device | SEAGATE TECHNOLOGY LLC | 1 |
11127419 | Thermal spot-dependent write method and apparatus for a heat-assisted magnetic storage device | SEAGATE TECHNOLOGY LLC | 1 |
11127420 | Seed layer for spin torque oscillator in microwave assisted magnetic recording device | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11127421 | Heat-assisted magnetic recording (HAMR) write head with improved corrosion resistance and method for making the head | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11127422 | Magnetic read sensors and related methods having a rear hard bias and no AFM layer | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11127423 | Devices including at least one adhesion layer and methods of forming adhesion layers | SEAGATE TECHNOLOGY LLC | 1 |
11127424 | Thermally-assisted magnetic recording head having active layer with quantum dot structure | SAE MAGNETICS (H.K.) LTD. | 1 |
11127426 | Magnetic disk device and head position correction method | KABUSHIKI KAISHA TOSHIBA | 1 |
11127427 | Magnetic disk device and servo write method | KABUSHIKI KAISHA TOSHIBA | 1 |
11127429 | Magnetic recording medium including aniline material, method for manufacturing same, and laminate for heat transfer | DIC CORPORATION | 1 |
11127430 | Robotic confirmation of column straightness | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11127431 | Low bandwidth consumption online content editing | WEVIDEO, INC | 1 |
11127432 | User interface for method for creating a custom track | ROSE TRADING LLC | 1 |
11127433 | System and method for embedding versatile graphics | ICHANNEL.IO LTD. | 1 |
11127434 | Timecode generator with global accuracy and flexible framerate | -- | 1 |
11127435 | Wearable camera | PANASONIC I-PRO SENSING SOLUTIONS CO., LTD. | 1 |
11127436 | Memory array with access line control having a shunt sense line | MICRON TECHNOLOGY, INC. | 1 |
11127437 | Managing startups of bandgap reference circuits in memory systems | -- | 1 |
11127438 | Background interface training using secondary senses | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11127439 | Semiconductor device including first-in first-out circuit | LAPIS SEMICONDUCTOR CO., LTD. | 1 |
11127440 | Pseudo static random access memory and method for operating pseudo static random access memory | -- | 1 |
11127441 | Semiconductor storage device | KIOXIA CORPORATION | 1 |
11127442 | Data transfers between a memory and a distributed compute array | XILINX, INC. | 1 |
11127443 | Timing chains for accessing memory cells | MICRON TECHNOLOGY, INC. | 1 |
11127444 | Signal receiver with skew-tolerant strobe gating | RAMBUS INC. | 1 |
11127445 | Magnetic device | TOSHIBA MEMORY CORPORATION | 1 |
11127446 | Stochastic memristive devices based on arrays of magnetic tunnel junctions | NORTHWESTERN UNIVERSITY | 1 |
11127447 | Voltage-controlled magnetic anisotropic magnetic random access memory and storage state determining method therefor | SHANGHAI IC R&D CENTER CO., LTD | 1 |
11127448 | Resistance change memory device and associated methods | KIOXIA CORPORATION | 1 |
11127449 | Sensing a memory cell | MICRON TECHNOLOGY, INC. | 1 |
11127450 | Pre-writing memory cells of an array | MICRON TECHNOLOGY, INC. | 1 |
11127451 | Memory system with minimized heat generation which includes memory that operates at cryogenic temperature | SK HYNIX INC. | 1 |
11127453 | Memory device of performing precharge operation and method of operating the same | SK HYNIX INC. | 1 |
11127454 | Semiconductor memory device and operating method thereof | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11127455 | Fin-FET gain cells | BAR-ILAN UNIVERSITY | 1 |
11127456 | Nonvolatile memory device and method of programing with capability of detecting sudden power off | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11127457 | Memory device with reduced read disturbance and method of operating the memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11127458 | Non-uniform state spacing in multi-state memory element for low-power operation | APPLIED MATERIALS, INC. | 1 |
11127459 | Memory devices and methods of forming the same | GLOBALFOUNDRIES SINGAPORE PTE. LTD. | 1 |
11127460 | Resistive random access memory matrix multiplication structures and methods | CROSSBAR, INC. | 1 |
11127461 | Three-dimensional vertical NOR flash thin-film transistor strings | SUNRISE MEMORY CORPORATION | 1 |
11127462 | Multi-chip package with reduced calibration time and ZQ calibration method thereof | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11127463 | Memory device and method of operation | MICRON TECHNOLOGY, INC. | 1 |
11127464 | Method of programming 3D memory device and related 3D memory device | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
11127465 | Non-volatile memory device and programming method thereof | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11127466 | Read data sorting method and storage device for sequentially transmitting read data of continuous logic block addresses to host | SHENZHEN EPOSTAR ELECTRONICS LIMITED CO. | 1 |
11127467 | Hybrid erase mode for high data retention in memory device | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11127468 | Method for addressing a non-volatile memory on I<sup>2</sup>C bus and corresponding memory device | STMICROELECTRONICS (ROUSSET) SAS | 1 |
11127469 | Nonvolatile semiconductor storage device | FLOADIA CORPORATION | 1 |
11127470 | Semiconductor memory device | KIOXIA CORPORATION | 1 |
11127471 | Read retry threshold voltage selection | SK HYNIX INC. | 1 |
11127472 | Memory device for changing pass voltage | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11127473 | Memory apparatus and data reading method thereof | -- | 1 |
11127474 | Memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11127475 | Memory device controlling operating voltage of select transistor and method of operating the same | SK HYNIX INC. | 1 |
11127476 | Memory system controlling a threshold voltage in a read operation and method | KIOXIA CORPORATION | 1 |
11127477 | E-fuse circuit | -- | 1 |
11127478 | Shift register unit and driving method thereof, gate driving circuit, and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. | 1 |
11127479 | Memory system quality margin analysis and configuration | MICRON TECHNOLOGY, INC. | 1 |
11127480 | System and method for short circuit detection | DELL PRODUCTS L.P. | 1 |
11127481 | Managing execution of scrub operations in a memory sub-system | MICRON TECHNOLOGY, INC. | 1 |
11127482 | Detection circuitry to detect a deck of a memory array | MICRON TECHNOLOGY, INC. | 1 |
11127641 | Spin-current magnetization reversal element, magnetoresistance effect element, and magnetic memory | TDK CORPORATION | 1 |
11127649 | Electronic apparatus | TOSHIBA MEMORY CORPORATION | 1 |
11127717 | Semiconductor device including memory cell arrays and method of manufacturing the same | TOSHIBA MEMORY CORPORATION | 1 |
11127728 | Three-dimensional semiconductor chip containing memory die bonded to both sides of a support die and methods of making the same | SANDISK TECHNOLOGIES LLC | 1 |
11127738 | Back biasing of FD-SOI circuit blocks | XCELSIS CORPORATION | 1 |
11127744 | Memory devices and methods of forming memory devices | MICRON TECHNOLOGY, INC. | 1 |
11127749 | Single poly non-volatile memory device, method of manufacturing the same and single poly non-volatile memory device array | KEY FOUNDRY CO., LTD. | 1 |
11127751 | Back gates and related apparatuses, systems, and methods | MICRON TECHNOLOGY, INC. | 1 |
11127784 | Integrated circuits with embedded memory structures and methods for fabricating the same | GLOBALFOUNDRIES SINGAPORE PTE. LTD. | 1 |
11127786 | Magnetic memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11127787 | Magnetic memory cell including two-terminal selector device | AVALANCHE TECHNOLOGY, INC. | 1 |
11127788 | Semiconductor device having magnetic tunnel junction (MTJ) stack | -- | 1 |
11127790 | Phase change memory structure and manufacturing method for the same | -- | 1 |
11127792 | Three dimensional semiconductor memory devices | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11127858 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
11127861 | Multi-gate thin film transistor memory | INTEL CORPORATION | 1 |
11127896 | Shared spin-orbit-torque write line in a spin-orbit-torque MRAM | EVERSPIN TECHNOLOGIES, INC. | 1 |
11127897 | Nonvolatile memory cells having an embedded selection element and nonvolatile memory cell arrays including the nonvolatile memory cells | SK HYNIX INC. | 1 |
11127899 | Conductive interconnects suitable for utilization in integrated assemblies, and methods of forming conductive interconnects | MICRON TECHNOLOGY, INC. | 1 |
11128283 | Emphasis circuit and transmitter including the same | SK HYNIX INC. | 1 |
11128286 | Measurement, calibration and tuning of memory bus duty cycle | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11128287 | Comparator with configurable operating modes | ANALOG DEVICES, INC. | 1 |
11128302 | Configurable processor doublet based on three-dimensional memory (3D-M) | HANGZHOU HAICUN INFORMATION TECHNOLOGY CO., LTD. | 1 |
11128303 | Three-dimensional memory (3D-M)-based configurable processor singlet | HANGZHOU HAICUN INFORMATION TECHNOLOGY CO., LTD. | 1 |
11128314 | Error characteristic estimation for NAND flash | SK HYNIX INC. | 1 |
11128553 | Technologies for switching network traffic in a data center | INTEL CORPORATION | 1 |
11128811 | Information processing apparatus and information processing method | SONY CORPORATION | 1 |
11128812 | Generating content for a virtual reality system | VERIZON PATENT AND LICENSING INC. | 1 |
11128815 | Device, method and computer program for extracting object from video | KT CORPORATION | 1 |
11128833 | Remotely accessed virtual recording room | ONSTREAM MEDIA CORPORATION | 1 |
11128852 | Recording medium, playback device, and playback method | PANASONIC INTELLECTUAL PROPERTY CORPORATION OF AMERICA | 1 |
11128853 | Seamless transitions in large-scale video | JBF INTERLUDE 2009 LTD | 1 |
11128895 | Pause and replay of media content through bookmarks on a server device | III HOLDINGS 1, LLC | 1 |
11128920 | Automatic contact information transmission system | TIVO SOLUTIONS INC. | 1 |
11130994 | Automated, cloud-based, point-of-care (POC) pathogen and antibody array detection system and method | AUTONOMOUS MEDICAL DEVICES INC. | 1 |
11131697 | System and method for combining a remote audio source with an animatronically controlled puppet | -- | 1 |
11132037 | Operating temperature management of a memory sub-system | MICRON TECHNOLOGY, INC. | 1 |
11132042 | Drive carrier assemblies | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP | 1 |
11132127 | Interconnect systems and methods using memory links to send packetized data between different data handling devices of different memory domains | MICRON TECHNOLOGY, INC. | 1 |
11132142 | Systems and methods for writing zeros to a memory array | MICRON TECHNOLOGY, INC. | 1 |
11132170 | Adjusting volume levels | SONOS, INC. | 1 |
11132176 | Non-volatile computing method in flash memory | -- | 1 |
11132253 | Direct-input redundancy scheme with dedicated error correction code circuit | MICRON TECHNOLOGY, INC. | 1 |
11132254 | Semiconductor integrated circuit, storage device, and error correction method | LAPIS SEMICONDUCTOR CO., LTD. | 1 |
11132255 | Methods and systems for implementing redundancy in memory controllers | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11132298 | Apparatus and method for implementing a multi-level memory hierarchy having different operating modes | INTEL CORPORATION | 1 |
11132311 | Interface for memory having a cache and multiple independent arrays | MICRON TECHNOLOGY, INC. | 1 |
11132312 | Method of controlling initialization of nonvolatile memory device and memory system including nonvolatile memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11132469 | Suspicious activity monitoring memory system | MICRON TECHNOLOGY, INC. | 1 |
11132533 | Systems and methods for creating target motion, capturing motion, analyzing motion, and improving motion | -- | 1 |
11132763 | Creating shockwaves in three-dimensional depth videos and images | SNAP INC. | 1 |
11132807 | Display control apparatus and display control method for receiving a virtual viewpoint by a user operation and generating and displaying a virtual viewpoint image | CANON KABUSHIKI KAISHA | 1 |
11132927 | Shift register and driving method thereof, gate driving circuit and display device | BOE TECHNOLOGY GROUP CO., LTD. | 1 |
11132947 | OLED display substrate and OLED display apparatus | HEFEI BOE JOINT TECHNOLOGY CO., LTD. | 1 |
11132974 | Data transmission circuit, display device and data transmission method | BOE TECHNOLOGY GROUP CO., LTD. | 1 |
11133028 | Magnetoresistance effect element | TDK CORPORATION | 1 |
11133029 | Tape head with element-to-element spacing and adjustment and mechanism to control the spacing | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11133030 | Hard drive assembly with onboard tuned data memory | SEAGATE TECHNOLOGY LLC | 1 |
11133031 | Multilayer exchange spring recording media | -- | 1 |
11133032 | Reader instability detection and recovery | SEAGATE TECHNOLOGY LLC | 1 |
11133033 | Cinematic space-time view synthesis for enhanced viewing experiences in computing environments | INTEL CORPORATION | 1 |
11133034 | Recording medium, playback method, and playback device | PANASONIC INTELLECTUAL PROPERTY CORPORATION OF AMERICA | 1 |
11133035 | Recording medium, playback device, and playback method | PANASONIC INTELLECTUAL PROPERTY CORPORATION OF AMERICA | 1 |
11133036 | System and method for associating audio feeds to corresponding video feeds | INSOUNDZ LTD. | 1 |
11133037 | System and method for generating dynamic media | IDOMOO LTD | 1 |
11133038 | Multi-die peak power management for three-dimensional memory | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
11133039 | Power switch control in a memory device | -- | 1 |
11133040 | Semiconductor memory device and a memory system having the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11133041 | Memory and calibration and operation methods thereof for reading data in memory cells | WUXI PETABYTE TECHNOLOGIES CO, LTD. | 1 |
11133042 | Semiconductor memory system and semiconductor memory device, which can be remotely initialized | SK HYNIX INC. | 1 |
11133043 | Configurable control of integrated circuits | ARM LIMITED | 1 |
11133044 | Interleaved routing for MRAM cell selection | -- | 1 |
11133045 | Magnetoresistive random access memory (MRAM) bit cell with a narrow write window distribution | SYNOPSYS, INC. | 1 |
11133046 | Data writing device for variable-resistance memory element and non-volatile flip-flop | TOHOKU UNIVERSITY | 1 |
11133047 | Digit line management for a ferroelectric memory array | MICRON TECHNOLOGY, INC. | 1 |
11133048 | Charge-mirror based sensing for ferroelectric memory | MICRON TECHNOLOGY, INC. | 1 |
11133049 | 3D memory array clusters and resulting memory architecture | TC LAB, INC. | 1 |
11133050 | Memory device, memory system, and method for refreshing memory device | SK HYNIX INC. | 1 |
11133051 | Memory devices and methods of controlling an auto-refresh operation of the memory devices | SK HYNIX INC. | 1 |
11133052 | Feedback for power management of a memory die using shorting | MICRON TECHNOLOGY, INC. | 1 |
11133053 | Sensing and tuning for memory die power management | MICRON TECHNOLOGY, INC. | 1 |
11133054 | Semiconductor devices performing for column operation | SK HYNIX INC. | 1 |
11133055 | Electronic device to perform read operation and mode register read operation | SK HYNIX INC. | 1 |
11133056 | Two-stage signaling for voltage driver coordination in integrated circuit memory devices | MICRON TECHNOLOGY, INC. | 1 |
11133057 | Memory array with bit-lines connected to different sub-arrays through jumper structures | -- | 1 |
11133058 | Analog computing architecture for four terminal memory devices | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11133059 | Non-volatile memory die with deep learning neural network | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11133060 | Data storage device and operating method thereof | SK HYNIX INC. | 1 |
11133061 | Disturb management based on write times | MICRON TECHNOLOGY, INC. | 1 |
11133062 | Two memory cells sensed to determine one data value | MICRON TECHNOLOGY, INC. | 1 |
11133063 | Suppressing undesired programming at half-selected devices in a crosspoint array of 3-terminal resistive memory | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11133064 | Latch-type sense amplifier for a non-volatile memory with reduced margin between supply voltage and bitline-selection voltage | STMICROELECTRONICS S.R.L. | 1 |
11133065 | Architecture for ternary content-addressable memory search | MICRON TECHNOLOGY, INC. | 1 |
11133066 | Semiconductor memory device | KIOXIA CORPORATION | 1 |
11133067 | Multi-phased programming with balanced gray coding | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11133068 | Memory device, memory controller, memory system and method for operating memory system | SK HYNIX INC. | 1 |
11133069 | Memory controller to determine an optimal read voltage, operating method thereof and storage device including the same | SK HYNIX INC. | 1 |
11133070 | Nonvolatile memory devices and methods of reading the nonvolatile memory devices | SK HYNIX SYSTEM IC INC. | 1 |
11133071 | Charge loss failure mitigation | MICRON TECHNOLOGY, INC. | 1 |
11133072 | Data storage apparatus, and internal voltage trimming circuit and trimming method therefor | SK HYNIX INC. | 1 |
11133073 | Memory device and memory system including the same | SK HYNIX INC. | 1 |
11133074 | Instant and permanent self-destruction method in 3D NAND for data security purpose | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11133075 | Managed NAND power management | MICRON TECHNOLOGY, INC. | 1 |
11133076 | Efficient relocation of data between storage devices of a storage system | PURE STORAGE, INC. | 1 |
11133077 | Method of programming multi-plane memory device for accelerating program speed and reducing program disturbance | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
11133078 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
11133079 | Shift register unit and gate drive circuit | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. | 1 |
11133080 | Memory device and test operation method thereof | SK HYNIX INC. | 1 |
11133081 | Receiver training of reference voltage and equalizer coefficients | RAMBUS INC. | 1 |
11133082 | Non-volatile semiconductor memory device and method for driving the same | KIOXIA CORPORATION | 1 |
11133083 | Read model of memory cells using information generated during read operations | MICRON TECHNOLOGY, INC. | 1 |
11133252 | Three-dimensional memory device containing horizontal and vertical word line interconnections and methods of forming the same | SANDISK TECHNOLOGIES LLC | 1 |
11133293 | Three-dimensional memory device with three-dimensional phase-change memory | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
11133313 | Asymmetric semiconductor memory device having electrically floating body transistor | ZENO SEMICONDUCTOR, INC. | 1 |
11133321 | Semiconductor device and method of fabricating the same | -- | 1 |
11133322 | Dual-port static random access memory cell layout structure | SHANGHAI HUALI INTEGRATED CIRCUIT CORPORATION | 1 |
11133323 | High-voltage transistor having shielding gate | TOSHIBA MEMORY CORPORATION | 1 |
11133326 | Semiconductor device and method of manufacturing thereof | RENESAS ELECTRONICS CORPORATION | 1 |
11133329 | 3D and flash memory architecture with FeFET | -- | 1 |
11133330 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
11133335 | Semiconductor device, and display device and electronic device having the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
11133360 | Shift register circuit, display panel, and electronic apparatus | SONY CORPORATION | 1 |
11133456 | Magnetic storage device | TOSHIBA MEMORY CORPORATION | 1 |
11133463 | Memory cells with asymmetrical electrode interfaces | MICRON TECHNOLOGY, INC. | 1 |
11133465 | Methods for forming three-dimensional phase-change memory devices | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
11133466 | Methods for controlling switching characteristics of a correlated electron material device | CERFE LABS, INC. | 1 |
11133492 | Battery structure with stable voltage for neuromorphic computing | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11133816 | Analog-digital converter and semiconductor memory device having the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11133829 | Communciation method using polar code, and wireless device | HUAWEI TECHNOLOGIES CO., LTD. | 1 |
11133831 | Code rate adaptation | SEAGATE TECHNOLOGY LLC | 1 |
11133832 | Data processing method and device | HUAWEI TECHNOLOGIES CO., LTD. | 1 |
11134178 | Video signal output apparatus, control method, and recording medium | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. | 1 |
11134214 | Detection of transitions between text and non-text frames in a video stream | COMCAST CABLE COMMUNICATIONS, LLC | 1 |
11134217 | System that provides video conferencing with accent modification and multiple video overlaying | -- | 1 |
11134284 | Devices for presenting video program segments in accordance with definition documents | THE DIRECTV GROUP, INC. | 1 |
11134578 | Locking device and chassis using locking device | HONGFUJIN PRECISION ELECTRONICS(TIANJIN)CO., LTD. | 1 |
11134788 | Multi-stage memory sensing | MICRON TECHNOLOGY, INC. | 1 |
11136239 | Methods for forming nanotube fabrics with controlled surface roughness and degree of rafting | -- | 1 |
11137022 | Pivot assembly bearing apparatus | MINEBEA MITSUMI INC. | 1 |
11137269 | Encoder and signal processing circuit | MITUTOYO CORPORATION | 1 |
11137785 | On-chip power regulation system for MRAM operation | -- | 1 |
11137808 | Temperature compensation in a memory system | MICRON TECHNOLOGY, INC. | 1 |
11137819 | PHY calibration for active-idle power reduction | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11137821 | Information processing device, image forming apparatus, and method for controlling power saving | KYOCERA DOCUMENT SOLUTIONS INC. | 1 |
11137826 | Multi-track playback of media content during repetitive motion activities | SPOTIFY AB | 1 |
11137828 | Haptic sensation recording and playback | IMMERSION CORPORATION | 1 |
11137844 | Digital jukebox device with improved user interfaces, and associated methods | TOUCHTUNES MUSIC CORPORATION | 1 |
11137854 | Display device with shift register comprising node control circuit for Q and QB node potentials and reset circuit | LG DISPLAY CO., LTD. | 1 |
11137912 | Memory controller and method of operating the same | SK HYNIX INC. | 1 |
11137914 | Non-volatile storage system with hybrid command | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11137917 | Memory controller, memory system having the memory controller, and operating method of the memory controller | SK HYNIX INC. | 1 |
11137919 | Initialisation of a storage device | ARM LIMITED | 1 |
11137932 | Pad indication for device capability | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11137944 | Combined QLC programming method | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11137951 | Image forming apparatus, control method of image forming apparatus, and program | CANON KABUSHIKI KAISHA | 1 |
11137966 | Methods and systems for use in customizing displayed content associated with a portable storage medium | DELUXE MEDIA INC. | 1 |
11138039 | Memory system for removing memory cell fault and method thereof | SK HYNIX INC. | 1 |
11138068 | Memory sub-system codeword quality metrics streaming | MICRON TECHNOLOGY, INC. | 1 |
11138069 | Providing additional parity for non-standard sized parity data sets | SEAGATE TECHNOLOGY, LLC | 1 |
11138070 | Memory system and method performed thereby | KIOXIA CORPORATION | 1 |
11138080 | Apparatus and method for reducing cell disturb in an open block of a memory system during a recovery procedure | SK HYNIX INC. | 1 |
11138105 | Large range defect allocation system and method | SEAGATE TECHNOLOGY LLC | 1 |
11138107 | Modifying subsets of memory bank operating parameters | MICRON TECHNOLOGY, INC. | 1 |
11138144 | Methods and system for an integrated circuit | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC | 1 |
11138261 | Media playable with selectable performers | DONALD HARRISON JR. ENTERPRISES, HARRISON EXTENSIONS, AND MARY AND VICTORIA INC. | 1 |
11138264 | Rapid video search system and method of using the same | DICE CORPORATION | 1 |
11138297 | Sound composition as authentication | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11138493 | Approaching homeostasis in a binary neural network | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11138497 | In-memory computing devices for neural networks | -- | 1 |
11138499 | Applications of back-end-of-line (BEOL) capacitors in compute-in-memory (CIM) circuits | INTEL CORPORATION | 1 |
11138500 | General purpose neural processor | U.S. GOVERNMENT AS REPRESENTED BY THE DIRECTOR, NATIONAL SECURITY AGENCY | 1 |
11138988 | Playing method for playing multi-language contents for education, and data structure and program therefor | -- | 1 |
11138993 | Write efficiency management for tape cartridge writing | FACEBOOK, INC. | 1 |
11138994 | Magnetic head and magnetic recording device having magnetic layers and non-magnetic layers between shield and magnetic pole | KABUSHIKI KAISHA TOSHIBA | 1 |
11138996 | Data storage device employing embedded servo sectors for magnetic tape | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11138997 | Multilayer exchange spring recording media | -- | 1 |
11138998 | Magnetic recording medium, magnetic recording and reproducing device and composition for magnetic recording medium including polyalkyleneimine compound | FUJIFILM CORPORATION | 1 |
11138999 | Recording medium, playback method, and playback device | PANASONIC INTELLECTUAL PROPERTY CORPORATION OF AMERICA | 1 |
11139000 | Method and apparatus for signaling spatial region information | -- | 1 |
11139001 | Control logic assemblies and methods of forming a control logic device | MICRON TECHNOLOGY, INC. | 1 |
11139002 | Interconnection for memory electrodes | MICRON TECHNOLOGY, INC. | 1 |
11139003 | Memory cell device and method for operating a memory cell device | INFINEON TECHNOLOGIES AG | 1 |
11139004 | Charge pump circuit and auxiliary power supply | TEXAS INSTRUMENTS INCORPORATED | 1 |
11139005 | Internal voltage generation device and method for generating internal voltage | SK HYNIX INC. | 1 |
11139006 | Self-biased sense amplification circuit | -- | 1 |
11139007 | Semiconductor storage device and memory system | KIOXIA CORPORATION | 1 |
11139008 | Write leveling | MICRON TECHNOLOGY, INC. | 1 |
11139010 | Memory system and operating method of the memory system | SK HYNIX INC. | 1 |
11139011 | Semiconductor structure and method for forming the same | -- | 1 |
11139012 | Resistive memory device having read currents for a memory cell and a reference cell in opposite directions | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11139013 | Enabling fast pulse operation | MICRON TECHNOLOGY, INC. | 1 |
11139014 | Quick precharge for memory sensing | MICRON TECHNOLOGY, INC. | 1 |
11139015 | Apparatuses and methods for monitoring word line accesses | MICRON TECHNOLOGY, INC. | 1 |
11139016 | Read refresh operation | MICRON TECHNOLOGY, INC. | 1 |
11139017 | Self-activated bias generator | -- | 1 |
11139018 | Memory device with temporary kickdown of source voltage before sensing | SANDISK TECHNOLOGIES LLC | 1 |
11139019 | Apparatuses and methods for delay control error protection | MICRON TECHNOLOGY, INC. | 1 |
11139020 | Memory controller and method of operating the same | SK HYNIX INC. | 1 |
11139021 | Page buffer and memory device including the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11139022 | Source line voltage control for NAND memory | SANDISK TECHNOLOGIES LLC | 1 |
11139023 | Memory operation with double-sided asymmetric decoders | MICRON TECHNOLOGY, INC. | 1 |
11139024 | Semiconductor device | NANOBRIDGE SEMICONDUCTOR, INC. | 1 |
11139025 | Multi-level cell threshold voltage operation of one-selector-one-resistor structure included in a crossbar array | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11139026 | Variable reference based sensing scheme | INTEL CORPORATION | 1 |
11139027 | Apparatus, system and method to reduce a read voltage across a memory cell and improve read sense margin | INTEL CORPORATION | 1 |
11139028 | Nonvolatile memory apparatus for mitigating disturbances and an operating method of the nonvolatile memory apparatus | SK HYNIX INC. | 1 |
11139029 | Memory device and programming method thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
11139030 | Reducing post-read disturb in a nonvolatile memory device | SANDISK TECHNOLOGIES LLC | 1 |
11139031 | Neighbor word line compensation full sequence program scheme | SANDISK TECHNOLOGIES LLC | 1 |
11139032 | Method and apparatus for reading data stored in flash memory by referring to binary digit distribution characteristics of bit sequences read from flash memory | -- | 1 |
11139033 | Semiconductor memory device employing processing in memory (PIM) and operation method of the semiconductor memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11139034 | Data-based polarity write operations | MICRON TECHNOLOGY, INC. | 1 |
11139035 | Memory device error detection with improved scanning | MICRON TECHNOLOGY, INC. | 1 |
11139036 | Using variable voltages to discharge electrons from a memory array during verify recovery operations | INTEL CORPORATION | 1 |
11139037 | Semiconductor memory device including a first memory cell and a second memory cell that share a well region | KIOXIA CORPORATION | 1 |
11139038 | Neighboring or logical minus word line dependent verify with sense time in programming of non-volatile memory | SANDISK TECHNOLOGIES LLC | 1 |
11139039 | Memory device having memory cell and current detection circuit | KIOXIA CORPORATION | 1 |
11139040 | Method of detecting address decoding error | -- | 1 |
11139041 | Stacked semiconductor device and test method thereof | SK HYNIX INC. | 1 |
11139042 | Capacitor health check | MICRON TECHNOLOGY, INC. | 1 |
11139043 | Systems and methods for identifying counterfeit memory | BOARD OF TRUSTEES OF THE UNIVERSITY OF ALABAMA, FOR AND ON BEHALF OF THE UNIVERSITY OF ALABAMA IN HUNTSVILLE | 1 |
11139044 | Memory testing method and memory testing system | -- | 1 |
11139045 | Memory device with a memory repair mechanism and methods for operating the same | MICRON TECHNOLOGY, INC. | 1 |
11139099 | Magnetic field generator | CENTRE NATIONAL DE LA RECHERCHE SCIENTIFIQUE | 1 |
11139237 | Three-dimensional memory device containing horizontal and vertical word line interconnections and methods of forming the same | SANDISK TECHNOLOGIES LLC | 1 |
11139270 | Artificial intelligence processor with three-dimensional stacked memory | KEPLER COMPUTING INC. | 1 |
11139289 | Circuit-protection devices | MICRON TECHNOLOGY, INC. | 1 |
11139300 | Three-dimensional memory arrays with layer selector transistors | INTEL CORPORATION | 1 |
11139301 | Semiconductor device including side surface conductor contact | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
11139307 | Vertical field effect transistor including integrated antifuse | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11139309 | Integrated circuitry, arrays of capacitors of integrated circuitry, and methods used in the fabrication of integrated circuitry | MICRON TECHNOLOGY, INC. | 1 |
11139310 | Semiconductor memory device, electronic apparatus, and method of reading data | SONY SEMICONDUCTOR SOLUTIONS CORPORATION | 1 |
11139323 | Digital circuit having correcting circuit and electronic apparatus thereof | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
11139340 | Spin element and reservoir element | TDK CORPORATION | 1 |
11139341 | Protection of MRAM from external magnetic field using magnetic-field-shielding structure | -- | 1 |
11139389 | Magnetic state element and circuits | INTEL CORPORATION | 1 |
11139429 | Magnetoresistive structure having two dielectric layers, and method of manufacturing same | EVERSPIN TECHNOLOGIES, INC. | 1 |
11139676 | Method for precharging an integrated-circuit supply, and corresponding integrated circuit | STMICROELECTRONICS (ROUSSET) SAS | 1 |
11139804 | Circuit including flip-flop and control element | TIANMA JAPAN, LTD. | 1 |
11139807 | Buffer circuit | TEXAS INSTRUMENTS INCORPORATED | 1 |
11140057 | System and method for monitoring logical network traffic flows using a ternary content addressable memory in a high performance computing environment | ORACLE INTERNATIONAL CORPORATION | 1 |
11140317 | Method and device for managing thumbnail of three-dimensional contents | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11140339 | Video image processing method, apparatus and terminal | TENCENT TECHNOLOGY (SHENZHEN) COMPANY LIMITED | 1 |
11140354 | Method for generating control information based on characteristic data included in metadata | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. | 1 |
11140359 | Disturbance detection in video communications | AVAYA INC. | 1 |
11140372 | Capturing and synchronizing motion with recorded audio/video | D-BOX TECHNOLOGIES INC. | 1 |
11140440 | Methods for creating and distributing art-directable continuous dynamic range video | DISNEY ENTERPRISES, INC. | 1 |
11140458 | System and method for dynamic advertisements driven by real-time user reaction based AB testing and consequent video branching | AIRBNB, INC. | 1 |
11141817 | Optical unit | OLYMPUS CORPORATION | 1 |
11142792 | Single-molecule nanoFET sequencing systems and methods | PACIFIC BIOSCIENCES OF CALIFORNIA, INC. | 1 |
11143689 | Method and system for data collection and analysis for semiconductor manufacturing | OPTIMAL PLUS LTD. | 1 |
11143931 | Reconfigurable interference | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11144027 | Functional safety controls based on soft error information | INTEL CORPORATION | 1 |
11144108 | Optimizing power usage by factoring processor architectural events to PMU | INTEL CORPORATION | 1 |
11144203 | Selectively operable memory device | MICRON TECHNOLOGY, INC. | 1 |
11144214 | Memory authentication | MICRON TECHNOLOGY, INC. | 1 |
11144218 | Array voltage regulating technique to enable data operations on large memory arrays with resistive memory elements | UNITY SEMICONDUCTOR CORPORATION | 1 |
11144228 | Circuit partitioning for a memory device | MICRON TECHNOLOGY, INC. | 1 |
11144241 | Write leveling a memory device | MICRON TECHNOLOGY, INC. | 1 |
11144248 | Memory device and method of operating the same | SK HYNIX INC. | 1 |
11144250 | Method and system for facilitating a persistent memory-centric system | ALIBABA GROUP HOLDING LIMITED | 1 |
11144316 | Current-mode mixed-signal SRAM based compute-in-memory for low power machine learning | -- | 1 |
11144385 | Transmission failure feedback schemes for reducing crosstalk | MICRON TECHNOLOGY, INC. | 1 |
11144386 | Memory controller storing data in approximate memory device based on priority-based ECC, non-transitory computer-readable medium storing program code, and electronic device comprising approximate memory device and memory controller | UNIVERSITY-INDUSTRY COOPERATION GROUP OF KYUNG HEE UNIVERSITY | 1 |
11144388 | Nonvolatile memory device and memory system including nonvolatile memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11144389 | Non-volatile memory program failure recovery via redundant arrays | SEAGATE TECHNOLOGY LLC | 1 |
11144390 | Method, memory controller, and memory system for reading data stored in flash memory | -- | 1 |
11144391 | Techniques for generating symbol-preserving error correction codes | NVIDIA CORPORATION | 1 |
11144392 | Payload distribution in solid state drives | TOSHIBA MEMORY CORPORATION | 1 |
11144449 | Memory system and operation method thereof | SK HYNIX INC. | 1 |
11144452 | Temperature-based data storage processing | MICRON TECHNOLOGY, INC. | 1 |
11144455 | Memory mapping for hibernation | MICRON TECHNOLOGY, INC. | 1 |
11144466 | Memory device with local cache array | INTEL CORPORATION | 1 |
11144471 | Dual address encoding for logical-to-physical mapping | MICRON TECHNOLOGY, INC. | 1 |
11144483 | Apparatuses and methods for writing data to a memory | MICRON TECHNOLOGY, INC. | 1 |
11144591 | Coordinating communication and/or storage based on image analysis | POMVOM LTD. | 1 |
11144767 | Media summary generation | GOPRO, INC. | 1 |
11144824 | Algorithms and circuitry for verifying a value stored during a programming operation of a non-volatile memory cell in an analog neural memory in deep learning artificial neural network | SILICON STORAGE TECHNOLOGY, INC. | 1 |
11144832 | System and method for determining optimal solution in a swarm of solutions using swarm intelligence | COGNIZANT TECHNOLOGY SOLUTIONS INDIA PVT. LTD | 1 |
11144946 | Digital downloading jukebox with revenue-enhancing features | TOUCHTUNES MUSIC CORPORATION | 1 |
11145201 | Ubiquitous visual computing witness | INTEL CORPORATION | 1 |
11145322 | Protecting a sensitive device from corrosion | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11145323 | Accurate skew determination for magnetic tapes experiencing the effects of tape dimensional instability | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11145328 | Magnetic recording medium | SONY CORPORATION | 1 |
11145329 | Recording medium cartridge and drive device | SONY CORPORATION | 1 |
11145330 | Recording tape cartridge | FUJIFILM CORPORATION | 1 |
11145331 | Systems and methods for adaptation of a two-dimensional magnetic recording channel | MARVELL ASIA PTE, LTD. | 1 |
11145332 | Proactively refreshing storage zones within a storage device | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11145333 | Tool capture and presentation system | ADOBE INC. | 1 |
11145334 | Composite video frame replacement | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11145335 | Latch-up prevention circuit for memory storage system | -- | 1 |
11145336 | Program pulse control using environmental parameters | MICRON TECHNOLOGY, INC. | 1 |
11145337 | Sense amplifiers | NANTERO, INC. | 1 |
11145338 | Semiconductor memory device and method of operating the same | SK HYNIX INC. | 1 |
11145339 | Device and method for computing-in-memory | -- | 1 |
11145340 | Data transmission code and interface | NXP B.V. | 1 |
11145341 | Apparatuses and methods for setting a duty cycle adjuster for improving clock duty cycle | MICRON TECHNOLOGY, INC. | 1 |
11145342 | Vertical decoders | MICRON TECHNOLOGY, INC. | 1 |
11145343 | Method for controlling multi-cycle write leveling process in memory system | -- | 1 |
11145344 | One time programmable anti-fuse physical unclonable function | SYNOPSYS, INC. | 1 |
11145345 | Storage element, semiconductor device, magnetic recording array, and method of producing storage element | TDK CORPORATION | 1 |
11145346 | Memory device | KIOXIA CORPORATION | 1 |
11145347 | Memory device and memory circuit | -- | 1 |
11145348 | Circuit structure and method for memory storage with memory cell and MRAM stack | GLOBALFOUNDRIES U.S. INC. | 1 |
11145349 | Physically unclonable function architecture including memory cells with parallel-connected access transistors and common write wordlines | GLOBALFOUNDRIES U.S. INC. | 1 |
11145350 | Memory device for refreshing redundancy area word lines, and refresh method thereof | -- | 1 |
11145351 | Semiconductor devices | SK HYNIX INC. | 1 |
11145352 | Memory with adjustable TSV delay | MICRON TECHNOLOGY, INC. | 1 |
11145353 | Centralized DFE reset generator for a memory device | MICRON TECHNOLOGY, INC. | 1 |
11145354 | Apparatuses and methods to perform duty cycle adjustment with back-bias voltage | MICRON TECHNOLOGY, INC. | 1 |
11145355 | Calibration circuit for controlling resistance of output driver circuit, memory device including the same, and operating method of the memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11145356 | Computation operator in memory and operation method thereof | -- | 1 |
11145357 | Memory system, memory controller and method for operating memory system | SK HYNIX INC. | 1 |
11145358 | Offsetting capacitance of a digit line coupled to storage memory cells coupled to a sense amplifier using offset memory cells | MICRON TECHNOLOGY, INC. | 1 |
11145359 | Reduced retention leakage SRAM | STMICROELECTRONICS INTERNATIONAL N.V. | 1 |
11145360 | Semiconductor memory device and manufacturing method thereof | SK HYNIX INC. | 1 |
11145361 | Superconducting switch | NORTHROP GRUMMAN SYSTEMS CORPORATION | 1 |
11145362 | Method for programming memory system | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
11145363 | Memory device including discharge circuit | SK HYNIX INC. | 1 |
11145364 | Nonvolatile memory apparatus for mitigating read disturbance and system using the same | SK HYNIX INC. | 1 |
11145365 | Data search systems and methods | PEKING UNIVERSITY | 1 |
11145366 | Techniques to mitigate error during a read operation to a memory array | INTEL CORPORATION | 1 |
11145367 | Techniques for read operations | MICRON TECHNOLOGY, INC. | 1 |
11145368 | Method and system for reliable and secure memory erase | MICROCHIP TECHNOLOGY INCORPORATED | 1 |
11145369 | Apparatuses and methods for adjusting write parameters based on a write count | MICRON TECHNOLOGY, INC. | 1 |
11145370 | Apparatuses and methods for segmented SGS lines | MICRON TECHNOLOGY, INC. | 1 |
11145371 | Semiconductor memory device | KIOXIA CORPORATION | 1 |
11145372 | Decoding method, memory controlling circuit unit, and memory storage device | -- | 1 |
11145373 | Method for programming flash memory device and flash memory system | -- | 1 |
11145374 | Memory system, control method thereof, and program | TOSHIBA MEMORY CORPORATION | 1 |
11145375 | Operating method of controller | SK HYNIX INC. | 1 |
11145376 | Memory system and method capable of performing wear leveling | -- | 1 |
11145377 | Memory arrangement and method for operating or testing a memory arrangement | AMS AG | 1 |
11145378 | Methods and apparatus to improve performance while reading a one-time programmable memory | TEXAS INSTRUMENTS INCORPORATED | 1 |
11145379 | Electronic fuse cell array structure | KEY FOUNDRY CO., LTD. | 1 |
11145380 | Analog nonvolatile memory cells using dopant activation | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11145381 | Memory with test function and test method thereof | -- | 1 |
11145382 | Non-volatile memory with a well bias generation circuit | NXP USA, INC. | 1 |
11145383 | Impedance calibration via a number of calibration circuits, and associated methods, devices, and systems | MICRON TECHNOLOGY, INC. | 1 |
11145384 | Memory devices and methods for managing error regions | MICRON TECHNOLOGY, INC. | 1 |
11145385 | System-level test method for flash memory | -- | 1 |
11145386 | Chip testing method, device, electronic apparatus and computer readable medium | CHANGXIN MEMORY TECHNOLOGIES, INC. | 1 |
11145387 | Apparatuses and methods for soft post-package repair | MICRON TECHNOLOGY, INC. | 1 |
11145388 | Memory device and operating method using the same | -- | 1 |
11145389 | Detection and error-handling of high error rate blocks during copyback | INTEL CORPORATION | 1 |
11145625 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION | 1 |
11145645 | Multi-stack three-dimensional memory devices | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
11145647 | Tipless transistors, short-tip transistors, and methods and circuits therefor | UNITED SEMICONDUCTOR JAPAN CO., LTD. | 1 |
11145651 | Transistor gate arrangement to modify driver signal characteristics | ARM LIMITED | 1 |
11145655 | Memory device with reduced-resistance interconnect | -- | 1 |
11145657 | 3D semiconductor device and structure | MONOLITHIC 3D INC. | 1 |
11145664 | Ferroelectric memory IC as well as method of operating the same and method of preparing the same | FUDAN UNIVERSITY | 1 |
11145667 | 3D NAND memory device and method of forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
11145668 | EEPROM cell and array having stacked nanosheet field effect transistors with a common floating gate | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11145670 | Semiconductor storage device and manufacturing method thereof | TOSHIBA MEMORY CORPORATION | 1 |
11145671 | Three-dimensional semiconductor memory device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11145673 | Semiconductor apparatus with multiple tiers, and methods | MICRON TECHNOLOGY, INC. | 1 |
11145676 | Memory device and multi-level memory cell having ferroelectric storage element and magneto-resistive storage element | -- | 1 |
11145805 | Topological spin textures in 3-dimensional magnetic structures | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA | 1 |
11145807 | Electronic device | SK HYNIX INC. | 1 |
11145811 | Resistive memory with core and shell oxides and interface dipoles | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11145812 | Resistive random access memory device | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES | 1 |
11146253 | Receiving circuit to process low-voltage signal with hysteresis | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE | 1 |
11146289 | Techniques to use intrinsic information for a bit-flipping error correction control decoder | INTEL CORPORATION | 1 |
11146290 | Bit-flipping method for decoding LDPC code and system using the same | INNOGRIT TECHNOLOGIES CO., LTD. | 1 |
11146294 | Polar coder with logical three-dimensional memory, communicaton unit, integrated circuit and method therefor | ACCELERCOMM LIMITED | 1 |
11147175 | Data storage system enclosure covers | SEAGATE TECHNOLOGY LLC | 1 |
11147973 | Charge-balanced current-controlled stimulation | AMIRKABIR UNIVERSITY OF TECHNOLOGY | 1 |
11148449 | Photothermal modification of plasmonic structures | DANMARKS TEKNISKE UNIVERSITET | 1 |
11150279 | Device for measuring the current flowing in an inductive load | STMICROELECTRONICS S.R.L. | 1 |
11150681 | Apparatuses and methods for providing reference voltages | MICRON TECHNOLOGY, INC. | 1 |
11150686 | Apparatuses for reducing clock path power consumption in low power dynamic random access memory | MICRON TECHNOLOGY, INC. | 1 |
11150745 | Media device | -- | 1 |
11150809 | Memory controller and storage device including the same | FADU INC. | 1 |
11150813 | Memory system | TOSHIBA MEMORY CORPORATION | 1 |
11150821 | Memory devices with multiple sets of latencies and methods for operating the same | MICRON TECHNOLOGY, INC. | 1 |
11150838 | Memory system and method of operating the memory system | SK HYNIX INC. | 1 |
11150841 | NAND dropped command detection and recovery | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11150843 | Storage device and method of operating the same | SK HYNIX INC. | 1 |
11150844 | Reflow endurance improvements in triple-level cell NAND flash | MICRON TECHNOLOGY, INC. | 1 |
11150847 | Shingled magnetic recording drive mapping using nonvolatile random access memory for persistent updates | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11150864 | Displaying enhancement items associated with an audio recording | MICROSOFT TECHNOLOGY LICENSING, LLC | 1 |
11150873 | Arithmetic apparatus | KABUSHIKI KAISHA TOSHIBA | 1 |
11150903 | Computational memory cell and processing array device using memory cells | GSI TECHNOLOGY, INC. | 1 |
11150971 | Pattern recognition for proactive treatment of non-contiguous growing defects | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11150984 | Systems and methods for multi-zone data tiering for endurance extension in solid state drives | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11150985 | Decoder for memory system and method thereof | SK HYNIX INC. | 1 |
11151040 | Approximate cache memory | PURDUE RESEARCH FOUNDATION | 1 |
11151041 | Tokens to indicate completion of data storage | MICRON TECHNOLOGY, INC. | 1 |
11151042 | Error cache segmentation for power reduction | INTEGRATED SILICON SOLUTION, (CAYMAN) INC. | 1 |
11151289 | Non-rewritable code comparators with memristors and serial resistors | TETRAMEM INC. | 1 |
11151296 | Memory cell array circuit | -- | 1 |
11151439 | Computing in-memory system and method based on skyrmion racetrack memory | ANHUI | 1 |
11151510 | Sharing location information with a recipient | UNITED PARCEL SERVICE OF AMERICA, INC. | 1 |
11151889 | Video presentation, digital compositing, and streaming techniques implemented via a computer network | STUDY SOCIAL INC. | 1 |
11151916 | Data shifting circuit for a current mode display | FACEBOOK TECHNOLOGIES, LLC | 1 |
11151918 | Shift register, gate line driving method, array substrate, and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. | 1 |
11151946 | Shift register unit and driving method, gate driving circuit, and display device | BOE TECHNOLOGY GROUP CO., LTD. | 1 |
11151953 | Liquid crystal display device and electronic device including the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
11152017 | Card reader having chopping drive circuit with cycle shorter than reading gap and control method for card reader | NIDEC SANKYO CORPORATION | 1 |
11152018 | Optimized tape unmount operation | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11152019 | Write transducer with symmetric yoke structure | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11152020 | Electrodeposition of thermally stable alloys | SEAGATE TECHNOLOGY LLC | 1 |
11152021 | Perpendicular magnetic recording (PMR) writer with tunable pole protrusion (TPP) designs for 2 terabytes/platter (TB/P) and beyond | HEADWAY TECHNOLOGIES, INC. | 1 |
11152022 | Warp correction apparatus for plate-like workpiece and warp correction method | NHK SPRING CO., LTD. | 1 |
11152023 | Manufacturing method regulating a relative position between a flexure and a load beam of a disc device suspension, and suspension assembly used in said manufacturing method | NHK SPRING CO., LTD. | 1 |
11152024 | Piezoelectric-based microactuator arrangement for mitigating out-of-plane force and phase variation of flexure vibration | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11152025 | Magnetic disk device | KABUSHIKI KAISHA TOSHIBA | 1 |
11152026 | Substrate for magnetic disks, and magnetic disk | HOYA CORPORATION | 1 |
11152027 | Tape media having synergistic magnetic recording layer and underlayer | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11152028 | Multiplexing method for increasing storage capacity in disc-type holographic storage medium | AMETHYSTUM STORAGE TECHNOLOGY CO., LTD. | 1 |
11152029 | Magnetic disk device and method | KABUSHIKI KAISHA TOSHIBA | 1 |
11152030 | Interface for setting speed and direction of video playback | GOPRO, INC. | 1 |
11152031 | System and method to compress a time frame of one or more videos | CLIPR CO. | 1 |
11152032 | Robust tracking of objects in videos | ADOBE INC. | 1 |
11152033 | Time compressing video content | FREEWHEEL MEDIA, INC. | 1 |
11152034 | Chain-of-manipulation tracking of audio-video content | DISNEY ENTERPRISES INC. | 1 |
11152035 | Image processing device and method of controlling the same | CANON KABUSHIKI KAISHA | 1 |
11152036 | Electronic apparatus and protective cover | SONY CORPORATION | 1 |
11152037 | Semiconductor memory device | KIOXIA CORPORATION | 1 |
11152038 | Testing one-time programmable (OTP) memory with data input capture through sense amplifier circuit | QUALCOMM INCORPORATED | 1 |
11152039 | Input/output line sharing for memory arrays | MICRON TECHNOLOGY, INC. | 1 |
11152040 | Delay calibration oscillators for a memory device | MICRON TECHNOLOGY, INC. | 1 |
11152041 | Data reading method, device, and medium of non-volatile memory | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION | 1 |
11152042 | Inversion signal generation circuit | SK HYNIX INC. | 1 |
11152043 | Semiconductor apparatus capable of controlling the timing of data and control signals related to data input/output | SK HYNIX INC. | 1 |
11152044 | System for performing phase matching operation | SK HYNIX INC. | 1 |
11152045 | Output drivers and semiconductor memory devices having the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11152046 | Sram bit cell retention | APPLE INC. | 1 |
11152047 | Magnetoresistive random access memory containing multilayer synthetic antiferromagnetic structure and method of making thereof | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11152048 | Tunneling metamagnetic resistance memory device and methods of operating the same | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11152049 | Differential sensing for a memory device | MICRON TECHNOLOGY, INC. | 1 |
11152050 | Apparatuses and methods for multiple row hammer refresh address sequences | MICRON TECHNOLOGY, INC. | 1 |
11152051 | Real time memory interface variation tracking | XILINX, INC. | 1 |
11152052 | Apparatuses, systems, and methods for fuse array based device identification | MICRON TECHNOLOGY, INC. | 1 |
11152053 | Memory devices including an operation mode supporting virtual bank access, and operating methods of the memory devices | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11152054 | Apparatuses and methods for performing background operations in memory using sensing circuitry | MICRON TECHNOLOGY, INC. | 1 |
11152055 | Apparatuses including threshold voltage compensated sense amplifiers and methods for compensating same | MICRON TECHNOLOGY, INC. | 1 |
11152056 | Integrated assemblies | MICRON TECHNOLOGY, INC. | 1 |
11152057 | SRAM memory | -- | 1 |
11152059 | Calibration of open blocks in NAND flash memory | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11152060 | Multi-bit read-only memory device | INTEL CORPORATION | 1 |
11152061 | Systems and methods for writing and reading data stored in a polymer | IRIDIA, INC. | 1 |
11152062 | 1T-1R architecture for resistive random access memory | HEFEI RELIANCE MEMORY LIMITED | 1 |
11152063 | Writing multiple levels in a phase change memory | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11152064 | Memory device, memory cell and method for programming memory cell | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11152065 | Techniques to access a self-selecting memory device | MICRON TECHNOLOGY, INC. | 1 |
11152066 | Non-volatile memory device and method for programming non-volatile memory device | -- | 1 |
11152067 | Content addressable memory with spin-orbit torque devices | SANDISK TECHNOLOGIES LLC | 1 |
11152068 | Integrated circuit including vertical capacitors | TEXAS INSTRUMENTS INCORPORATED | 1 |
11152069 | Semiconductor storage device | TOSHIBA MEMORY CORPORATION | 1 |
11152070 | Memory device including page buffer and method of operating the memory device | SK HYNIX INC. | 1 |
11152071 | Erase operation reattempt to recover misidentified bad blocks resulting from consecutive erase failures | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11152072 | Memory device including grouped page buffers and read operation method thereof | SK HYNIX INC. | 1 |
11152073 | Iterative read calibration enhanced according to patterns of shifts in read voltages | MICRON TECHNOLOGY, INC. | 1 |
11152074 | Memory device with improved program performance and method of operating the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11152075 | Memory system | TOSHIBA MEMORY CORPORATION | 1 |
11152076 | Apparatus and method for executing debug instructions | ARM LIMITED | 1 |
11152077 | Transmitting data and power to a memory sub-system for memory device testing | MICRON TECHNOLOGY, INC. | 1 |
11152078 | Apparatuses and methods for refreshing memories with redundancy | MICRON TECHNOLOGY, INC. | 1 |
11152079 | Circuits and methods for reliable replacement of bad columns in a memory device | SANDISK TECHNOLOGIES LLC | 1 |
11152341 | Power module including a power transistor and two sense transistors | TEXAS INSTRUMENTS INCORPORATED | 1 |
11152366 | Semiconductor device and method for driving semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
11152367 | Semiconductor structure and integrated circuit | -- | 1 |
11152376 | Dual port memory cell with improved access resistance | STMICROELECTRONICS INTERNATIONAL N.V. | 1 |
11152380 | Memory device and a method for forming the memory device | GLOBALFOUNDRIES SINGAPORE PTE. LTD. | 1 |
11152383 | Non-volatile memory (NVM) cell structure to increase reliability | -- | 1 |
11152388 | Memory arrays and methods used in forming a memory array comprising strings of memory cells | MICRON TECHNOLOGY, INC. | 1 |
11152391 | Semiconductor memory device and production method thereof | TOSHIBA MEMORY CORPORATION | 1 |
11152425 | Cross-point spin-transfer torque magnetoresistive memory array and method of making the same | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11152426 | Memory device using an etch stop dielectric layer and methods for forming the same | -- | 1 |
11152427 | Chalcogenide memory device components and composition | MICRON TECHNOLOGY, INC. | 1 |
11152428 | Selection device and storage apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION | 1 |
11152562 | Non-volatile memory | IP2IPO INNOVATIONS LIMITED | 1 |
11152571 | Compact resistive random access memory integrated with a pass gate transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11152902 | Semiconductor device and memory system | TOSHIBA MEMORY CORPORATION | 1 |
11152927 | Low distortion triangular wave generator circuit and low distortion triangular wave generation method | -- | 1 |
11152929 | Apparatuses for duty cycle adjustment of a semiconductor device | MICRON TECHNOLOGY, INC. | 1 |
11152954 | Decoding method and storage controller | SHENZHEN EPOSTAR ELECTRONICS LIMITED CO. | 1 |
11153066 | Signal receiving device, and a semiconductor apparatus and a semiconductor system including the signal receiving device | S HYNIX INC. | 1 |
11153132 | Decision feedback equalizer | MICRON TECHNOLOGY, INC. | 1 |
11153407 | Local cache maintenance for media content | TIVO CORPORATION | 1 |
11153525 | Method and device for video enhancement, and electronic device using the same | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. | 1 |
11153528 | Technologies for structured media playback | INTEL CORPORATION | 1 |
11153614 | Movie advertising playback systems and methods | OPEN TEXT SA ULC | 1 |
11153657 | Interface for editing multiple video clips | GOPRO, INC. | 1 |
11153674 | Sound reproduction device | EARFREDO CO., LTD. | 1 |
11153964 | Electronic apparatus | TOSHIBA MEMORY CORPORATION | 1 |
11153986 | Configuring a modular storage system | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11156481 | Optical encoder system and method | REHOVOT | 1 |
11156658 | Semiconductor memory device | MICRON TECHNOLOGY, INC. | 1 |
11156664 | Scan chain techniques and method of using scan chain structure | SK HYNIX INC. | 1 |
11157049 | Storage drive carrier and chassis | EMC IP HOLDING COMPANY LLC | 1 |
11157154 | Media-editing application with novel editing tools | APPLE INC. | 1 |
11157201 | Memory system and operating method thereof | SK HYNIX INC. | 1 |
11157202 | Memory management utilizing buffer reset commands | MICRON TECHNOLOGY, INC. | 1 |
11157237 | Memristive dot product circuit based floating point computations | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP | 1 |
11157278 | Histogram operation | TEXAS INSTRUMENTS INCORPORATED | 1 |
11157352 | Compensation of read errors | INFINEON TECHNOLOGIES AG | 1 |
11157354 | Dynamic random access memory devices and memory systems having the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11157356 | System and method for supporting data protection across FPGA SSDs | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11157357 | Operation methods of memory system and host, and computing system | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11157360 | Semiconductor device, semiconductor wafer, electronic device, and operation method | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
11157364 | Distributed error correction coding for parallel storage devices | SEAGATE TECHNOLOGY LLC | 1 |
11157382 | Obtaining of statistical performance of storage system | EMC IP HOLDING COMPANY LLC | 1 |
11157399 | Data storage devices and data processing methods with dynamic programming scheme | -- | 1 |
11157412 | Read commands based on row status prediction | MICRON TECHNOLOGY, INC. | 1 |
11157420 | Data storage drive with target of opportunity recognition | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11157625 | Verifying basic input/output system (BIOS) boot block code | DELL PRODUCTS L.P. | 1 |
11157663 | Method for producing a high definition analogue audio storage medium | REBEAT INNOVATION GMBH | 1 |
11157689 | Operations on dynamic data associated with cells in spreadsheets | MICROSOFT TECHNOLOGY LICENSING, LLC | 1 |
11157805 | Neuron circuit, system, and switch circuit | JAPAN SCIENCE AND TECHNOLOGY AGENCY | 1 |
11158296 | Automated generation of coordinated audiovisual work based on content captured geographically distributed performers | SMULE, INC. | 1 |
11158337 | Tape cartridge having tape media having synergistic magnetic recording layer and underlayer | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11158338 | Multi-actuator data storage system | SEAGATE TECHNOLOGY LLC | 1 |
11158339 | Magnetic recording layer formulation for tape media | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11158340 | Underlayer formulation for tape media | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11158341 | Frequency response method and apparatus | SOUNDTHEORY LIMITED | 1 |
11158342 | Systems for optimized presentation capture | AIRWATCH LLC | 1 |
11158343 | Systems and methods for cross-redaction | AXON ENTERPRISE, INC. | 1 |
11158344 | Video ingestion and clip creation | AMAZON TECHNOLOGIES, INC. | 1 |
11158345 | Controlling capture of content using one or more client electronic devices | -- | 1 |
11158346 | Determining high-interest durations of gameplay sessions from user inputs | NVIDIA CORPORATION | 1 |
11158348 | Using web-based protocols to assist graphic presentations in digital video playout | HARMONIC, INC. | 1 |
11158349 | Methods and systems of automatically generating video content from scripts/text | -- | 1 |
11158350 | Systems and methods for protocol for animated read along text | VOOKS, INC. | 1 |
11158351 | Segment action detection | SNAP INC. | 1 |
11158352 | Method and system for indexing video data using a data processing unit | DELL PRODUCTS L.P. | 1 |
11158353 | Information processing system, information processing method, and recording medium | SONY CORPORATION | 1 |
11158354 | Folding holder for an external hard drive | -- | 1 |
11158355 | Data storage library with positive pressure system | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11158356 | Calibration circuit and operating method of the calibration circuit | SK HYNIX INC. | 1 |
11158357 | Method of performing internal processing operations with pre-defined protocol interface of memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11158358 | Adaptive write operations for a memory device | MICRON TECHNOLOGY, INC. | 1 |
11158359 | Storage device adjusting a timing of a data signal and a data strobe signal | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11158360 | Memory device with voltage boosting circuit | -- | 1 |
11158361 | Memory cell arrangement and methods thereof | FERROELECTRIC MEMORY GMBH | 1 |
11158362 | Semiconductor memory device | FUJITSU SEMICONDUCTOR MEMORY SOLUTION LIMITED | 1 |
11158363 | Refresh in memory based on monitor array threshold drift | MICRON TECHNOLOGY, INC. | 1 |
11158364 | Apparatuses and methods for tracking victim rows | MICRON TECHNOLOGY, INC. | 1 |
11158365 | Host apparatus and extension device | KIOXIA CORPORATION | 1 |
11158367 | Semiconductor device protection circuits for protecting a semiconductor device during processing thereof, and associated methods, devices, and systems | MICRON TECHNOLOGY, INC. | 1 |
11158368 | Static random-access memory cell design | COVENTOR, INC. | 1 |
11158369 | On-chip non-volatile memory (NVM) search | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11158370 | Memristive bit cell with switch regulating components | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP | 1 |
11158371 | Memory device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
11158372 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION | 1 |
11158373 | Apparatuses, systems, and methods for determining extremum numerical values | MICRON TECHNOLOGY, INC. | 1 |
11158374 | Temperature compensation for memory cells in an analog neural memory system used in a deep learning neural network | SILICON STORAGE TECHNOLOGY, INC. | 1 |
11158375 | Semiconductor storage device | KIOXIA CORPORATION | 1 |
11158376 | Memory system and method for controlling memory system | KIOXIA CORPORATION | 1 |
11158377 | Device-region layout for embedded flash | -- | 1 |
11158378 | Non-volatile memory and data writing method thereof | -- | 1 |
11158379 | Nonvolatile memory device, storage device, and operating method of nonvolatile memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11158380 | Memory device and erasing and verification method thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
11158381 | Non-volatile memory device and operating method thereof | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11158382 | Semiconductor storage device | KIOXIA CORPORATION | 1 |
11158383 | Operation method for 3D NAND flash and 3D NAND flash | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
11158384 | Apparatus and methods for configurable bit line isolation in non-volatile memory | SANDISK TECHNOLOGIES LLC | 1 |
11158385 | Semiconductor memory device that includes block decoders each having plural transistors and a latch circuit | KIOXIA CORPORATION | 1 |
11158386 | Memory system for interference compensation and operating method thereof | SK HYNIX INC. | 1 |
11158387 | Techniques for determining memory cell read offsets | MICRON TECHNOLOGY, INC. | 1 |
11158388 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION | 1 |
11158389 | Memory device | KIOXIA CORPORATION | 1 |
11158390 | Method and apparatus for performing automatic power control in a memory device with aid of associated detection during initialization phase | -- | 1 |
11158391 | Functional signal line overdrive | MICRON TECHNOLOGY, INC. | 1 |
11158392 | Operation of mixed mode blocks | MICRON TECHNOLOGY, INC. | 1 |
11158393 | Intra-code word wear leveling techniques | MICRON TECHNOLOGY, INC. | 1 |
11158394 | Performance evaluation of solid state memory device | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11158395 | Reliability evaluation apparatus | TOSHIBA MEMORY CORPORATION | 1 |
11158396 | Data redirection upon failure of a program operation | MICRON TECHNOLOGY, INC. | 1 |
11158622 | Three-dimensional memory devices | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
11158639 | Asymmetric FinFET in memory device, method of fabricating same and semiconductor device | CHANGXIN MEMORY TECHNOLOGIES, INC. | 1 |
11158644 | Semiconductor device with field effect transistors of differing gate dielectric thickness on the same substrate and method of manufacturing the same | -- | 1 |
11158645 | Semiconductor memory device | KIOXIA CORPORATION | 1 |
11158651 | Vertical memory devices | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11158652 | 3D memory semiconductor devices and structures | MONOLITHIC 3D INC. | 1 |
11158670 | Magnetic structures, semiconductor structures, and semiconductor devices | MICRON TECHNOLOGY, INC. | 1 |
11158673 | Vertical 3D memory device and method for manufacturing the same | MICRON TECHNOLOGY, INC. | 1 |
11158785 | Magnetoresistance effect element including a crystallized Heusler alloy | TDK CORPORATION | 1 |
11158787 | C—As—Se—Ge ovonic materials for selector devices and memory devices using same | -- | 1 |
11158788 | Atomic layer deposition and physical vapor deposition bilayer for additive patterning | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11158791 | MIEC and tunnel-based selectors with improved rectification characteristics and tunability | APPLIED MATERIALS, INC. | 1 |
11159149 | Electronic device including level shifter | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11159154 | Power gate ramp-up control apparatus and method | INTEL CORPORATION | 1 |
11159165 | Logic drive using standard commodity programmable logic IC chips comprising non-volatile random access memory cells | -- | 1 |
11159166 | Logic drive using standard commodity programmable logic IC chips comprising non-volatile random access memory cells | -- | 1 |
11159168 | Superconducting non-destructive readout circuits | NORTHROP GRUMMAN SYSTEMS CORPORATION | 1 |
11159175 | Non-uniform iteration-dependent min-sum scaling factors for improved performance of spatially-coupled LDPC codes | INTEL CORPORATION | 1 |
11159714 | Imaging device and image processing method | MAXELL, LTD. | 1 |
11159742 | High-speed video from camera arrays | INTEL CORPORATION | 1 |
11159746 | Multimedia distribution system for multimedia files with packed frames | DIVX, LLC | 1 |
11159830 | Program, recording medium, and reproducing apparatus | MAXELL, LTD. | 1 |
11159861 | User interface elements for content selection in media narrative presentation | PODOP, INC. | 1 |
11162169 | Near-field transducer having secondary atom higher concentration at bottom of the peg | SEAGATE TECHNOLOGY LLC | 1 |
11162981 | Magnetic field transducer mounting methods for MTJ device testers | INTEGRATED SILICON SOLUTION, (CAYMAN) INC. | 1 |
11162995 | Failure analyzing apparatus and failure analyzing method | TOSHIBA MEMORY CORPORATION | 1 |
11163001 | Reduction of skew between positive and negative conductors carrying a differential pair of signals | INTEL CORPORATION | 1 |
11163023 | Magnetic device | KOREA UNIVERSITY RESEARCH AND BUSINESS FOUNDATION | 1 |
11163445 | Modularized multi-purpose storage system | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11163480 | Method and apparatus for performing an erase operation comprising a sequence of micro-pulses in a memory device | INTEL CORPORATION | 1 |
11163483 | Robust detection techniques for updating read voltages of memory devices | SK HYNIX INC. | 1 |
11163487 | Methods for generating notifications for updated information from mode registers of a memory device to a host and memory devices and systems employing the same | MICRON TECHNOLOGY, INC. | 1 |
11163534 | Arithmetic device | KABUSHIKI KAISHA TOSHIBA | 1 |
11163638 | Memory device for swapping data and operating method thereof | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11163640 | Semiconductor memory devices, memory systems and methods of controlling of repair of semiconductor memory devices | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11163646 | Memory system for detecting erased page including memory cells with distorted threshold voltages, and operation method thereof | SK HYNIX INC. | 1 |
11163685 | Target and initiator mode configuration of tape drives for data transfer between source and destination tape drives | ORACLE INTERNATIONAL CORPORATION | 1 |
11163816 | System and method for scrolling through media files on touchscreen devices | NBCUNIVERSAL MEDIA, LLC | 1 |
11164033 | Histogram creation process for memory devices | MICRON TECHNOLOGY, INC. | 1 |
11164516 | Shift register unit, gate driving circuit, display device and driving method | HEFEI BOE JOINT TECHNOLOGY CO., LTD. | 1 |
11164597 | Head shell | AUDIO-TECHNICA CORPORATION | 1 |
11164598 | Managing data associated with overwritten portions of previously-written tracks | SEAGATE TECHNOLOGY LLC | 1 |
11164599 | Indicating tracks as erased without deleting data for the tracks | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11164600 | Methods of forming materials | SEAGATE TECHNOLOGY LLC | 1 |
11164601 | Adaptive video playback | VIVINT, INC. | 1 |
11164602 | Detecting loss of attention during playing of media content in a personal electronic device | MOTOROLA MOBILITY LLC | 1 |
11164603 | System for generating an output file | CSER VENTURES, LLC | 1 |
11164604 | Video editing method and apparatus, computer device and readable storage medium | BEIJING MICROLIVE VISION TECHNOLOGY CO., LTD. | 1 |
11164605 | System and method of producing certain video data | MIRRIAD ADVERTISING PLC | 1 |
11164606 | Audio-driven viewport selection | QUALCOMM INCORPORATED | 1 |
11164607 | Identification of storage resources in multiple domains | DELL PRODUCTS L.P. | 1 |
11164608 | Centralized placement of command and address in memory devices | MICRON TECHNOLOGY, INC. | 1 |
11164609 | Integrated circuit devices having strobe signal transmitters with enhanced drive characteristics | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11164610 | Memory device with built-in flexible double redundancy | QUALCOMM INCORPORATED | 1 |
11164611 | Level-shifting transparent window sense amplifier | APPLE INC. | 1 |
11164612 | Marching memory, a bidirectional marching memory, a complex marching memory and a computer system, without the memory bottleneck | -- | 1 |
11164613 | Processing multi-cycle commands in memory devices, and related methods, devices, and systems | MICRON TECHNOLOGY, INC. | 1 |
11164614 | Memory architecture | -- | 1 |
11164615 | Spin hall write select for magneto-resistive random access memory | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11164616 | Bias generation circuitry | ARM LIMITED | 1 |
11164617 | Memory system and operating method thereof | -- | 1 |
11164618 | Partial refresh technique to save memory refresh power | QUALCOMM INCORPORATED | 1 |
11164619 | Distribution-following access operations for a memory device | MICRON TECHNOLOGY, INC. | 1 |
11164620 | Timing signal calibration for access operation of a memory device | MICRON TECHNOLOGY, INC. | 1 |
11164621 | Sense amplifier, semiconductor device, operation method thereof, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
11164622 | Variable width memory module supporting enhanced error detection and correction | RAMBUS INC. | 1 |
11164623 | Apparatuses, systems, and methods for data strobe write timing | MICRON TECHNOLOGY, INC. | 1 |
11164624 | SRAM and periphery specialized device sensors | SYNOPSYS, INC. | 1 |
11164625 | Extended memory communication | MICRON TECHNOLOGY, INC. | 1 |
11164626 | Methods and systems for accessing memory cells | MICRON TECHNOLOGY, INC. | 1 |
11164627 | Polarity-written cell architectures for a memory device | MICRON TECHNOLOGY, INC. | 1 |
11164628 | Compensating PCM drift for neuromorphic applications | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11164629 | 3D memory device including shared select gate connections between memory blocks | MICRON TECHNOLOGY, INC. | 1 |
11164630 | Semiconductor memory device | KIOXIA CORPORATION | 1 |
11164631 | Nonvolatile memory device and operating method of the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11164632 | Nonvolatile memory device and method of processing in memory (PIM) using the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11164633 | Memory device using comb-like routing structure for reduced metal line loading | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
11164634 | Non-volatile storage system with fast SLC operation | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11164635 | Operations on memory cells | MICRON TECHNOLOGY, INC. | 1 |
11164636 | Nonvolatile memory device and memory system including nonvolatile memory device that controls the erase speeds of cell strings | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11164637 | Methods of erasing data in nonvolatile memory devices and nonvolatile memory devices performing the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11164638 | Non-volatile memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11164639 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION | 1 |
11164640 | Non-volatile memory device and programming method thereof | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11164641 | Refreshing data stored at a memory component based on a memory component characteristic component | MICRON TECHNOLOGY, INC. | 1 |
11164642 | Systems and methods for hardening flash memory to radiation | BOARD OF TRUSTEES OF THE UNIVERSITY OF ALABAMA, FOR AND ON BEHALF OF THE UNIVERSITY OF ALABAMA IN HUNTSVILLE | 1 |
11164643 | Non-volatile memory device and programming method thereof | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11164644 | Storage device and method of operating the same | SK HYNIX INC. | 1 |
11164645 | Semiconductor memory device | KIOXIA CORPORATION | 1 |
11164646 | Memory device including massbit counter configured to perform off cell counting and method of operating the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11164647 | Electronic chip memory | STMICROELECTRONICS SA | 1 |
11164648 | Glitch profiling in an integrated circuit | NXP USA, INC. | 1 |
11164649 | Test method for memory device | -- | 1 |
11164650 | Scrub management in storage class memory | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11164651 | Semiconductor devices and semiconductor systems including the same | SK HYNIX INC. | 1 |
11164652 | Two-layer code with low parity cost for memory sub-systems | MICRON TECHNOLOGY, INC. | 1 |
11164653 | Repair analysis circuit and memory including the same | SK HYNIX INC. | 1 |
11164654 | Method for driving an electronic device including a semiconductor memory in a test mode | SK HYNIX INC. | 1 |
11164661 | Integrated system for nucleic acid-based storage and retrieval of digital data using keys | UNIVERSITY OF WASHINGTON | 1 |
11164770 | Method for producing a 3D semiconductor memory device and structure | MONOLITHIC 3D INC. | 1 |
11164847 | Methods and apparatus for managing thermal behavior in multichip packages | INTEL CORPORATION | 1 |
11164856 | TSV check circuit with replica path | MICRON TECHNOLOGY, INC. | 1 |
11164879 | Microelectronic device with a memory element utilizing stacked vertical devices | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11164880 | Multi-time programming non-volatile memory | -- | 1 |
11164881 | Transistor device, memory arrays, and methods of forming the same | GLOBALFOUNDRIES SINGAPORE PTE. LTD. | 1 |
11164883 | Three-dimensional memory device containing aluminum-silicon word lines and methods of manufacturing the same | SANDISK TECHNOLOGIES LLC | 1 |
11164885 | Nonvolatile memory device having multiple numbers of channel layers | SK HYNIX INC. | 1 |
11164888 | Semiconductor memory device | KIOXIA CORPORATION | 1 |
11164889 | Integrated assemblies having ferroelectric transistors with heterostructure active regions | MICRON TECHNOLOGY, INC. | 1 |
11164890 | Cross-point array of ferroelectric field effect transistors and method of making the same | SANDISK TECHNOLOGIES LLC | 1 |
11164906 | Magnetic tunnel junction element, magnetic memory using the same, and manufacture method of magnetic tunnel junction element | HITACHI HIGH-TECH CORPORATION | 1 |
11165012 | Magnetic device and magnetic random access memory | -- | 1 |
11165443 | Burst error tolerant decoder and related systems, methods, and devices | MICROCHIP TECHNOLOGY INCORPORATED | 1 |
11165838 | Video capture and management system | CURATOR VIDEO, LLC | 1 |
11165972 | Multi-camera virtual studio production process | -- | 1 |
11165986 | Data transfer apparatus and control method thereof | CANON KABUSHIKI KAISHA | 1 |
11165987 | Sharing video footage from audio/video recording and communication devices | AMAZON TECHNOLOGIES, INC. | 1 |
11166000 | Creating a video for an audio file | GOOGLE LLC | 1 |
11166001 | Method and apparatus for secure transfer and playback of multimedia content | TIVO SOLUTIONS INC. | 1 |
11167384 | Hard drive non-destructive dismantling system | SERENITY DATA SECURITY, LLC | 1 |
11168867 | Lighting apparatus and projection-type image display apparatus | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. | 1 |
11169191 | Method and apparatus for estimating a phase relation between binary signals | -- | 1 |
11169226 | Magnetic sensor bias point adjustment method | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11169228 | Magnetic sensor with serial resistor for asymmetric sensing field range | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11169402 | Superlattice material, and preparation method and application thereof | UNIVERSITY OF ELECTRONIC SCIENCE AND TECHNOLOGY OF CHINA | 1 |
11169554 | Voltage regulator circuitry | CIRRUS LOGIC, INC. | 1 |
11169562 | Electronic devices for controlling clock generation | SK HYNIX INC. | 1 |
11169584 | Dual-connector storage system and method for simultaneously providing power and memory access to a computing device | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11169593 | Selective coupling of memory to voltage rails for different operating modes | QUALCOMM INCORPORATED | 1 |
11169680 | Electronic device displaying interface for editing video data and method for controlling same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11169695 | Method for processing dynamic image and electronic device thereof | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11169726 | Pool-level storage management | TOSHIBA MEMORY CORPORATION | 1 |
11169730 | Scrub rate control for a memory device | MICRON TECHNOLOGY, INC. | 1 |
11169732 | Computing device | KABUSHIKI KAISHA TOSHIBA | 1 |
11169741 | Storage device and method of operating the same | SK HYNIX INC. | 1 |
11169742 | Memory system, memory controller, and semiconductor memory device | KIOXIA CORPORATION | 1 |
11169765 | Audio content production, audio sequencing, and audio blending system and method | SUPER HI FI, LLC | 1 |
11169767 | Automatically generated media preview | SPOTIFY AB | 1 |
11169768 | Providing media for playback | SONOS, INC. | 1 |
11169873 | Method and system for extending lifespan and enhancing throughput in a high-density solid state drive | ALIBABA GROUP HOLDING LIMITED | 1 |
11169874 | Memory system including field programmable gate array (FPGA) and method of operating same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11169876 | Apparatuses, systems, and methods for error correction | MICRON TECHNOLOGY, INC. | 1 |
11169878 | Non-volatile memory accessing method using data protection with aid of look-ahead processing, and associated apparatus | -- | 1 |
11169894 | Control method for a memory device | NS POLES TECHNOLOGY CORP. | 1 |
11169917 | Controlling NAND operation latency | MICRON TECHNOLOGY, INC. | 1 |
11169937 | Memory control device | NEC CORPORATION | 1 |
11169942 | Double data rate (DDR) radio frequency (RF) digitization module for software-defined radio (SDR) | ROCKWELL COLLINS, INC. | 1 |
11170290 | Realization of neural networks with ternary inputs and binary weights in NAND memory arrays | SANDISK TECHNOLOGIES LLC | 1 |
11170292 | Static random-access memory for deep neural networks | THE TRUSTEES OF COLUMBIA UNIVERSITY IN THE CITY OF NEW YORK | 1 |
11170389 | Enhancing media content effectiveness using feedback between evaluation and content editing | ADOBE INC. | 1 |
11170682 | Shift register and driving method thereof, gate driving circuit and display device | BOE TECHNOLOGY GROUP CO., LTD. | 1 |
11170695 | Shift register unit and driving method thereof, gate drive circuit, and display device | BOE TECHNOLOGY GROUP CO., LTD. | 1 |
11170696 | Gate drive circuit and display panel | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. | 1 |
11170707 | Shift register unit, gate driving circuit, display device and driving method | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. | 1 |
11170728 | Display device and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
11170780 | Media generating and editing system | TRINT LIMITED | 1 |
11170791 | Systems and methods for implementing efficient cross-fading between compressed audio streams | SIRIUS XM RADIO INC. | 1 |
11170801 | Multi-band multichannel magnetic recording head | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11170802 | Writer with HMTS (high moment trailing shield) aligned with spin layer | HEADWAY TECHNOLOGIES, INC. | 1 |
11170803 | Magnetic recording write head with spin-torque oscillator (STO) and extended seed layer | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11170804 | Spin orbital torque based microwave assisted magnetic recording with dual spin hall layers or wrap around spin hall layer | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11170805 | Multi-layer magnetoelectronic device | DEUTSCHES ELEKTRONEN-SYNCHROTRON DESY | 1 |
11170806 | Magnetic sensor array with single TMR film plus laser annealing and characterization | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11170807 | Read head sensor with balanced shield design | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11170808 | Dual free layer reader head with magnetic seed layer decoupled from shield | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11170809 | Transverse bias strength enhancement in dual free layer tunnel magnetoresistive read heads | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11170810 | Determining in-plane bow of a tape drive head module | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11170812 | Magnetic recording medium including specified compound, magnetic recording and reproducing apparatus, and composition for magnetic recording medium | FUJIFILM CORPORATION | 1 |
11170813 | Magnetic powder, method of producing the same, and magnetic recording medium | SONY CORPORATION | 1 |
11170814 | Heat treatment of NFT on heat-assisted magnetic recording device | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11170815 | Cancelling adjacent track interference | SEAGATE TECHNOLOGY LLC | 1 |
11170816 | Reader bias based locking technique enabling high read concurrency for read-mostly workloads | ORACLE INTERNATIONAL CORPORATION | 1 |
11170817 | Tagging tracked objects in a video with metadata | AMAZON TECHNOLOGIES, INC. | 1 |
11170818 | Data transmission method, data playback method, data transmission device, and data playback device | SUN PATENT TRUST | 1 |
11170819 | Dynamic video highlight | MICROSOFT TECHNOLOGY LICENSING, LLC | 1 |
11170820 | Method and apparatus for recording audio information | LOGITECH EUROPE S.A. | 1 |
11170821 | Video management method and apparatus, image capturing device, and storage medium | BOE TECHNOLOGY GROUP CO., LTD. | 1 |
11170822 | Suspension for disk device | NHK SPRING CO., LTD. | 1 |
11170823 | Tape embedded drive with multiple feedthrough connections | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11170824 | Electronic device | SK HYNIX INC. | 1 |
11170825 | Data receiving devices, memory devices having the same, and operating methods thereof | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11170826 | Assemblies comprising memory cells and select gates; and methods of forming assemblies | MICRON TECHNOLOGY, INC. | 1 |
11170827 | Data buffer and memory device having the same | SK HYNIX INC. | 1 |
11170828 | Voltage generating circuit, semiconductor storage device and bit line charging method thereof | -- | 1 |
11170829 | Semiconductor device performing duty ratio adjustment operation | SK HYNIX INC. | 1 |
11170830 | Word line driver for low voltage operation | -- | 1 |
11170831 | Memory system and operating method of the memory system | SK HYNIX INC. | 1 |
11170832 | Magnetic memory devices | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11170833 | Highly reliable STT-MRAM structure and implementation method thereof | BEIHANG UNIVERSITY | 1 |
11170834 | Memory cells and methods of forming a capacitor including current leakage paths having different total resistances | MICRON TECHNOLOGY, INC. | 1 |
11170835 | Field effect transistor constructions with gate insulator having local regions radially there-through that have different capacitance at different circumferential locations relative to a channel core periphery | MICRON TECHNOLOGY, INC. | 1 |
11170836 | Method for reading and writing memory cells in three-dimensional FeRAM | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
11170837 | Identifying high impedance faults in a memory device | MICRON TECHNOLOGY, INC. | 1 |
11170838 | Temperature effect compensation in memory arrays | MENTIUM TECHNOLOGIES INC. | 1 |
11170839 | Programming non-volatile memory arrays with automatic programming pulse amplitude adjustment using current-limiting circuits | MENTIUM TECHNOLOGIES INC. | 1 |
11170840 | SRAM write assist device and method | -- | 1 |
11170841 | Apparatus with extended digit lines and methods for operating the same | MICRON TECHNOLOGY, INC. | 1 |
11170842 | Stacked semiconductor device | RAMBUS INC. | 1 |
11170843 | Bitcell with multiple read bitlines | ARM LIMITED | 1 |
11170844 | Ultra-low supply-voltage static random-access memory (SRAM) with 8-transistor cell with P and N pass gates to same bit lines | ARIL COMPUTER CORPORATION | 1 |
11170845 | Techniques for reducing rock bottom leakage in memory | QUALCOMM INCORPORATED | 1 |
11170846 | Qubit apparatus and a qubit system | TECHNISCHE UNIVERSITEIT DELFT | 1 |
11170847 | Determining soft data for fractional digit memory cells | MICRON TECHNOLOGY, INC. | 1 |
11170848 | Determining soft data | MICRON TECHNOLOGY, INC. | 1 |
11170849 | Memory with select line voltage control | NXP USA, INC. | 1 |
11170850 | Efficient utilization of memory die area | MICRON TECHNOLOGY, INC. | 1 |
11170851 | Electrical distance-based wave shaping for a memory device | MICRON TECHNOLOGY, INC. | 1 |
11170852 | Cross-bar arrays having steering element with diode | SANDISK TECHNOLOGIES LLC | 1 |
11170853 | Modified write voltage for memory devices | MICRON TECHNOLOGY, INC. | 1 |
11170854 | Layout pattern of two-port ternary content addressable memory | -- | 1 |
11170855 | Semiconductor device and manufacturing method of the same | KIOXIA CORPORATION | 1 |
11170856 | Memory device and a storage system using the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11170857 | Semiconductor memory device that performs successive tracking reads during an operation to read one page | KIOXIA CORPORATION | 1 |
11170858 | Method and apparatus for eliminating EEPROM bit-disturb | ALLEGRO MICROSYSTEMS, LLC | 1 |
11170859 | Memory device for passing verify operation and operating method of the same | SK HYNIX INC. | 1 |
11170860 | Memory device and method of performing erase and erase verify operations | MICRON TECHNOLOGY, INC. | 1 |
11170861 | Non-volatile memory with multi-level cell array and associated program control method | -- | 1 |
11170862 | Memory system and operating method thereof | SK HYNIX INC. | 1 |
11170863 | Multi-bit-per-cell three-dimensional resistive random-access memory (3D-RRAM) | SOUTHERN UNIVERSITY OF SCIENCE AND TECHNOLOGY | 1 |
11170864 | Methods and apparatus to improve performance while reading a one-time-programmable memory | TEXAS INSTRUMENTS INCORPORATED | 1 |
11170865 | Area-efficient dynamic memory redundancy scheme with priority decoding | QUALCOMM INCORPORATED | 1 |
11170866 | Managing block retirement for temporary operational conditions | MICRON TECHNOLOGY, INC. | 1 |
11170867 | Test system | -- | 1 |
11170868 | Semiconductor memory devices and memory systems | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11170869 | Dual data protection in storage devices | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11170870 | On-chip-copy for integrated memory assembly | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11170871 | Semiconductor apparatus for compensating for degradation and semiconductor system using the same | SK HYNIX INC. | 1 |
11170945 | Supercapacitor with electrolyte | PARIS SCIENCES ET LETTRES—QUARTIER LATIN | 1 |
11171115 | Artificial intelligence processor with three-dimensional stacked memory | KEPLER COMPUTING INC. | 1 |
11171140 | Semiconductor memory device and method of forming the same | MICRON TECHNOLOGY, INC. | 1 |
11171145 | Memory devices based on capacitors with built-in electric field | INTEL CORPORATION | 1 |
11171146 | Memory devices and methods of forming memory devices | MICRON TECHNOLOGY, INC. | 1 |
11171175 | Magnetic device and memory device | TOSHIBA MEMORY CORPORATION | 1 |
11171178 | Semiconductor memory device having a variable resistence layer | SK HYNIX INC. | 1 |
11171283 | Modified double magnetic tunnel junction structure suitable for BEOL integration | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11171285 | Non-ferromagnetic spacing composite layer, its method, synthetic antiferromagnetic laminated structure, and magnetoresistive random access memory | -- | 1 |
11171286 | Method of processing workpiece | TOKYO ELECTRON LIMITED | 1 |
11171465 | Submount for semiconductor laser device on heat assisted recording device | NUVOTON TECHNOLOGY CORPORATION JAPAN | 1 |
11171633 | Circuit for generating protection signal and protection apparatus | HKC CORPORATION LIMITED | 1 |
11171770 | Data transmission device | KABUSHIKI KAISHA TOSHIBA | 1 |
11172159 | Monitoring camera system and reproduction method | PANASONIC I-PRO SENSING SOLUTIONS CO., LTD. | 1 |
11172191 | Imaging device, method of investigating imaging device and imaging system | CANON KABUSHIKI KAISHA | 1 |
11175815 | Playback modification based on proximity | SONOS, INC. | 1 |
11175834 | Data storage device having self-destruction function | -- | 1 |
11175839 | Independently configurable remapping for interconnect access requests | AMAZON TECHNOLOGIES, INC. | 1 |
11175980 | Mitigation of solid state memory read failures | SEAGATE TECHNOLOGY LLC | 1 |
11175986 | Storage systems implementing offset erasure code stripes | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11176032 | Memory system and method of controlling nonvolatile memory | KIOXIA CORPORATION | 1 |
11176038 | Cache-inhibited write operations | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11176043 | Distributed memory-augmented neural network architecture | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11176195 | Inserting information into playing content | GRACENOTE, INC. | 1 |
11176197 | Methods of storing media files and returning file data for media files and media file systems | GRASS VALLEY LIMITED | 1 |
11176451 | Capacitor based resistive processing unit with symmetric weight update | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11176863 | Shift register unit, gate driving circuit and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. | 1 |
11176870 | Display apparatus having gate driving circuit | SAMSUNG DISPLAY CO., LTD. | 1 |
11176871 | Shift register unit and driving method thereof, gate driving circuit and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. | 1 |
11176902 | Shift register circuit and display panel using same | HKC CORPORATION LIMITED | 1 |
11176961 | Suspension assembly and disk device | KABUSHIKI KAISHA TOSHIBA | 1 |
11176962 | Heat-assisted magnetic recording laser with a curved facet | SEAGATE TECHNOLOGY LLC | 1 |
11176963 | Brake crawler for elevator-type hard disk drives | SEAGATE TECHNOLOGY LLC | 1 |
11176964 | Optimized tape unmount operation | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11176965 | Magnetic disk device | KABUSHIKI KAISHA TOSHIBA | 1 |
11176966 | Systems and methods for generating and presenting virtual experiences | DISH TECHNOLOGIES L.L.C. | 1 |
11176967 | Automatic generation of video playback effects | NVIDIA CORPORATION | 1 |
11176968 | Buffered writing of datasets and end longitudinal positions (end LPOSs) on a magnetic recording tape and validating the end LPOSs during reading of a later dataset thereafter | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11176969 | Memory circuit including a first program device | -- | 1 |
11176970 | Routing for power signals including a redistribution layer | MICRON TECHNOLOGY, INC. | 1 |
11176971 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION | 1 |
11176972 | Memory power management | -- | 1 |
11176973 | Apparatuses including input buffers and methods for operating input buffers | MICRON TECHNOLOGY, INC. | 1 |
11176974 | Memory device with shared amplifier circuitry | EVERSPIN TECHNOLOGIES INC. | 1 |
11176976 | Systems for performing a read-modify-write operation | SK HYNIX INC. | 1 |
11176977 | Apparatuses and methods for controlling word line discharge | MICRON TECHNOLOGY, INC. | 1 |
11176978 | Apparatuses and method for reducing row address to column address delay | MICRON TECHNOLOGY, INC. | 1 |
11176979 | Computational random access memory (CRAM) based on spin-orbit torque devices | REGENTS OF THE UNIVERSITY OF MINNESOTA | 1 |
11176980 | Magnetic memory and formation method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION | 1 |
11176981 | Spinel containing magnetic tunnel junction and method of making the same | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11176982 | Semiconductor device including spin-orbit torque line and method of operating the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11176983 | Asynchronous read circuit using delay sensing in magnetoresistive random access memory (MRAM) | -- | 1 |
11176985 | Boundary protection in memory | MICRON TECHNOLOGY, INC. | 1 |
11176986 | Memory context restore, reduction of boot time of a system on a chip by reducing double data rate memory training | ADVANCED MICRO DEVICES, INC. | 1 |
11176987 | Dram array architecture with row hammer stress mitigation | MICRON TECHNOLOGY, INC. | 1 |
11176988 | Control method for memory and non-transitory computer-readable media | -- | 1 |
11176989 | Semiconductor memory device having page buffer high-voltage circuit | SK HYNIX INC. | 1 |
11176990 | System, apparatus and method for segmenting a memory array | INTEL CORPORATION | 1 |
11176991 | Compute-in-memory (CIM) employing low-power CIM circuits employing static random access memory (SRAM) bit cells, particularly for multiply-and-accumluate (MAC) operations | QUALCOMM INCORPORATED | 1 |
11176992 | Memory write operation apparatus and method | -- | 1 |
11176993 | Synapse element increasing a dynamic range of an output while suppressing and/or decreasing power consumption, and a neuromorphic processor including the synapse element | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11176994 | Techniques for multi-read and multi-write of memory circuit | INTEL CORPORATION | 1 |
11176995 | Cross-point array of polymer junctions with individually-programmed conductances | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11176996 | Resistive random access memory and resetting method thereof | -- | 1 |
11176997 | Memory cell | -- | 1 |
11176998 | Semiconductor memory device | KIOXIA CORPORATION | 1 |
11176999 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION | 1 |
11177000 | Non-volatile memory and operating method thereof | -- | 1 |
11177001 | 3D NAND flash and operation method thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
11177002 | Programming memory cells using encoded TLC-fine | SANDISK TECHNOLOGIES LLC | 1 |
11177003 | Systems and methods for runtime analog sanitation of memory | BOARD OF TRUSTEES OF THE UNIVERSITY OF ALABAMA, FOR AND ON BEHALF OF THE UNIVERSITY OF ALABAMA IN HUNTSVILLE | 1 |
11177004 | Semiconductor memory device including page buffers | SK HYNIX INC. | 1 |
11177005 | Semiconductor memory device having plurality of memory chips | SK HYNIX INC. | 1 |
11177006 | Memory system with dynamic calibration using a trim management mechanism | MICRON TECHNOLOGY, INC. | 1 |
11177007 | Feedback for power management of a memory die using capacitive coupling | MICRON TECHNOLOGY, INC. | 1 |
11177008 | Semiconductor storage device and memory system in which control circuit of the semiconductor storage device executes calibration operation according to timing at which data is read from another semiconductor storage device | KIOXIA CORPORATION | 1 |
11177009 | Multi-state programming of memory cells | MICRON TECHNOLOGY, INC. | 1 |
11177010 | Bitcell for data redundancy | QUALCOMM INCORPORATED | 1 |
11177011 | Bit data shifter | -- | 1 |
11177012 | Fast copy through controller | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11177013 | Determine signal and noise characteristics centered at an optimized read voltage | MICRON TECHNOLOGY, INC. | 1 |
11177014 | Global-local read calibration | MICRON TECHNOLOGY, INC. | 1 |
11177015 | Built-in self-testing and failure correction circuitry | NXP USA, INC. | 1 |
11177016 | Non-volatile memory device and erasing operation method thereof | -- | 1 |
11177261 | Nonvolatile nanotube switch elements using sidewall contacts | NANTERO, INC. | 1 |
11177267 | Semiconductor structure and manufacturing method thereof | -- | 1 |
11177269 | Memory arrays and methods used in forming a memory array | MICRON TECHNOLOGY, INC. | 1 |
11177273 | Nonvolatile memory device including row decoder | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11177274 | Vertical non-volatile memory device, method of fabricating the same device, and electric-electronic system having the same device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11177276 | Conductive structures, assemblies having vertically-stacked memory cells over conductive structures, and methods of forming conductive structures | MICRON TECHNOLOGY, INC. | 1 |
11177283 | Electronic device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11177288 | Display device comprising a plurality of thin film transistors and method for manufacturing the same | LG DISPLAY CO., LTD. | 1 |
11177321 | Resistive random access memories and method for fabricating the same | -- | 1 |
11177389 | Integrated transistors having gate material passing through a pillar of semiconductor material, and methods of forming integrated transistors | MICRON TECHNOLOGY, INC. | 1 |
11177430 | Memory device and manufacturing method thereof | -- | 1 |
11177431 | Magnetic memory device and method for manufacturing the same | HEFECHIP CORPORATION LIMITED | 1 |
11177432 | Heusler-alloy and ferrimagnet based magnetic domain-wall devices for artificial neural network applications | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11177792 | Power supply semiconductor integrated memory control circuit | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
11177814 | Delay locked loop circuit and semiconductor memory device having the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11178355 | System and method for generating visual animation | SWAYBOX STUDIOS, INC. | 1 |
11178356 | Media message creation with automatic titling | APPLE INC. | 1 |
11178402 | System and method for dynamically adjusting a recording bitrate to accommodate a writing speed of a storage device | SZ DJI TECHNOLOGY CO., LTD. | 1 |
11178442 | System and method for creating customized, multi-platform video programming | -- | 1 |
11178457 | Interactive music creation and playback method and system | BERGEN | 1 |
11178508 | Detection network self-discovery | IVANI, LLC | 1 |
11178760 | Printed circuit board | KABUSHIKI KAISHA TOSHIBA | 1 |
11180457 | Fluorine-containing ether compound, lubricant for magnetic recording medium, and magnetic recording medium | SHOWA DENKO K. K. | 1 |
11180861 | 3-dimensional NOR string arrays in segmented stacks | SUNRISE MEMORY CORPORATION | 1 |
11181580 | Non-volatile computer data storage production-level programming | RAYTHEON COMPANY | 1 |
11181602 | Detecting damaged TMR sensors using bias currents and outliers | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11182074 | Apparatuses and methods for concurrently accessing multiple memory planes of a memory during a memory access operation | MICRON TECHNOLOGY, INC. | 1 |
11182079 | Extended utilization area for a memory device | MEMORY TECHNOLOGIES LLC | 1 |
11182083 | Bloom filters in a flash memory | EMC IP HOLDING COMPANY LLC | 1 |
11182085 | Memory array accessibility | MICRON TECHNOLOGY, INC. | 1 |
11182106 | Refresh circuit for use with integrated circuits | ARM LIMITED | 1 |
11182110 | On-chip memory block circuit | XILINX, INC. | 1 |
11182237 | Selective sampling of a data unit based on program/erase execution time | MICRON TECHNOLOGY, INC. | 1 |
11182241 | Grouping bits of a code word for memory device operations | MICRON TECHNOLOGY, INC. | 1 |
11182243 | Memory system with adaptive information propagation and method of operating such memory | SK HYNIX INC. | 1 |
11182244 | Error correction management for a memory device | MICRON TECHNOLOGY, INC. | 1 |
11182250 | Systems and methods of resyncing data in erasure-coded objects with multiple failures | VMWARE, INC. | 1 |
11182262 | Efficient and selective sparing of bits in memory systems | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11182284 | Memory module having volatile and non-volatile memory subsystems and method of operation | NETLIST, INC. | 1 |
11182288 | Decoding of high-density memory cells in a solid-state drive | MARVELL ASIA PTE, LTD. | 1 |
11182301 | Storage devices including a plurality of planes and methods of operating the storage devices | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11182302 | Memory device, electronic device, and associated read method | -- | 1 |
11182308 | Semiconductor device with secure access key and associated methods and systems | MICRON TECHNOLOGY, INC. | 1 |
11182310 | Priority determination circuit and method of operating the priority determination circuit for preventing overlapping operation | SK HYNIX INC. | 1 |
11182323 | Auto-switching communication interface | CYPRESS SEMICONDUCTOR CORPORATION | 1 |
11182328 | Storage device and operating method thereof | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11182420 | Media playback queuing for playback management | APPLE INC. | 1 |
11182618 | Method and system for dynamically analyzing, modifying, and distributing digital images and video | PANDOODLE CORPORATION | 1 |
11182641 | Automated pixel shifting within a video file | -- | 1 |
11182686 | 4T4R ternary weight cell with high on/off ratio background | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11183103 | Shift register unit and driving method thereof, gate driving circuit, and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. | 1 |
11183123 | Gate driving circuit and display panel including the same | -- | 1 |
11183202 | Audio discontinuity detection and correction | DOLBY LABORATORIES LICENSING CORPORATION | 1 |
11183206 | Magnetic tape cartridge, recording device, recording method, and data recording, and reproducing system using a recording medium including a predetermined area for recording defect information | FUJIFILM CORPORATION | 1 |
11183207 | On head microelectronics for write synchronization | SEAGATE TECHNOLOGY LLC | 1 |
11183208 | Recording device, control device, recording method, recording tape cartridge, and data recording and reproducing system | FUJIFILM CORPORATION | 1 |
11183209 | High density magnetic recording medium and cartridge | SONY CORPORATION | 1 |
11183210 | Magnetic disk device | KABUSHIKI KAISHA TOSHIBA | 1 |
11183211 | Digitally assisted ultra-fast bandwidth calibration of a programmable analog filter | MARVELL ASIA PTE, LTD. | 1 |
11183213 | Magnetic recording medium | SONY CORPORATION | 1 |
11183215 | Thin-film crystalline structure with surfaces having selected plane orientations | SEAGATE TECHNOLOGY LLC | 1 |
11183217 | Elevator drive with increased reliability | SEAGATE TECHNOLOGY LLC | 1 |
11183218 | Dual-pocket storage sleeves for vinyl records and jackets thereof | -- | 1 |
11183219 | Movies with user defined alternate endings | SONY INTERACTIVE ENTERTAINMENT INC. | 1 |
11183220 | Methods and apparatus for temporal track derivations | MEDIATEK SINGAPORE PTE. LTD. | 1 |
11183221 | System and method for providing dynamic content | KONINKLIJKE PHILIPS N.V. | 1 |
11183222 | Recirculation filter for an enclosure | DONALDSON COMPANY, INC. | 1 |
11183223 | Memory devices | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11183224 | Method and an apparatus for reducing the effect of local process variations of a digital circuit on a hardware performance monitor | RACYICS GMBH | 1 |
11183225 | Memories and methods for performing vector atomic memory operations with mask control and variable data length and data unit size | MICRON TECHNOLOGY, INC. | 1 |
11183226 | Data and clock synchronization and variation compensation apparatus and method | INTEL CORPORATION | 1 |
11183227 | Electric field switchable magnetic devices | REGENTS OF THE UNIVERSITY OF MINNESOTA | 1 |
11183228 | Memory circuit device including a selection circuit unit shared by a write circuit unit and a read circut unit | TOHOKU UNIVERSITY | 1 |
11183230 | Sense amplifier circuit and semiconductor memory device | KIOXIA CORPORATION | 1 |
11183231 | Apparatus for enhancing prefetch access in memory module | -- | 1 |
11183232 | Output buffer circuit with metal option | MICRON TECHNOLOGY, INC. | 1 |
11183233 | Semiconductor device for selectively performing isolation function and layout displacement method thereof | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11183234 | Bitcell supporting bit-write-mask function | -- | 1 |
11183235 | Non-volatile semiconductor memory device adapted to store a multi-valued data in a single memory cell | KIOXIA CORPORATION | 1 |
11183236 | Memory cell with built-in amplifying function, memory device and method using the same | -- | 1 |
11183237 | Timing control of voltage supply during polarity transition | MICRON TECHNOLOGY, INC. | 1 |
11183238 | Suppressing outlier drift coefficients while programming phase change memory synapses | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11183239 | Resistive memory device and operating method thereof | SK HYNIX INC. | 1 |
11183240 | Programmable resistive memory element and a method of making the same | CYBERSWARM, INC | 1 |
11183241 | Source line configuration for a memory device | MICRON TECHNOLOGY, INC. | 1 |
11183242 | Preventing parasitic current during program operations in memory | MICRON TECHNOLOGY, INC. | 1 |
11183243 | Semiconductor storage device and memory system | KIOXIA CORPORATION | 1 |
11183244 | Memory device and control method thereof | -- | 1 |
11183245 | Pre-boosting scheme during a program operation in a memory sub-system | MICRON TECHNOLOGY, INC. | 1 |
11183246 | Memory device | SK HYNIX INC. | 1 |
11183247 | Boosted channel programming of memory | MICRON TECHNOLOGY, INC. | 1 |
11183248 | Timing parameter adjustment mechanisms | MICRON TECHNOLOGY, INC. | 1 |
11183249 | Nonvolatile memory device with intermediate switching transistors and programming method | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11183250 | Memory controller, memory device and memory system having improved threshold voltage distribution characteristics and related operating methods | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11183251 | Non-volatile memory device and a read method thereof | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11183252 | Dynamic voltage supply circuits and nonvolatile memory devices including the same | SK HYNIX SYSTEM IC INC. | 1 |
11183253 | Master-slave system and data transmission method thereof | -- | 1 |
11183254 | Controller and method of operating the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11183255 | Methods and devices for erasing non-volatile memory | STMICROELECTRONICS S.R.L. | 1 |
11183256 | Semiconductor memory device and memory state detecting method | KIOXIA CORPORATION | 1 |
11183257 | Programmable memory | SHANGHAI HUALI MICROELECTRONICS CORPORATION | 1 |
11183258 | Circuit and method for programming a one-time programmable memory | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC | 1 |
11183259 | Adapting flash memory programming parameters for high endurance and steady performance | KIOXIA CORPORATION | 1 |
11183260 | Transmit line monitoring circuitry, and related methods, devices, and systems | MICRON TECHNOLOGY, INC. | 1 |
11183261 | Test device for memory, method for detecting hardware failure in memory device, and test apparatus of memory array | -- | 1 |
11183262 | Data verifying method, chip, and verifying apparatus | -- | 1 |
11183263 | Method of error detection in a ternary content addressable memory | TTTECH COMPUTERTECHNIK AKTIENGESELLSCHAFT | 1 |
11183264 | Program and operating methods of nonvolatile memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11183265 | Environment control apparatus | ONE TEST SYSTEMS | 1 |
11183266 | Apparatuses and methods for repairing defective memory cells based on a specified error rate for certain memory cells | MICRON TECHNOLOGY, INC. | 1 |
11183267 | Recovery management of retired super management units | MICRON TECHNOLOGY, INC. | 1 |
11183498 | Semiconductor memory device having an electrically floating body transistor | ZENO SEMICONDUCTOR, INC. | 1 |
11183499 | Dynamic random access memory and methods of manufacturing, writing and reading the same | -- | 1 |
11183502 | Memory cell and Method for reading out data therefrom | -- | 1 |
11183503 | Memory cell having top and bottom electrodes defining recesses | -- | 1 |
11183508 | Methods of semiconductor device fabrication | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
11183538 | Memory device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11183628 | Magnetic memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11183630 | Magnetoresistance effect element | TDK CORPORATION | 1 |
11183633 | Switch device, storage apparatus, and memory system | SONY SEMICONDUCTOR SOLUTIONS CORPORATION | 1 |
11183980 | Power amplifier having a spread of graded outputs | MICRON TECHNOLOGY, INC. | 1 |
11183995 | High-resolution digitally controlled delay line | RAMBUS INC. | 1 |
11183998 | Correlated electron switch | CERFE LABS, INC. | 1 |
11184002 | Switch device for switching an analog electrical input signal | FRAUNHOFER-GESELLSCHAFT ZUR FOERDERUNG DER ANGEWANDTEN FORSCHUNG E.V. | 1 |
11184026 | Super-HPC error correction code | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11184030 | Storage controller for correcting error, storage device including the same, and operating method thereof | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11184197 | Burst-tolerant decision feedback equalization | RAMBUS INC. | 1 |
11184261 | Techniques to configure physical compute resources for workloads via circuit switching | INTEL CORPORATION | 1 |
11184530 | Drive substrate for camera and broadcast camera | HITACHI KOKUSAI ELECTRIC INC. | 1 |
11184558 | System for automatic video reframing | ADOBE INC. | 1 |
11184578 | Audio and video recording and streaming in a three-computer booth | ON TIME STAFFING, INC. | 1 |
11184579 | Apparatus and method for video-audio processing, and program for separating an object sound corresponding to a selected video object | SONY CORPORATION | 1 |
11184580 | Automatically curating video to fit display time | MICROSOFT TECHNOLOGY LICENSING, LLC | 1 |
11184596 | Image processing device, reproduction device, image processing method, and reproduction method | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. | 1 |
11184621 | Techniques for selecting resolutions for encoding different shot sequences | NETFLIX, INC. | 1 |
11184664 | Interactive video distribution system and video player utilizing a client server architecture | SCORPCAST, LLC | 1 |
11184676 | Automated process for ranking segmented video files | -- | 1 |
11184966 | Method and means for setting a current source for a light-emitting diode array | HELLA GMBH & CO. KGAA | 1 |
11186701 | Biaxially oriented polyester film | TOYOBO CO., LTD. | 1 |
11188116 | Hard disk monitoring system and monitoring method using complex programmable logic device | SHENZHEN FUGUI PRECISION IND. CO., LTD. | 1 |
11188137 | Slave communication apparatus and master communication apparatus | FUJI ELECTRIC CO., LTD. | 1 |
11188231 | Data placement on storage devices | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11188237 | Anti-hacking mechanisms for flash memory device | SILICON STORAGE TECHNOLOGY, INC. | 1 |
11188244 | Adjusting trim settings to improve memory performance or reliability | MICRON TECHNOLOGY, INC. | 1 |
11188250 | Two-stage hybrid memory buffer for multiple streams | MICRON TECHNOLOGY, INC. | 1 |
11188260 | Memory module and memory system including the same | SK HYNIX INC. | 1 |
11188268 | Programmable processor in an integrated memory assembly | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11188414 | Memory system | KIOXIA CORPORATION | 1 |
11188415 | Memory system and operating method of memory system | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11188416 | Enhanced block management for a memory sub-system | MICRON TECHNOLOGY, INC. | 1 |
11188455 | Media import and export in storage management systems | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11188459 | Data block switching at a memory sub-system | MICRON TECHNOLOGY, INC. | 1 |
11188461 | Garbage collection adapted to memory device life expectancy | MICRON TECHNOLOGY, INC. | 1 |
11188697 | On-chip memory access pattern detection for power and resource reduction | XILINX, INC. | 1 |
11188760 | Method and system for gaming segment generation in a mobile computing platform | MEDAL B.V. | 1 |
11189240 | Gate driving circuit and display panel | -- | 1 |
11189304 | Spin injection assisted magnetic recording | HEADWAY TECHNOLOGIES, INC. | 1 |
11189305 | Magnetic disk device and write processing method | KABUSHIKI KAISHA TOSHIBA | 1 |
11189306 | Reduced-stress tape head module | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11189307 | Base apparatus and methods for head assemblies of magnetic storage devices | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11189308 | Magnetic tape reading apparatus capable of synthesizing a plurality of reproducing signal sequences | FUJIFILM CORPORATION | 1 |
11189309 | Split-actuator drive that coordinates timing of aggressor and victim for effective victim disturbance-feedforward | KABUSHIKI KAISHA TOSHIBA | 1 |
11189310 | Split-actuator disk drive that uses microactuator feedforward to reduce effects of cross-actuator coupling | KABUSHIKI KAISHA TOSHIBA | 1 |
11189311 | Magnetic tape having characterized magnetic layer, magnetic tape cartridge, and magnetic tape device | FUJIFILM CORPORATION | 1 |
11189312 | Adhesive layer for bonding noble metal structure with a dielectric layer | SEAGATE TECHNOLOGY LLC | 1 |
11189313 | Magnetic recording medium utilizing adjacent underlayer and magnetic layer having particular compositions, magnetic storage apparatus, and method of manufacturing magnetic recording medium | SHOWA DENKO K.K. | 1 |
11189314 | Magnetic recording medium | SONY CORPORATION | 1 |
11189315 | Audio processing device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. | 1 |
11189316 | Adaptive tape calibration criteria by number of stop writes | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11189317 | Magnetic recording apparatus and method of controlling magnetic head thereof | KABUSHIKI KAISHA TOSHIBA | 1 |
11189318 | Magnetic recording medium and magnetic recording and reproducing device | FUJIFILM CORPORATION | 1 |
11189319 | Computer-implemented method and system of augmenting a video stream of an environment | TEAMVIEWER GMBH | 1 |
11189320 | System and methods for concatenating video sequences using face detection | FUSIT, INC. | 1 |
11189321 | Retrospective capture trigger | DOS CENTAVOS, LLC | 1 |
11189322 | Support assembly | INVENTEC (PUDONG) TECHNOLOGY CORPORATION | 1 |
11189323 | Heat-dissipating, shock-absorbing structure | -- | 1 |
11189324 | Voltage generating circuit and a nonvolatile memory apparatus using the voltage generating circuit | SK HYNIX INC. | 1 |
11189325 | Device and method for data-writing | -- | 1 |
11189326 | Non-destructive mode cache programming in NAND flash memory devices | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
11189327 | Methods for providing device status in response to read commands directed to write-only mode register bits and memory devices and systems employing the same | MICRON TECHNOLOGY, INC. | 1 |
11189328 | Semiconductor devices and semiconductor systems | SK HYNIX INC. | 1 |
11189330 | Open page biasing techniques | MICRON TECHNOLOGY, INC. | 1 |
11189331 | Memory cell arrangement and methods thereof | FERROELECTRIC MEMORY GMBH | 1 |
11189332 | Voltage controller and memory device including same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11189333 | Compensating circuit for compensating clock signal and memory device including the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11189334 | Apparatuses and methods for a multi-bit duty cycle monitor | MICRON TECHNOLOGY, INC. | 1 |
11189335 | Double write/read throughput by CMOS adjacent array (CaA) NAND memory | SANDISK TECHNOLOGIES LLC | 1 |
11189336 | Word line driving device for minimizing RC delay | -- | 1 |
11189337 | Multi-stage voltage control for peak and average current reduction of open blocks | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11189338 | Multi-rank high bandwidth memory (HBM) memory | XILINX, INC. | 1 |
11189339 | Performing in-memory computing based on multiply-accumulate operations using non-volatile memory arrays | -- | 1 |
11189340 | Circuit in memory device for parasitic resistance reduction | -- | 1 |
11189341 | Memory device with fly word line | -- | 1 |
11189342 | Memory macro and method of operating the same | -- | 1 |
11189343 | Current-generator circuit | STMICROELECTRONICS S.R.L. | 1 |
11189344 | Energy efficient write scheme for non-volatile resistive crossbar arrays with selectors | UNIVERSITY OF ROCHESTER | 1 |
11189345 | Method for implementing logic calculation based on a crossbar array structure of resistive switching device | INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES | 1 |
11189346 | Memory device and operating method thereof | SK HYNIX INC. | 1 |
11189347 | Resource management for memory die-specific operations | MICRON TECHNOLOGY, INC. | 1 |
11189348 | Semiconductor memory device | KIOXIA CORPORATION | 1 |
11189349 | Memory device and operating method thereof | SK HYNIX INC. | 1 |
11189350 | Controller and operating method thereof | SK HYNIX INC. | 1 |
11189351 | Peak and average current reduction for sub block memory operation | SANDISK TECHNOLOGIES LLC | 1 |
11189352 | Adjustment of program verify targets corresponding to a last programming distribution and a programming distribution adjacent to an initial programming distribution | MICRON TECHNOLOGY, INC. | 1 |
11189353 | Memory system and memory control method | TOSHIBA MEMORY CORPORATION | 1 |
11189354 | Nonvolatile memory device with a monitoring cell in a cell string | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11189355 | Read window based on program/erase cycles | MICRON TECHNOLOGY, INC. | 1 |
11189356 | One-time-programmable memory | -- | 1 |
11189357 | Programmable memory device | -- | 1 |
11189358 | Method of controlling operation of nonvolatile memory device using machine learning and storage system | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11189359 | Techniques for data programming | MICRON TECHNOLOGY, INC. | 1 |
11189360 | Method for managing the memory space of a memory device and corresponding system | STMICROELECTRONICS (ROUSSET) SAS | 1 |
11189406 | Magnetic powder, manufacturing method of magnetic powder, and magnetic recording medium | FUJIFILM CORPORATION | 1 |
11189535 | Semiconductor chip including chip pad, redistribution wiring test pad, and redistribution wiring connection pad | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11189620 | Dynamic memory structure with a shared counter electrode | -- | 1 |
11189630 | Memory device and electronic device including insulating patterns with different thermal conductivities | SK HYNIX INC. | 1 |
11189632 | Integrated circuit devices and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11189634 | Non-volatile memory device including vertical pass transistors having a greater width in an area between a gate and a word line than a width of a channel structure in an area between a ground select line and the word line | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11189638 | Semiconductor memory device including three-dimensionally stacked memory cells | KIOXIA CORPORATION | 1 |
11189658 | Magnetic random access memory and manufacturing method thereof | -- | 1 |
11189781 | Magnetoresistive stack/structure including metal insertion substance | EVERSPIN TECHNOLOGIES, INC. | 1 |
11189783 | Embedded MRAM device formation with self-aligned dielectric cap | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11189985 | Optoelectronic assembly | II-VI DELAWARE, INC. | 1 |
11190169 | Latch circuit, memory device and method | -- | 1 |
11190203 | ADC reconfiguration for different data rates | ETOPUS TECHNOLOGY INC. | 1 |
11190218 | Code rate switching mechanism for data storage system | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11190219 | Decoder for irregular error correcting codes | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11190365 | Method and apparatus for PUF generator characterization | -- | 1 |
11190388 | Music/video messaging | -- | 1 |
11190429 | System and method for allowing multiple global identifier (GID) subnet prefix values concurrently for incoming packet processing in a high performance computing environment | ORACLE INTERNATIONAL CORPORATION | 1 |
11190680 | Systems and methods for suggesting video framing | GOPRO, INC. | 1 |
11190728 | Method and system for recording a super slow motion video in a portable electronic device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11190729 | Video jukebox apparatus and a method of playing music and music videos using a video jukebox apparatus | THOMPSON CAPPELLO STANISZ INTELLECTUAL PROPERTY INC. | 1 |
11190821 | Methods and apparatus for alerting users to media events of interest using social media analysis | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11190828 | Systems and methods for versatile video recording | DISH NETWORK L.L.C. | 1 |
11190834 | Apparatus for transmitting broadcast signals, apparatus for receiving broadcast signals, method for transmitting broadcast signals and method for receiving broadcast signals | LG ELECTRONICS INC. | 1 |
11190918 | Systems and methods for sensing, recording, analyzing and reporting environmental conditions in data centers and similar facilities | ETA VISION INC. | 1 |
11192822 | Enhanced nickel plating process | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11193971 | Chip testing method for testing chips by chip testing system | ONE TEST SYSTEMS | 1 |
11194203 | Liquid crystal display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
11194372 | Automatic vent for SSD cooling enhancement | INTEL CORPORATION | 1 |
11194382 | Speculative exit from power down mode of a dynamic random access memory rank | ADVANCED MICRO DEVICES, INC. | 1 |
11194473 | Programming frequently read data to low latency portions of a solid-state storage array | PURE STORAGE, INC. | 1 |
11194475 | Coordinated updating and searching a content-addressable memory including for packet processing operations | CISCO TECHNOLOGY, INC. | 1 |
11194476 | Determining an optimal maintenance time for a data storage system utilizing historical data | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11194477 | Determination of a match between data values stored by three or more arrays | MICRON TECHNOLOGY, INC. | 1 |
11194480 | Systems and methods for packing data in a scalable memory system protocol | MICRON TECHNOLOGY, INC. | 1 |
11194488 | Memory system executing calibration on channels | KIOXIA CORPORATION | 1 |
11194505 | High bandwidth memory device and system device having the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11194515 | Memory system, method of operating memory, and non-transitory computer readable storage medium | -- | 1 |
11194519 | Results processing circuits and methods associated with computational memory cells | GSI TECHNOLOGY, INC. | 1 |
11194520 | Memory system and operating method thereof | SK HYNIX INC. | 1 |
11194523 | Temperature variation compensation | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11194542 | Wireless coordination of audio sources | B&W GROUP LTD. | 1 |
11194548 | Processing array device that performs one cycle full adder operation and bit line read/write logic features | GSI TECHNOLOGY, INC. | 1 |
11194644 | Program verify adaptation for memory devices | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11194645 | Delay fault testing of pseudo static controls | TEXAS INSTRUMENTS INCORPORATED | 1 |
11194653 | Semiconductor memory device, and memory system having the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11194657 | Semiconductor memory devices, memory systems, and methods of operating the semiconductor memory devices | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11194659 | Method for executing a quantum error correction cycle in a quantum computer | NEDERLANDSE ORGANISATIE VOOR TOEGEPAST-NATUURWETENSCHAPPELIJK ONDERZOEK TNO | 1 |
11194708 | Data relocation in memory having two portions of data | MICRON TECHNOLOGY, INC. | 1 |
11194726 | Stacked memory dice for combined access operations | MICRON TECHNOLOGY, INC. | 1 |
11194729 | Victim cache that supports draining write-miss entries | TEXAS INSTRUMENTS INCORPORATED | 1 |
11194749 | Cross-threaded memory system | RAMBUS INC. | 1 |
11195090 | Memory unit with adaptive clamping voltage scheme and calibration scheme for multi-level neural network based computing-in-memory applications and computing method thereof | -- | 1 |
11195492 | Optimizing high dynamic range images for particular displays | KONINKLIJKE PHILIPS N.V. | 1 |
11195546 | Data storage beyond a threshold tape position | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11195547 | Magnetic disk device and data protection method thereof | KABUSHIKI KAISHA TOSHIBA | 1 |
11195548 | Zoned block command to stream command translator | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11195549 | Disk device with flexure wiring spacing configuration | KABUSHIKI KAISHA TOSHIBA | 1 |
11195550 | Servo write head, servo pattern recording apparatus, method of producing magnetic tape, and magnetic tape | SONY CORPORATION | 1 |
11195551 | Manipulation of video time remapping | GOPRO, INC. | 1 |
11195552 | Playback control of a video based on competency assessment | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11195553 | Methods, systems, and media for seamless audio melding between songs in a playlist | GOOGLE LLC | 1 |
11195554 | Systems and methods for creating customized content | ROVI GUIDES, INC. | 1 |
11195555 | Method and apparatus for defining a storyline based on path probabilities | NOKIA TECHNOLOGIES OY | 1 |
11195556 | Method and system for watermarking video media to trace video distribution | -- | 1 |
11195557 | Methods, systems, and computer program products for annotating video content with audio information | AT&T INTELLECTUAL PROPERTY I, L.P. | 1 |
11195558 | Imaging apparatus and display control method | CANON KABUSHIKI KAISHA | 1 |
11195559 | Slider test socket with clamp, and related assemblies and methods of use | SEAGATE TECHNOLOGY LLC | 1 |
11195560 | Integrated assemblies having void regions between digit lines and conductive structures, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. | 1 |
11195561 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
11195563 | Semiconductor apparatus and semiconductor system with training function | SK HYNIX INC. | 1 |
11195564 | Dram data line switching control circuit and minimizing number of data line switches for power reduction | SK HYNIX INC. | 1 |
11195565 | Static direct-access memory block having an input data adder and receiving sensor | ROBERT BOSCH GMBH | 1 |
11195566 | Memory device and method for supporting command bus training mode based on one data signal | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11195567 | Balanced negative bitline voltage for a write assist circuit | -- | 1 |
11195568 | Methods and systems for controlling refresh operations of a memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11195569 | Memory devices configured to provide external regulated voltages | MICRON TECHNOLOGY, INC. | 1 |
11195570 | Low-power source-synchronous signaling | RAMBUS INC. | 1 |
11195571 | Memory device and method with data input | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11195572 | Multi-die memory device | RAMBUS INC. | 1 |
11195573 | Write operation circuit, semiconductor memory, and write operation method | CHANGXIN MEMORY TECHNOLOGIES, INC. | 1 |
11195574 | Memory device having variable impedance memory cells and time-to-transition sensing of data stored therein | -- | 1 |
11195575 | Memory array with shorting structure on a dummy array thereof, and method of providing same | INTEL CORPORATION | 1 |
11195576 | Robust adaptive method and circuit for controlling a timing window for enabling operation of sense amplifier | STMICROELECTRONICS INTERNATIONAL N.V. | 1 |
11195577 | Switch element and method for manufacturing switch element | FUJITSU LIMITED | 1 |
11195578 | 1S-1C DRAM with a non-volatile CBRAM element | INTEL CORPORATION | 1 |
11195579 | Apparatuses and methods for accessing variable resistance memory device | MICRON TECHNOLOGY, INC. | 1 |
11195580 | Integrated pixel and two-terminal non-volatile memory cell and an array of cells for deep in-sensor, in-memory computing | GLOBALFOUNDRIES U.S. INC. | 1 |
11195581 | Memory cell, memory array and operation method using the same | -- | 1 |
11195582 | Non-volatile memory device and method of writing to non-volatile memory device | NUVOTON TECHNOLOGY CORPORATION JAPAN | 1 |
11195583 | Storage device and access method thereof | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11195584 | Flash memory device and bit line charging method thereof | -- | 1 |
11195585 | Calculating shift amounts for read voltage correction | TOSHIBA MEMORY CORPORATION | 1 |
11195586 | Memory device and operating method of the memory device | SK HYNIX INC. | 1 |
11195587 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11195588 | Semiconductor memory device | KIOXIA CORPORATION | 1 |
11195589 | Memory cell arrangement and methods thereof | FERROELECTRIC MEMORY GMBH | 1 |
11195590 | Memory including a plurality of portions and used for reducing program disturbance and program method thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
11195591 | Shift register and display device including the same | LG DISPLAY CO., LTD. | 1 |
11195592 | Memory inspecting method and memory inspecting system | INTEGRATED SILICON SOLUTION INC. | 1 |
11195593 | Hamming-distance analyzer and method for analyzing hamming-distance | -- | 1 |
11195830 | Memory devices | MICRON TECHNOLOGY, INC. | 1 |
11195839 | 2S-1C 4F<sup>2 </sup>cross-point DRAM array | INTEL CORPORATION | 1 |
11195842 | Vertical non-volatile memory structure with additional bitline in wordline stack | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11195879 | Method and related apparatus for improving memory cell performance in semiconductor-on-insulator technology | -- | 1 |
11195938 | Device performance by fluorine treatment | -- | 1 |
11195988 | Electronic device and method for fabricating the same | SK HYNIX INC. | 1 |
11195991 | Magnetic random access memory assisted devices and methods of making | -- | 1 |
11195992 | Spin-orbit torque type magnetization rotational element, spin-orbit torque type magnetoresistance effect element, and magnetic memory | TDK CORPORATION | 1 |
11195993 | Encapsulation topography-assisted self-aligned MRAM top contact | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11195996 | Phase-change memory device having reversed phase-change characteristics and phase-change memory having highly integrated three-dimensional architecture using same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11195998 | Memory structures having improved write endurance | INTEL CORPORATION | 1 |
11196249 | Electrostatic discharge (ESD) blocking circuit | -- | 1 |
11196418 | Calibration of transmitter output impedance and receiver termination impedance using a single reference pin | XILINX, INC. | 1 |
11196565 | Cryptographic system memory management | INTEL CORPORATION | 1 |
11196574 | Physically unclonable function (PUF) generation | -- | 1 |
11196899 | Synchronization of wireless-audio to video | SONY GROUP CORPORATION | 1 |
11196920 | Imaging apparatus and method for controlling an inserting/removing operation of an optical filter | CANON KABUSHIKI KAISHA | 1 |
11196930 | Display device content selection through viewer identification and affinity prediction | -- | 1 |
11196984 | System and method for generating videos | LANDA CORPORATION LTD. | 1 |
11197038 | Systems and methods for synchronizing surface data management operations for virtual reality | VERIZON PATENT AND LICENSING INC. | 1 |
11197039 | Systems and methods for providing a slow motion video stream concurrently with a normal-speed video stream upon detection of an event | ROVI GUIDES, INC. | 1 |
11197387 | Server apparatus and fixing mechanism thereof | -- | 1 |
11198312 | Method for determining working gap, and recording device | SEIKO EPSON CORPORATION | 1 |
11199594 | TMR sensor with magnetic tunnel junctions with a free layer having an intrinsic anisotropy | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11199879 | Computing device water protection | LENOVO (SINGAPORE) PTE. LTD. | 1 |
11199892 | Hybrid computing module | -- | 1 |
11199958 | Narrative arc story engine | TORONTO | 1 |
11199970 | Data storage device and method for rewriting parameters thereof | -- | 1 |
11200000 | Memory controller and storage device including the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11200001 | Management of power during memory device reset and initialization | MICRON TECHNOLOGY, INC. | 1 |
11200002 | Nonvolatile memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11200025 | Playback device | SONOS, INC. | 1 |
11200029 | Extendable multiple-digit base-2<sup>n </sup>in-memory adder device | FLASHSILICON INCORPORATION | 1 |
11200030 | Non-volatile counter system, counter circuit and power management circuit with isolated dynamic boosted supply | TEXAS INSTRUMENTS INCORPORATED | 1 |
11200105 | Normalization of detecting and reporting failures for a memory device | MICRON TECHNOLOGY, INC. | 1 |
11200115 | Methods of bit-flagged sketch-based memory management and memory devices utilizing the same | MICRON TECHNOLOGY, INC. | 1 |
11200117 | Semiconductor memory device, controller, memory system, and operation method thereof | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11200118 | Semiconductor device with modified command and associated methods and systems | MICRON TECHNOLOGY, INC. | 1 |
11200119 | Low latency availability in degraded redundant array of independent memory | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11200120 | Hybrid memory system with configurable error thresholds and failure analysis capability | NETLIST, INC. | 1 |
11200190 | Command based on-die termination for high-speed NAND interface | INNOGRIT TECHNOLOGIES CO., LTD. | 1 |
11200194 | Magnetic tape drive | MAGSTOR INC. | 1 |
11200218 | Providing consistent data masking using causal ordering | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11200271 | Dynamically ranking media effects based on user and device characteristics | FACEBOOK, INC. | 1 |
11200299 | Crowd sourcing for file recognition | WARNER BROS. ENTERTAINMENT INC. | 1 |
11200372 | Calculations on images within cells in spreadsheets | MICROSOFT TECHNOLOGY LICENSING, LLC | 1 |
11200824 | Shift register unit, driving method thereof, gate driver circuit and display device | BOE TECHNOLOGY GROUP CO., LTD. | 1 |
11200825 | Shift register unit with reduced transistor count and method for driving the same, gate driving circuit and method for driving the same, and display apparatus | HEFEI BOE JOINT TECHNOLOGY CO., LTD. | 1 |
11200860 | Shift register unit, gate driving circuit and driving method thereof | BOE TECHNOLOGY GROUP CO., LTD. | 1 |
11200861 | Shift register unit, gate drive circuit, display panel and display device | HEFEI BOE JOINT TECHNOLOGY CO., LTD. | 1 |
11200862 | Shift register and display device provided with the same | SHARP KABUSHIKI KAISHA | 1 |
11200911 | Data storage device employing partial codeword writes to magnetic media | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11200912 | Data storage device with servo field dependent write boost | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11200913 | Magnetic recording medium, manufacturing method of ϵ-type iron oxide particles, and manufacturing method of magnetic recording medium | FUJIFILM CORPORATION | 1 |
11200915 | Method for capturing and recording high-definition video and audio output as broadcast by commercial streaming service providers | MODULUS MEDIA SYSTEMS, INC. | 1 |
11200916 | Highlighting media through weighting of people or contexts | GOOGLE LLC | 1 |
11200917 | System and method for automatically creating a video of a journey | BAYERISCHE MOTOREN WERKE AKTIENGESELLSCHAFT | 1 |
11200918 | Video framing based on device orientation | GOPRO, INC. | 1 |
11200919 | Providing a user interface for video annotation tools | SONY GROUP CORPORATION | 1 |
11200920 | Carrier system for supporting memory storage drives of multiple sizes | -- | 1 |
11200921 | Memory device and method of operating the same | SK HYNIX INC. | 1 |
11200922 | Memory multiplexing techniques | ARM LIMITED | 1 |
11200923 | Semiconductor apparatus | SK HYNIX INC. | 1 |
11200924 | Method of minimizing read-disturb-write effect of SRAM circuit and SRAM circuit thereof | -- | 1 |
11200925 | Read only memory (ROM)-emulated memory (REM) profile mode of memory device | MICRON TECHNOLOGY, INC. | 1 |
11200926 | Dual rail memory, memory macro and associated hybrid power supply method | -- | 1 |
11200927 | Timing signal delay compensation in a memory device | MICRON TECHNOLOGY, INC. | 1 |
11200928 | Memory controller and operating method with read margin control circuit determining data valid window | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11200929 | Time division multiplexing (TDM) based optical ternary content addressable memory (TCAM) | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP | 1 |
11200930 | System and method of masking memory read request to avoid memory read corruption | SILICON LABORATORIES INC. | 1 |
11200931 | Apparatuses and methods for setting a duty cycle adjuster for improving clock duty cycle | MICRON TECHNOLOGY, INC. | 1 |
11200932 | Non-volatile memory device, controller and memory system | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11200933 | Magnetic multilayer film, magnetic memory element, magnetic memory and method for producing same | TOHOKU UNIVERSITY | 1 |
11200934 | Tunneling metamagnetic resistance memory device and methods of operating the same | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11200935 | Three-dimensional memory device with static random-access memory | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
11200936 | Read-once memory | PROTON WORLD INTERNATIONAL N.V. | 1 |
11200937 | Reprogrammable non-volatile ferroelectric latch for use with a memory controller | MICRON TECHNOLOGY, INC. | 1 |
11200938 | Memory devices with selective page-based refresh | MICRON TECHNOLOGY, INC. | 1 |
11200939 | Memory with per die temperature-compensated refresh control | MICRON TECHNOLOGY, INC. | 1 |
11200940 | Devices and methods to store an initialization state | ARM LIMITED | 1 |
11200941 | Electronic device including memory device and training method | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11200942 | Apparatuses and methods for lossy row access counting | MICRON TECHNOLOGY, INC. | 1 |
11200943 | Sub-word line driver with soft-landing | MICRON TECHNOLOGY, INC. | 1 |
11200944 | Semiconductor memory apparatus operating in a refresh mode and method for performing the same | SK HYNIX INC. | 1 |
11200945 | Semiconductor memory device | ZENTEL JAPAN CORPORATION | 1 |
11200946 | Low voltage bit-cell | -- | 1 |
11200947 | Superconducting nanowire-based programmable processor | MASSACHUSETTS INSTITUTE OF TECHNOLOGY | 1 |
11200948 | System for a flexible conductance crossbar | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP | 1 |
11200949 | Multiplier and operation method based on 1T1R memory | HUAZHONG UNIVERSITY OF SCIENCE AND TECHNOLOGY | 1 |
11200950 | Programming enhancement in self-selecting memory | MICRON TECHNOLOGY, INC. | 1 |
11200951 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION | 1 |
11200952 | Non-volatile memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11200953 | Methods of programming memory device | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
11200954 | Programming nonvolatile memory cells through a series of predetermined threshold voltages | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11200955 | Non-volatile memory device and memory system including the same and program method thereof | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11200956 | Read level calibration in memory devices using embedded servo cells | MICRON TECHNOLOGY, INC. | 1 |
11200957 | Read disturb scan consolidation | MICRON TECHNOLOGY, INC. | 1 |
11200958 | Memories for mitigating program disturb | MICRON TECHNOLOGY, INC. | 1 |
11200959 | Optimization of soft bit windows based on signal and noise characteristics of memory cells | MICRON TECHNOLOGY, INC. | 1 |
11200960 | Memory system, data processing system and operation method of the same | SK HYNIX INC. | 1 |
11200961 | Apparatus, system and method to log memory commands and associated addresses of a memory array | INTEL CORPORATION | 1 |
11200962 | Memory devices having spare column remap storages and methods of remapping column addresses in the memory devices | SK HYNIX INC. | 1 |
11201069 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11201083 | Methods for forming memory devices, and associated devices and systems | MICRON TECHNOLOGY, INC. | 1 |
11201111 | Three-dimensional memory device containing structures for enhancing gate-induced drain leakage current and methods of forming the same | SANDISK TECHNOLOGIES LLC | 1 |
11201149 | Semiconductor devices | SK HYNIX INC. | 1 |
11201154 | Methods of forming an apparatus including device structures including pillar structures, and related memory devices, and electronic systems | MICRON TECHNOLOGY, INC. | 1 |
11201158 | SRAM structure | -- | 1 |
11201159 | SRAM structure and connection | -- | 1 |
11201160 | Semiconductor memory device including multiple conductive line layers | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11201161 | Efuse memory cell, eFuse memory array and using method thereof, and eFuse system | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION | 1 |
11201162 | Methods of erasing semiconductor non-volatile memories | FLASHSILICON INCORPORATION | 1 |
11201165 | Memory having memory cell string and coupling components | MICRON TECHNOLOGY, INC. | 1 |
11201171 | Semiconductor storage device | KIOXIA CORPORATION | 1 |
11201190 | RRAM memory cell with multiple filaments | -- | 1 |
11201192 | Memory device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11201215 | MOSFET and memory cell having improved drain current through back bias application | ZENO SEMICONDUCTOR, INC. | 1 |
11201244 | FinFET resistive switching device having interstitial charged particles for memory and computational applications | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11201280 | Bottom leads chemical mechanical planarization for TMR magnetic sensors | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11201282 | Magnetic memory structure and device | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
11202030 | System and method for providing complete event data from cross-referenced data memories | BENDIX COMMERCIAL VEHICLE SYSTEMS LLC | 1 |
11202049 | Methods and systems for managing content items | COMCAST CABLE COMMUNICATIONS, LLC | 1 |
11202064 | Inter modes with weighting-averaged prediction, symmetric MVD or affine motion in video coding | QUALCOMM INCORPORATED | 1 |
11203526 | Reprogrammable quantum processor architecture incorporating quantum error correction | EQUAL1.LABS INC. | 1 |
11204527 | Liquid crystal display panel, driving method therefor, and display device | BOE TECHNOLOGY GROUP CO., LTD. | 1 |
11204721 | Input/output size control between a host system and a memory sub-system | MICRON TECHNOLOGY, INC. | 1 |
11204825 | Memory device and repair method with column-based error code tracking | RAMBUS INC. | 1 |
11204826 | Memory error detection and correction | -- | 1 |
11204828 | Management of corruptive read in memory systems | MICRON TECHNOLOGY, INC. | 1 |
11204829 | Systems and methods for an ECC architecture with prioritized task queues | INNOGRIT TECHNOLOGIES CO., LTD. | 1 |
11204830 | Die-level monitoring in a storage cluster | PURE STORAGE, INC. | 1 |
11204832 | Detection of a cold boot memory attack in a data processing system | NXP B.V. | 1 |
11204835 | Error correcting memory systems | SUPERMEM, INC. | 1 |
11204863 | Memory component that performs data write from pre-programmed register | RAMBUS INC. | 1 |
11204868 | Method for controlling slices of memory to be turned on or off, controller, chip and electronic device | BAIDU ONLINE NETWORK TECHNOLOGY (BEIJING) CO., LTD. | 1 |
11204956 | Dynamically ranking media effects based on user and device characteristics | FACEBOOK, INC. | 1 |
11205015 | Magnetic tunnel junction (MTJ) for multi-key encryption | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11205117 | Neuromorphic device having a plurality of synapses blocks | SK HYNIX INC. | 1 |
11205395 | Display device | JAPAN DISPLAY INC. | 1 |
11205446 | Spin-orbit torque induced magnetization switching in a magnetic recording head | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11205447 | Reader noise reduction using spin hall effects | HEADWAY TECHNOLOGIES, INC. | 1 |
11205448 | Disk device | KABUSHIKI KAISHA TOSHIBA | 1 |
11205449 | Multi-layer PZT microacuator with active PZT constraining layers for a DSA suspension | MAGNECOMP CORPORATION | 1 |
11205450 | Split-actuator disk drive that uses microactuator feedforward to reduce effects of cross-actuator coupling | KABUSHIKI KAISHA TOSHIBA | 1 |
11205453 | Hard disk substrate and hard disk device including the hard disk substrate | TOYO KOHAN CO., LTD. | 1 |
11205455 | Magnetic recording medium | SONY CORPORATION | 1 |
11205456 | Methods and apparatus for using edit operations to perform temporal track derivations | MEDIATEK SINGAPORE PTE. LTD. | 1 |
11205457 | Automatic detection and remediation of video irregularities | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11205458 | System and method for the collaborative creation of a final, automatically assembled movie | -- | 1 |
11205459 | User generated content with ESRB ratings for auto editing playback based on a player's age, country, legal requirements | SONY INTERACTIVE ENTERTAINMENT LLC | 1 |
11205460 | Prerecorded video experience container | GFYCAT, INC. | 1 |
11205461 | Memory device comprising first through fourth transistors | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 1 |
11205462 | Circuit for generating and trimming phases for memory cell read operations | STMICROELECTRONICS INTERNATIONAL N.V. | 1 |
11205463 | Asynchronous FIFO circuit | NEC PLATFORMS, LTD. | 1 |
11205464 | Semiconductor apparatus and a semiconductor system capable of adjusting timings of data and data strobe signal | SK HYNIX INC. | 1 |
11205465 | Decode circuitry coupled to a memory array | MICRON TECHNOLOGY, INC. | 1 |
11205466 | Semiconductor device and semiconductor logic device | KOREA ADVANCED INSTITUTE OF SCIENCE AND TECHNOLOGY | 1 |
11205467 | Ferroelectric memory and logic cell and operation method | NAMLAB GGMBH | 1 |
11205468 | Apparatuses and methods including ferroelectric memory and for operating ferroelectric memory | MICRON TECHNOLOGY, INC. | 1 |
11205469 | Power domain switches for switching power reduction | MICRON TECHNOLOGY, INC. | 1 |
11205470 | Apparatuses and methods for providing main word line signal with dynamic well | MICRON TECHNOLOGY, INC. | 1 |
11205471 | Memory device and operating method thereof | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11205472 | Memory device and method of operating the same | SK HYNIX INC. | 1 |
11205473 | Dual SLC/QLC programming and resource releasing | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11205474 | SRAM design with four-poly-pitch | -- | 1 |
11205475 | Static random access memory with a supplementary driver circuit and method of controlling the same | -- | 1 |
11205476 | Read data processing circuits and methods associated with computational memory cells | GSI TECHNOLOGY, INC. | 1 |
11205477 | Memory with expandable row width | ADVANCED MICRO DEVICES, INC. | 1 |
11205478 | Memory device and a method for forming the memory device | GLOBALFOUNDRIES SINGAPORE PTE. LTD. | 1 |
11205479 | Passive compensation for electrical distance | MICRON TECHNOLOGY, INC. | 1 |
11205480 | Ramp-based biasing in a memory device | MICRON TECHNOLOGY, INC. | 1 |
11205481 | Memory devices for pattern matching | MICRON TECHNOLOGY, INC. | 1 |
11205482 | Semiconductor storage device | KIOXIA CORPORATION | 1 |
11205483 | Memory system having dies and operating method of the memory system outputting a command in response to a status of a selected die | SK HYNIX INC. | 1 |
11205484 | Non-volatile memory device and operation method of the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11205485 | Three-dimensional NAND flash memory device having improved data reliability by varying program intervals, and method of operating the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11205486 | Voltage generator and memory device having the voltage generator | SK HYNIX INC. | 1 |
11205487 | Memory device and method of operating the same | SK HYNIX INC. | 1 |
11205488 | Apparatuses and methods for transistor protection by charge sharing | MICRON TECHNOLOGY, INC. | 1 |
11205489 | Semiconductor apparatus and continuous read method | -- | 1 |
11205490 | Method of improving read current stability in analog non-volatile memory cells by screening memory cells | SILICON STORAGE TECHNOLOGY, INC. | 1 |
11205491 | Reading reference current automatic regulation circuit of non-volatile memory | SHANGHAI HUALI MICROELECTRONICS CORPORATION | 1 |
11205492 | Responding to power loss | MICRON TECHNOLOGY, INC. | 1 |
11205493 | Controlling word line voltages to reduce read disturb in a memory device | SANDISK TECHNOLOGIES LLC | 1 |
11205494 | Non-volatile memory device and control method | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
11205495 | Read disturb mitigation based on signal and noise characteristics of memory cells collected for read calibration | MICRON TECHNOLOGY, INC. | 1 |
11205496 | Apparatus and method for testing storage device in power interruptions | -- | 1 |
11205497 | Comparison operations in memory | MICRON TECHNOLOGY, INC. | 1 |
11205498 | Error detection and correction using machine learning | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11205499 | Memory circuit device and a method for testing the same | CHANGXIN MEMORY TECHNOLOGIES, INC. | 1 |
11205535 | Oxide interface displaying electronically controllable ferromagnetism | UNIVERSITY OF PITTSBURGH—OF THE COMMONWEALTH SYSTEM OF HIGHER EDUCATION | 1 |
11205652 | Semiconductor memory device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11205657 | Semiconductor constructions | MICRON TECHNOLOGY, INC. | 1 |
11205659 | Interconnect structures of three-dimensional memory devices | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
11205679 | Magnetic memory device including a free layer and a pinned layer | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11205681 | Memory for embedded applications | MICRON TECHNOLOGY, INC. | 1 |
11205726 | Thin film transistor and manufacturing method thereof, gate driving circuit, display substrate and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. | 1 |
11205750 | 1S1R memory integrated structure with larger selector surface area which can effectively suppress leakage current in the cross array without increasing the overall size of the integrated structure and method for fabricating the same | INSTITUTE OF MICROELECTRONICS CHINESE ACADEMY OF SCIENCES | 1 |
11206012 | Calibrating resistance for data drivers | QUALCOMM INCORPORATED | 1 |
11206014 | Digital frequency dithering for switched-mode power supplies (SMPS) using triangular, asymmetric cubic, or random cubic spread spectrum oscillators | HIGH TECH TECHNOLOGY LIMITED | 1 |
11206020 | On-die termination | RAMBUS INC. | 1 |
11206043 | Bit-flipping decoder architecture for irregular quasi-cyclic low-density parity-check codes | SK HYNIX INC. | 1 |
11206383 | System and method for presenting virtual reality content to a user | MINDSHOW INC. | 1 |
11206385 | Volumetric video-based augmentation with user-generated content | AT&T INTELLECTUAL PROPERTY I, L.P. | 1 |
11206455 | Device and method for capturing video | ANIYA'S PRODUCTION COMPANY | 1 |
11208710 | Aluminum alloy substrate for magnetic disks, method for producing same, and magnetic disk using this aluminum alloy substrate for magnetic disks | UACJ CORPORATION | 1 |
11209505 | Large field range TMR sensor using free layer exchange pinning | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11209853 | Low-voltage bias generator based on high-voltage supply | MICRON TECHNOLOGY, INC. | 1 |
11209986 | Memory operations on data | MICRON TECHNOLOGY, INC. | 1 |
11209994 | Systems and methods for data path power savings in DDR5 memory devices | MICRON TECHNOLOGY, INC. | 1 |
11209999 | Memory controller and method capable of using different storing modes to store data units having different data sizes | -- | 1 |
11210001 | Storage device parameter monitoring for load balancing | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11210005 | Unbalanced plane management method, associated data storage device and controller thereof | -- | 1 |
11210018 | Holistic linking of data across data sources | HONEYWELL INTERNATIONAL INC. | 1 |
11210028 | Method for accessing flash memory module and associated flash memory controller and electronic device | -- | 1 |
11210030 | Data storage control device and data storage control system | DENSO CORPORATION | 1 |
11210031 | Thermal region tags and thermal region outlier detection | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11210153 | Method and apparatus for predictive failure handling of interleaved dual in-line memory modules | DELL PRODUCTS L.P. | 1 |
11210163 | Memory system and control method | TOSHIBA MEMORY CORPORATION | 1 |
11210165 | Inter-hamming difference analyzer for memory array and measuring and testing methods for inter-hamming differences of memory array | -- | 1 |
11210166 | Efficient redundancy management in key-value NAND flash storage | PLIOPS LTD. | 1 |
11210208 | Memory system including memory module, memory module, and operating method of memory module | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11210210 | Read latency reduction method and apparatus | HUAWEI TECHNOLOGIES CO., LTD. | 1 |
11210229 | Method, device and computer program product for data writing | EMC IP HOLDING COMPANY LLC | 1 |
11210241 | High-level output voltage training for non-volatile memory | SANDISK TECHNOLOGIES LLC | 1 |
11210242 | Memory system with cached memory module operations | RAMBUS INC. | 1 |
11210244 | Local internal discovery and configuration of individually selected and jointly selected devices | RAMBUS INC. | 1 |
11210250 | Semiconductor apparatus, command training system, and command training method | SK HYNIX INC. | 1 |
11210373 | Authenticating a hardware chip using an intrinsic chip identifier | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11210829 | Image processing device, image processing method, program, and recording medium | FUJIFILM CORPORATION | 1 |
11210855 | Analyzing 2D movement in comparison with 3D avatar | SSAM SPORTS, INC. | 1 |
11210987 | Shift register circuit, method of driving the same, gate driving circuit and display panel | BEIJING BOE TECHNOLOGY DEVELOPMENT CO., LTD. | 1 |
11211082 | Magnetic head including spin torque oscillator | HEADWAY TECHNOLOGIES, INC. | 1 |
11211083 | MAMR head with synthetic antiferromagnetic (SAF) coupled notch | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11211084 | Protective shields under touchdown conditions for thermally assisted perpendicular magnetic recording | SAE MAGNETICS (H.K.) LTD. | 1 |
11211085 | Disk device suspension having a load beam provided with a damper member to which an outrigger is attached | NHK SPRING CO., LTD. | 1 |
11211086 | Embedded tape reel lock mechanism for tape embedded storage drive | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11211087 | Magnetic tape having characterized back coating layer, magnetic recording medium and magnetic recording and reproducing device | FUJIFILM CORPORATION | 1 |
11211088 | Magnetic disc, aluminum alloy substrate for magnetic disc, and production method for aluminum alloy substrate | UACJ CORPORATION | 1 |
11211090 | Magnetic-disk glass substrate, magnetic-disk glass substrate intermediate, and method for manufacturing magnetic-disk glass substrate | HOYA CORPORATION | 1 |
11211091 | Optical information storage medium | CASE WESTERN RESERVE UNIVERSITY | 1 |
11211092 | Method of inspecting magnetic recording tape using image sensor | NEC PLATFORMS, LTD. | 1 |
11211093 | System for providing an acclimation enclosure for a data storage library | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11211094 | Data storage device duplicating an ECC sub-block in downstream ECC super-block | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11211095 | Modifying media content playback based on user mental state | HARMAN INTERNATIONAL INDUSTRIES, INCORPORATED | 1 |
11211096 | Video manipulation with face replacement | GOOGLE LLC | 1 |
11211097 | Generating method and playing method of multimedia file, multimedia file generation apparatus and multimedia file playback apparatus | -- | 1 |
11211098 | Repetitive-motion activity enhancement based upon media content selection | SPOTIFY AB | 1 |
11211099 | Disk device with wiring board on outer surface of housing and connected to motor and sealing configuration | KABUSHIKI KAISHA TOSHIBA | 1 |
11211100 | Recovery of memory from asynchronous power loss | MICRON TECHNOLOGY, INC. | 1 |
11211101 | Differential amplifier schemes for sensing memory cells | MICRON TECHNOLOGY, INC. | 1 |
11211102 | Method and memory system for optimizing on-die termination settings of multi-ranks in a multi-rank memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11211103 | Burst clock control based on partial command decoding in a memory device | MICRON TECHNOLOGY, INC. | 1 |
11211104 | Systems and methods involving write training to improve data valid windows | MICRON TECHNOLOGY, INC. | 1 |
11211105 | Memory device comprising programmable command-and-address and/or data interfaces | RAMBUS INC. | 1 |
11211106 | Memory device and reference circuit thereof | -- | 1 |
11211107 | Magnetic memory read circuit and calibration method therefor | AVALANCHE TECHNOLOGY, INC. | 1 |
11211108 | Ferroelectric memory device | IMEC VZW | 1 |
11211109 | Access schemes for protecting stored data in a memory device | MICRON TECHNOLOGY, INC. | 1 |
11211110 | Apparatuses, systems, and methods for address scrambling in a volatile memory device | MICRON TECHNOLOGY, INC. | 1 |
11211111 | CAM device with 3D CAM cells | ARM LIMITED | 1 |
11211112 | Semiconductor devices controlling column operation of banks | SK HYNIX INC. | 1 |
11211113 | Integrated assemblies comprising wordlines having ends selectively shunted to low voltage for speed transitioning | MICRON TECHNOLOGY, INC. | 1 |
11211114 | Memories and memory components with interconnected and redundant data interfaces | RAMBUS INC. | 1 |
11211115 | Associativity-agnostic in-cache computing memory architecture optimized for multiplication | ECOLE POLYTECHNIQUE FEDERALE DE LAUSANNE (EPFL) | 1 |
11211116 | Embedded SRAM write assist circuit | -- | 1 |
11211117 | Ferrimagnetic/ferromagnetic exchange bilayers for use as a fixed magnetic layer in a superconducting-based memory device | NORTHROP GRUMMAN SYSTEMS CORPORATION | 1 |
11211119 | QLC programming method with staging of fine data | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11211120 | Bit line and word line connection for memory array | -- | 1 |
11211121 | Resistive storage electronic device for adjusting voltage depending on temeperature | SK HYNIX INC. | 1 |
11211122 | Increase of a sense current in memory | MICRON TECHNOLOGY, INC. | 1 |
11211123 | Semiconductor device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION | 1 |
11211124 | Multifunctional memory cells | MICRON TECHNOLOGY, INC. | 1 |
11211125 | Semiconductor memory having both volatile and non-volatile functionality comprising resistive change material and method of operating | ZENO SEMICONDUCTOR, INC. | 1 |
11211126 | Apparatus and methods including source gates | MICRON TECHNOLOGY, INC. | 1 |
11211127 | Loop dependent plane skew methodology for program operation | SANDISK TECHNOLOGIES LLC | 1 |
11211128 | Performing threshold voltage offset bin selection by package for memory devices | MICRON TECHNOLOGY, INC. | 1 |
11211129 | Nonconsecutive sensing of multilevel memory cells | MICRON TECHNOLOGY, INC. | 1 |
11211130 | Semiconductor device | KIOXIA CORPORATION | 1 |
11211131 | Adjusting program effective time using program step characteristics | MICRON TECHNOLOGY, INC. | 1 |
11211132 | Detection of a last programming loop for system performance gain | SANDISK TECHNOLOGIES LLC | 1 |
11211133 | Semiconductor device with a diagnosing section that diagnoses correction memory and sensor apparatus | FUJI ELECTRIC CO., LTD. | 1 |
11211134 | Efuse circuit, method, layout, and structure | -- | 1 |
11211135 | Fuse storage cell, storage array, and operation method of storage array | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION | 1 |
11211136 | Memory system tester using test pad real time monitoring | MICRON TECHNOLOGY, INC. | 1 |
11211137 | Memory system with diagnose command and operating method thereof | SK HYNIX INC. | 1 |
11211138 | Memory system | KIOXIA CORPORATION | 1 |
11211139 | Timing-drift calibration | RAMBUS INC. | 1 |
11211140 | Device authentication based on inconsistent responses | FACEBOOK TECHNOLOGIES, LLC | 1 |
11211141 | Storage system with multiple components and method for use therewith | SANDISK TECHNOLOGIES LLC | 1 |
11211142 | Memory repair scheme | -- | 1 |
11211262 | Electronic apparatus having inter-chip stiffener | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11211279 | Method for processing a 3D integrated circuit and structure | MONOLITHIC 3D INC. | 1 |
11211328 | Semiconductor memory device of three-dimensional structure | SK HYNIX INC. | 1 |
11211334 | Logic drive based on chip scale package comprising standardized commodity programmable logic IC chip and memory IC chip | -- | 1 |
11211391 | Memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11211392 | Hole pre-charge scheme using gate induced drain leakage generation | SANDISK TECHNOLOGIES LLC | 1 |
11211393 | Memory device and forming method thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. | 1 |
11211395 | 3D memory array having select lines | -- | 1 |
11211396 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION | 1 |
11211399 | Electronic apparatus with an oxide-only tunneling structure by a select gate tier, and related methods | MICRON TECHNOLOGY, INC. | 1 |
11211402 | Three-dimensional semiconductor memory device | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11211403 | Nonvolatile memory device having a vertical structure and a memory system including the same | SAMSUNG ELECTRONICS CO., LTD. | 1 |
11211404 | Memory devices based on ferroelectric field effect transistors | IMEC VZW | 1 |
11211405 | Variable low resistance line nonvolatile memory device and method for operating same | VMEMORY CORP. | 1 |
11211491 | Semiconductor memory structure having drain stressor, source stressor and buried gate and method of manufacturing the same | -- | 1 |
11211503 | Memory arrays | MICRON TECHNOLOGY, INC. | 1 |
11211547 | Spin-orbit-torque type magnetization rotating element, spin-orbit-torque type magnetoresistance effect element, and magnetic memory | TDK CORPORATION | 1 |
11211550 | Magnetic memory devices with magnetic field sensing and shielding | GLOBALFOUNDRIES SINGAPORE PTE. LTD. | 1 |
11211554 | Electronic systems including magnetic regions | MICRON TECHNOLOGY, INC. | 1 |
11211556 | Resistive element for PCM RPU by trench depth patterning | INTERNATIONAL BUSINESS MACHINES CORPORATION | 1 |
11211949 | Dynamic multi-stage decoding | WESTERN DIGITAL TECHNOLOGIES, INC. | 1 |
11212142 | Timing based arbitration methods and apparatuses for calibrating impedances of a semiconductor device | MICRON TECHNOLOGY, INC. | 1 |
11212296 | Systems and methods for managing digital identities | CAMBRIDGE BLOCKCHAIN, INC. | 1 |
11212426 | Wireless networked record session device | FUN IN THERE ENTERTAINMENT LLC | 1 |
11212442 | Creation and display of a 360 degree looping video file | GFYCAT, INC. | 1 |
11212467 | Sample and hold switch driver circuitry with slope control | OMNIVISION TECHNOLOGIES, INC. | 1 |
11212484 | Photographing device outputting tagged image frames | -- | 1 |
11212808 | Coordinated control of media playback | APPLE INC. | 1 |
Archiver|手机版|科学网 ( 京ICP备07017567号-12 )
GMT+8, 2024-9-25 00:58
Powered by ScienceNet.cn
Copyright © 2007- 中国科学报社