|
陈立新 张琳 黄颖:中美欧日韩五局专利报告1872.docx
█武汉大学科教管理与评价研究中心 陈立新 张琳 黄颖
高通公司(Qualcomm Incorporated)是一家美国企业。2020年,高通公司获得美国发明专利2271项,比上一年增长了-4%,是获得美国专利数量第10多的机构。
相对来讲,高通公司专利研发的优势领域是:无线通信网络、数字信息传输、通信传输系统、无线通信业务、基本电子电路。在这5个技术领域上,高通公司的专利份额相对较高,分别占同领域专利数量的6%到2%。
从绝对数量上来看,高通公司的重点技术领域是:无线通信网络、数字信息传输、通信传输系统、无线通信业务、图像通信。在这5个领域上获得了数量最多的专利,为1333至233项。
可见,高通公司的专利技术研发重点主要集中在无线通信网络领域。
附表2.4.10-1 2020年高通公司主要技术领域的专利分布
技术领域 | 专利数量 | 占比(%) | |
1 | 无线通信网络 | 1333 | 6.2% |
2 | 数字信息传输 | 940 | 6.1% |
3 | 通信传输系统 | 522 | 4.9% |
4 | 无线通信业务 | 277 | 2.8% |
5 | 基本电子电路 | 201 | 2.3% |
6 | 图像通信 | 233 | 1.2% |
7 | 数据交换网络 | 145 | 1.0% |
8 | 光电辐射测量与核物理 | 115 | 0.8% |
9 | 图像处理 | 111 | 0.8% |
10 | 计算机一般零部件 | 157 | 0.7% |
11 | 半导体零配件 | 53 | 0.7% |
12 | 数据传输控制协议 | 101 | 0.7% |
13 | 广播和电话 | 60 | 0.7% |
14 | 数据传输控制程序 | 82 | 0.6% |
15 | 信息存储 | 42 | 0.6% |
16 | 数据识别 | 80 | 0.6% |
17 | 控制器和运算器(CPU) | 57 | 0.5% |
18 | 显示展示用品和声学 | 64 | 0.5% |
19 | 半导体组件与集成电路 | 74 | 0.4% |
20 | 半导体元件 | 68 | 0.4% |
注:占比(%)指其在某领域上的专利数量占该领域的比例。
附图2.4.10-1 2020年高通公司在20个相对优势领域中的专利占比
感谢河南师范大学梁立明教授、科技部中国科学技术发展战略研究院武夷山研究员、大连理工大学丁堃教授对本报告的大力支持与帮助。同时,向以不同形式对本报告提出意见和建议的专家学者们表示诚挚的感谢。
附表2.4.10-2 2020年高通公司的在美专利
Patent No. | Title | Inventor |
10527430 | Method and apparatus for beacon data collection | Do Ju-Yong |
10528102 | System and method for improved thermal management of a portable computing device with skin temperature sensors | Prajapati Rupal Govindbhai |
10528117 | Thermal mitigation in devices with multiple processing units | Gupta Nikesh |
10528503 | Real-time dynamic addressing scheme for device priority management | Mishra Lalan Jee |
10528517 | Systems and methods for power conservation in a SOUNDWIRE audio bus through pattern recognition | Kumar Sandeep |
10529480 | Asymmetrical T-coil design for high-speed transmitter IO ESD circuit applications | Fan Siqi |
10530314 | Gain-dependent impedance matching and linearity | Medra Alaaeldien Mohamed Abdelrazek |
10530461 | Relay discovery and association messages | Sadiq Bilal |
10530511 | Sensor with concurrent data streaming using various parameters | Czompo Joseph |
10530514 | Techniques for transmitting or using a pull-in signal to locate a synchronization channel | Sun Jing |
10530535 | Systems and methods for information recovery from redundancy version packets | Guo Jiming |
10530595 | Small area MBSFN enhancement | Zhu Xipeng |
10530618 | Single-ended to differential signal conversion of analog signals | Park Joung Won |
10530623 | Reference signal design | Abedini Navid |
10530625 | Low peak-to-average power ratio waveform design for frequency multiplexing of multiple streams | Park Seyong |
10530856 | Sharing data between a plurality of source devices that are each connected to a sink device | Verma Lochan |
10531105 | Signaling partition information for 3D lookup table for color gamut scalability in multi-layer video coding | Li Xiang |
10531116 | Adaptive motion vector resolution signaling for video coding | Li Xiang |
10531284 | Determining to use multi-RAN interworking by correlating different RAN identifiers | Horn Gavin Bernard |
10531346 | Techniques and apparatuses for compression enabled bearer management | Bathwal Saket |
10531353 | Minimization of resource allocation delay for V2X application | Baghel Sudhir Kumar |
10531354 | LTE's WLAN mobility set prioritization | Meylan Arnaud |
10531367 | Techniques and apparatuses for handling extended access barring | Gummadi Bapineedu Chowdary |
10531384 | Scheduling request collection after a discontinuous reception period | Islam Muhammad Nazmul |
10531388 | Data transmission in inactive state | Kubota Keiichi |
10531410 | Unified synchronization channel design used in different communication modes | Lee Heechoon |
10531427 | Enhanced system access for E-UTRAN | Ozturk Ozcan |
10531433 | Methods and apparatus for multiple user uplink access | Frederiks Guido Robert |
10531445 | Methods and apparatus for managing machine-type communications | Xu Hao |
10531452 | Hybrid automatic repeat request feedback and multiple transmission time interval scheduling | Sun Jing |
10531453 | Resource management for low latency wireless communications | Chen Wanshi |
10531456 | Narrow-band broadcast/multi-cast design | Wang Renqiu |
10531459 | Methods and apparatus for IOT operation in unlicensed spectrum | Yerramalli Srinivas |
10531473 | Blind detection and reporting of interference in unlicensed spectrum | Yerramalli Srinivas |
10531492 | Conveying RACH information through PBCH | Islam Muhammad Nazmul |
10531498 | Method and apparatus for handling measurement gaps in wireless networks | Kitazoe Masato |
10531514 | Techniques for paging in extended discontinuous reception | Vajapeyam Madhavan Srinivasan |
10534025 | Phase frequency detector linearization using switching supply | Zhuang Jingcheng |
10534047 | Tunnel magneto-resistive (TMR) sensors employing TMR devices with different magnetic field sensitivities for increased detection sensitivity | Chen Wei-Chuan |
10534074 | Hybrid scanning lidar systems | Slobodyanyuk Volodimir |
10534088 | Method and apparatus for position determination with extended SPS orbit information | Wengler Michael James |
10534089 | Method and apparatus for providing power-based route options | Annapureddy Koushik |
10534882 | Method and apparatus for configuring an integrated circuit with a requested feature set | McLean Ivan |
10535185 | Patched shading in graphics processing | Goel Vineet |
10535357 | Encoding or decoding of audio signals | Chebiyyam Venkata Subrahmanyam Chandra Sekhar |
10536143 | Comparator architecture and related methods | Price Burt Lee |
10536165 | Programmable bit alignment at serial-to-parallel stage of SerDes | Gaurav Anand |
10536195 | Overlapping cluster architecture for coordinated multipoint (CoMP) | Sun Jing |
10536207 | Beamforming architecture for scalable radio-frequency front end | Cheng Shi |
10536209 | Techniques for beam discovery and beamforming in wireless communications | Cezanne Juergen |
10536233 | Transmission of feedback information for multi-carrier operation | Damnjanovic Jelena |
10536253 | Method and apparatus for dispatching a channel quality indicator feedback in multicarrier system | Damnjanovic Jelena M. |
10536313 | Reference signal design | Abedini Navid |
10536695 | Colour remapping information supplemental enhancement information message processing | Ramasubramonian Adarsh Krishnan |
10536701 | Video coding using adaptive motion vector resolution | Chien Wei-Jung |
10536721 | Restricted scheme design for video | Wang Yekui |
10536805 | Adaptive triggering of RTT ranging for enhanced position accuracy | Nallampatti Ekambaram Venkatesan |
10536807 | Techniques for allocating positioning tasks among a plurality of mobile devices | Venkatraman Sai Pradeep |
10536867 | On-device behavioral analysis to detect malfunction due to RF interference | Salajegheh Mastooreh |
10536884 | Connected mode pruning enhancements | Dhanapal Muthukumaran |
10536910 | Apparatus and method for random access channel power prioritization | Gaal Peter |
10536914 | Synchronizing a 5G communication channel using a 4G timing synchronization parameter | Sheashua Ronen |
10536944 | Techniques for contending for access to a radio frequency spectrum band using a coordinated listen before talk procedure | Zhang Xiaoxia |
10536948 | Methods and apparatus for requesting buffer status reports for implementing multiple user uplink medium access control protocols in a wireless network | Asterjadhi Alfred |
10536955 | Capability coordination across radio access technologies | Gholmieh Aziz |
10536956 | Interference management in wireless mesh networks | Wang Hua |
10536966 | Physical downlink control channel and hybrid automatic repeat request feedback for multefire coverage enhancement | Liu Chih-Hao |
10536975 | Techniques and apparatuses for handling collisions between legacy transmission time interval (TTI) communications and shortened TTI communications | Hosseini Seyedkianoush |
10538853 | Method and apparatus for increasing a lifespan of nanopore-based DNA sensing devices | Lee Yong Ju |
10539470 | Sub-threshold-based semiconductor temperature sensor | Wang Kevin Jia-Nong |
10539586 | Techniques for determination of a motion state of a mobile device | Grokop Leonard Henry |
10539996 | WiFi memory power minimization | HomChaudhuri Sandip |
10539997 | Ultra-low-power design memory power reduction scheme | Samson Giby |
10540300 | Optimizing network driver performance and power consumption in multi-core processor-based systems | Akyildiz Orhan Kemal |
10540979 | User interface for secure access to a device using speaker verification | Visser Erik |
10541044 | Providing efficient handling of memory array failures in processor-based systems | Speier Thomas Philip |
10541552 | Near field communication (NFC) coexistence | Wheeland Cody Burton |
10541654 | Amplification with post-distortion compensation | Snai Makar |
10541657 | Method and apparatus for digital pre-distortion with reduced oversampling output ratio | Gutman Igor |
10541741 | System and method for beam switching and reporting | Islam Muhammad Nazmul |
10541771 | Techniques for transmitting or using a pull-in signal to locate a synchronization channel | Sun Jing |
10541772 | Techniques for transmission of discovery reference signals in new radio shared spectrum | Damnjanovic Aleksandar |
10541780 | Code block level error correction and media access control (MAC) level hybrid automatic repeat requests to mitigate bursty puncturing and interference in a multi-layer protocol wireless system | Mukkavilli Krishna Kiran |
10541791 | Techniques for reducing latency in a wireless communication system | Ji Tingfang |
10541851 | Synchronization signal block signaling for wireless communications in shared spectrum | Malik Rahul |
10542261 | Systems and methods for processing a syntax structure assigned a minimum value in a parameter set | Wang Ye-Kui |
10542372 | User identification within a physical merchant location through the use of a wireless network | Monahan Scott P. |
10542409 | Access for group call services through a broadcast channel | Gholmieh Ralph Akram |
10542416 | Techniques for mobility mode selection in uplink-based and downlink-based mobility | Ly Hung Dinh |
10542435 | Carrier sense adaptive transmission (CSAT) in unlicensed spectrum | Sadek Ahmed Kamel |
10542436 | Unlicensed spectrum operation for narrowband internet of things and enhanced machine type communication | Liu Chih-Hao |
10542444 | Methods and apparatus for radio link monitoring in unlicensed communication channels | Radulescu Andrei |
10542457 | Enhanced compression formats for data compression | Maheshwari Shailesh |
10542491 | Techniques and apparatuses for control channel monitoring using a wakeup signal | Bhattad Kapil |
10542507 | Discovery and synchronization channels for user-tracking zones in a cellular network | Soriaga Joseph Binamira |
10542518 | Mobile access point detection | Bitra Suresh Kumar |
10542521 | Signaling support for multi-layer MBSFN | Zhang Xiaoxia |
10542538 | Narrowband time-division duplex frame structure for narrowband communications | Somichetty Gowrisankar |
10542541 | Re-contention-based co-existence on a shared communication medium | Valliappan Nachiappan |
10542543 | Wireless communication between wideband ENB and narrowband UE | Yerramalli Srinivas |
10542547 | Service-based cell selection and reselection | Kubota Keiichi |
10542556 | Modulation and coding scheme (MCS) and/or rank selection in coordinated multi-point (CoMP) communication | Mallik Siddhartha |
10542558 | Devices and methods for uplink control channel design in regular bursts for new radio (NR) networks | Wang Renqiu |
10542584 | Enhanced WCI-2 message for coexistence management | Gopal Thawatt |
10545523 | Adaptive gate-biased field effect transistor for low-dropout regulator | Wu Zhengzheng |
10545886 | Clock line driving for single-cycle data over clock signaling and pre-emption request in a multi-drop bus | Mishra Lalan Jee |
10545897 | System and method for deterministic transactions on a serial bus | Chun Christopher Kong Yee |
10546109 | Smart touchscreen display | Kumar Akash |
10546110 | Systems and methods for context-based device address generation | Graube Nicolas |
10546387 | Pose determination with semantic segmentation | Hirzer Martin |
10546852 | Integrated semiconductor devices and method of fabricating the same | Dutta Ranadeep |
10547208 | Wireless power transmit resonator | Jeong Seong Heon |
10547281 | Source impedance tuning circuit for a receive path | Brown, Jr. Gary Lee |
10547284 | Cascaded resonator | Bergmann Andreas |
10547289 | High order miller N-path filter | Park Joung Won |
10547402 | Hybrid multiplexing for mission critical information | Li Chong |
10547415 | Scalable TTI with advanced pilot and control | Jiang Jing |
10547418 | Coordinated operations of millimeter wavelength wireless access networks | Ryu Jung Ho |
10547421 | Scheduling for positioning reference signal (PRS) in narrowband-internet of things (NB-IoT) | Palanivelu Arul Durai Murugan |
10547422 | SRS transmission with implied RTS/CTS | Yoo Taesang |
10547428 | Dedicated reference signal for physical broadcast channel | Ly Hung |
10547429 | Search candidates in multi-link control channel | John Wilson Makesh Pravin |
10547448 | Configurator key package for device provisioning protocol (DPP) | Cammarota Rosario |
10547460 | Message-based key generation using physical unclonable function (PUF) | Wang Peiyuan |
10547494 | Unlicensed spectrum coverage enhancement for industrial internet of things | Liu Chih-Hao |
10547603 | Secure call answering | Gudivada Naga Chandan Babu |
10547694 | Out-of-band service discovery indication | Sharma Manu |
10547834 | Support of non-HEVC base layer in HEVC multi-layer extensions | Wang Ye-Kui |
10547836 | Most probable transform for intra prediction coding | Guo Liwei |
10547854 | Neighbor based signaling of intra prediction modes | Seregin Vadim |
10547947 | Device for generating audio output | Kim Lae-Hoon |
10547977 | Method and apparatus for crowdsourcing the location of mobile terrestrial transports | Ramasamy Bala |
10547979 | Systems and methods for locating a user equipment using generic position methods for a 5G network | Edge Stephen William |
10548008 | Custom fast session transfer security handshake | Shulman Vladimir |
10548020 | Techniques for selectively adjusting wireless communication parameters based on aggregated interference from listen-before-talk wireless devices | Khoshnevisan Mostafa |
10548079 | Tracking reference signal for new radio | Lee Heechoon |
10548100 | Non-uniform transmission of synchronization signals | Islam Muhammad Nazmul |
10548111 | Efficient group ID management for wireless local area networks (WLANs) | Abraham Santosh Paul |
10548118 | Multiple transmission time interval coordination with time division duplexing | Patel Shimman Arvind |
10548124 | Downlink control format indicator | Chen Wanshi |
10548126 | Carrier aggregation under different subframe structures in new radio | Chen Wanshi |
10548131 | Wireless communication between wideband eNB and narrowband UE | Yerramalli Srinivas |
10548136 | Paging response in beamformed systems | Akkarakaran Sony |
10548144 | Interference aware reciprocal channel sounding reference signal | Soriaga Joseph Binamira |
10548151 | Deafness reduction in preamble detection for medium sharing | Zhang Xiaoxia |
10548153 | Methods and apparatus for supporting frequency division multiplexing of multiple waveforms | Akkarakaran Sony |
10548155 | Scalable numerology with symbol boundary alignment for uniform and non-uniform symbol duration in wireless communication | Manolakos Alexandros |
10548160 | Interference mitigation on grant-based and grant-less traffic multiplexing in wireless communication | Li Chong |
10548165 | Flexible scheduling in new radio (NR) networks | Akkarakaran Sony |
10548182 | Beam management for connected discontinuous reception with advanced grant indicator | Luo Jianghong |
10551477 | Method and apparatus for V2X assisted positioning determination using positioning reference signal signals | Kumar Akash |
10551896 | Method and apparatus for dynamic clock and voltage scaling in a computer processor based on program phase | Priyadarshi Shivam |
10551984 | Methods for detecting device context in order to alter touch capacitance | Kies Jonathan |
10552563 | Digital design with bundled data asynchronous logic and body-biasing tuning | Lin Mark |
10552658 | Biometric sensor with finger-force navigation | Strohmann Jessica Liu |
10552707 | Methods and devices for image change detection | Park Edwin Chongwoo |
10552734 | Dynamic spatial target selection | Rao Naveen Gandham |
10552970 | Efficient guide filter for depth refinement | Huang Kuang-Man |
10552983 | Camera calibration | Slobodyanyuk Vladimir |
10553091 | Methods and systems for shape adaptation for merged objects in video analytics | Chen Ying |
10553112 | Safety event message transmission timing in dedicated short-range communication (DSRC) | Gogic Aleksandar |
10553222 | Inter-channel bandwidth extension spectral mapping and adjustment | Chebiyyam Venkata Subrahmanyam Chandra Sekhar |
10553531 | Process-invariant resistor and capacitor pair | Song Chao |
10553671 | 3D pillar inductor | Zuo Chengjie |
10553943 | Low-cost satellite user terminal antenna | Tran Allen Minh-Triet |
10554262 | Cross-sub-band quasi co-location signaling | John Wilson Makesh Pravin |
10554265 | Methods and apparatus for providing live feedback | Venkatachalam Jayaraman Venkata Ramanan |
10554284 | Beam refinement for active and candidate beams | Sadiq Bilal |
10554341 | Group acknowledgement/negative acknowledgement and triggering GACK/channel state information | Dabeer Onkar Jayant |
10554448 | Dynamic scheduling of data patterns for shortened transmission time intervals | Akula Prashanth |
10554462 | Communication of broadcast reference signal | Yang Yang |
10554470 | Control monitoring and power control for multi-link deployments | John Wilson Makesh Pravin |
10554539 | Communicating control information for independent links | Luo Tao |
10554540 | Controlling allocations for independent links | Luo Tao |
10554557 | Methods and apparatus for acknowledgment of multi-user uplink wireless transmissions | Merlin Simone |
10554708 | Point-to-multipoint broadcast assisted vehicle-to-X broadcast | Wu Xinzhou |
10554981 | Methods and systems for generating regional nesting messages for video pictures | Ramasubramonian Adarsh Krishnan |
10554988 | Binary arithmetic coding with parameterized probability estimation finite state machines | Said Amir |
10555006 | Deriving bilateral filter information based on a prediction mode in video coding | Zhang Li |
10555126 | Method and/or system for positioning of a mobile device | Edge Stephen William |
10555131 | Carrier-phase positioning in cellular networks | Niesen Urs |
10555203 | Channel reservation techniques for millimeter wave systems | Malik Rahul |
10555210 | Group indicator for code block group based retransmission in wireless communication | Sun Jing |
10555220 | Techniques for reserving a channel of a radio frequency spectrum | Yerramalli Srinivasa |
10555246 | Sharing network feedback information using a device-to-device link | Krishnamoorthy Parthasarathy |
10555286 | Uplink control information (UCI) transmission with bundling considerations | Xu Hao |
10555304 | Narrow-band broadcast/multi-cast design | Wang Renqiu |
10555310 | Forward compatibility in new radio systems | Montojo Juan |
10555331 | Nested system operation | Luo Tao |
10555345 | Random access procedure and broadcast prioritization for machine type communications (MTC) | Vajapeyam Madhavan Srinivasan |
10557928 | Methods, systems, and apparatus for dynamically adjusting radiated signals | Raina Manav |
10557932 | Clock oscillator detection | Richardson Laurence George |
10557944 | Timing circuit calibration | Wu Jie |
10558369 | Flash device lifetime monitor systems and methods | Guha Valmick |
10558393 | Controller hardware automation for host-aware performance booster | Shin Hyunsuk |
10558460 | General purpose register allocation in streaming processor | Du Yun |
10558475 | Apparatus and methods for widget intercommunication in a wireless communication environment | Caunter Mark Leslie |
10558604 | Communication interface transaction security | Chun Christopher Kong Yee |
10558808 | Methods and apparatus for packet-based validation of control-flow transfers for hardware control-flow enforcement | Godas-Lopez Daniel |
10559123 | Patched shading in graphics processing | Goel Vineet |
10559315 | Extended-range coarse-fine quantization for audio coding | Mirzahasanloo Taher Shahbazi |
10559352 | Bitline-driven sense amplifier clocking scheme | Shankar Harish |
10559501 | Self-aligned quadruple patterning process for Fin pitch below 20nm | Song Stanley |
10559520 | Bulk layer transfer processing with backside silicidation | Goktepeli Sinan |
10559532 | Layout techniques for high-speed and low-power signal paths in integrated circuits with small channel devices | Salik Marina |
10560105 | Delay-locked loop with large tuning range | Sun Bo |
10560118 | Multiple low density parity check (LDPC) base graph design | Soriaga Joseph Binamira |
10560220 | Systems and methods for mitigating decoding errors due to puncturing of symbols | Tirucherai Muralidharan Vijayvaradharaj |
10560235 | Narrowband control channel decoding | Chen Wanshi |
10560237 | Cell-specific reference signal transmissions for evolved machine type communication | Zaki Ahmed |
10560243 | Systems and methods to select or transmitting frequency domain patterns for phase tracking reference signals | Bai Tianyang |
10560294 | Methods and apparatus for transmission and reception with partial allocation in orthogonal frequency division multiple access/single-carrier frequency division multiple access systems | Tirucherai Muralidharan Vijayvaradharaj |
10560304 | Techniques and apparatuses for multiplexing schemes for millimeter wave downlink single carrier waveforms | Lei Jing |
10560509 | Method and apparatus for using HTTP redirection to mediate content access via policy execution | Lo Charles Nung |
10560710 | Method for coding recovery point supplemental enhancement information (SEI) messages and region refresh information SEI messages in multi-layer coding | Hendry Fnu |
10560712 | Affine motion prediction for video coding | Zou Feng |
10560718 | Merge candidates for motion vector prediction for video coding | Lee Sungwon |
10560723 | Context modeling for transform coefficient coding | Zhang Li |
10560780 | Phase alignment in an audio bus | Amarilio Lior |
10560829 | Wireless communication for angle of arrival determination | Haverinen Anssi |
10560879 | Techniques for establishing a secure connection between a wireless device and a local area network via an access node | Hampel Karl Georg |
10560887 | Routing modification based on handover detection | Sundararajan Jay Kumar |
10560910 | Synchronization signal for a broadcast channel | Sadiq Bilal |
10560917 | Angle of departure for location determination | Kasher Assaf Yaakov |
10560942 | Sub-band utilization for a wireless positioning measurement signal | Opshaug Guttorm Ringstad |
10560945 | Mode indication for wireless devices | Sanderovich Amichai |
10560956 | Priority indication for communication over shared access systems | Bhushan Naga |
10560957 | Out-of-sync grant handling in a wireless communication system | Brueck Stefan |
10564178 | Swing compensation in step detection | Poduri Sameera |
10565463 | Advanced signaling of a most-interested region in an image | Wang Ye-Kui |
10565689 | Dynamic rendering for foveated rendering | Nallam Surendra |
10565696 | Systems and methods for producing image feedback | Kasilya Sudarsan Vishnu Vardhan |
10565726 | Pose estimation using multiple cameras | Lindner Albrecht Johannes |
10566413 | MIM capacitor containing negative capacitance material | Lu Ye |
10566937 | Post distortion cancellation with phase shifter diode for low noise amplifier | Mehrjoo Mohammad Sadegh |
10567048 | Techniques for determining uplink precoding matrix for a user equipment | Huang Yi |
10567118 | Coding to improve transmission and reception processing time | Yang Yang |
10567205 | Frequency and time domain multiplexing for low peak-to-average power ratio (PAPR) waveform design with multiple streams | Park Seyong |
10567286 | Congestion control for LTE-V2V | Gulati Kapil |
10567555 | Methods and apparatus for early detection of high efficiency wireless packets in wireless communication | Yang Lin |
10567636 | Resolution enhancement using sensor with plural photodiodes per microlens | Galor Gluskin Micha |
10567734 | Processing omnidirectional media with dynamic region-wise packing | Stockhammer Thomas |
10567759 | Using luma information for chroma prediction with separate luma-chroma framework in video coding | Seregin Vadim |
10567789 | Simplified shifting merge candidate and merge list derivation in 3D-HEVC | Chen Ying |
10567799 | Simplified sub-prediction unit (sub-PU) motion parameter inheritance (MPI) | Liu Hongbin |
10567800 | Transform hardware architecture for video coding | Chen Yunqing |
10567803 | Midpoint prediction error diffusion for display stream compression | Jacobson Natan Haim |
10567804 | Carriage of HEVC extension bitstreams and buffer model with MPEG-2 systems | Chen Ying |
10567905 | Systems and methods for locating a mobile device using angle of arrival and inertial sensor measurements | Edge Stephen William |
10567943 | Methods and systems for handover of an emergency call between different wireless networks | Edge Stephen William |
10567986 | Back-off mechanisms for fair joint access of unlicensed sidelink | Gupta Piyush |
10568062 | Positioning for WLANs and other wireless networks | Edge Stephen William |
10568092 | Scheduling and/or scheduling configuration | Akkarakaran Sony |
10568093 | Periodic and aperiodic CSI reporting procedures for enhanced licensed assisted access | Yerramalli Srinivas |
10568102 | Usage of synchronization signal block index in new radio | Luo Tao |
10568128 | Techniques and apparatuses for scheduling request acknowledgement | Li Chih-Ping |
10568130 | Techniques and apparatuses for multiple types of physical random access channel (PRACH) transmission utilization | Wang Renqiu |
10568131 | Single user switching timer per access category (AC) | Zhou Yan |
10568140 | Beam and symbol selection to transmit RACH | Islam Muhammad Nazmul |
10568147 | Uplink specific backoff indicator | He Linhai |
10568161 | Uplink (UL)-based mobility via immediate and delayed keep alive (KA) signals | Azarian Yazdi Kambiz |
10569302 | Biometric sensor with force detection and ultrasonic imaging capability | Sammoura Firas |
10571294 | Systems and methods for trip planning | Forutanpour Bijan |
10572399 | Memory request arbitration | Kazakov Maxim |
10572410 | Function-specific communication on a multi-drop bus for coexistence management | O'Shea Helena Deirdre |
10572438 | Dynamic optimal data sampling time on a multi-drop bus | Mishra Lalan Jee |
10572439 | I3C read from long latency devices | Graif Sharon |
10572706 | Reflex longitudinal imaging using through sensor insonification | Schneider John Keith |
10573326 | Inter-channel bandwidth extension | Chebiyyam Venkata Subrahmanyam Chandra Sekhar |
10573331 | Cooperative pyramid vector quantizers for scalable audio coding | Shahbazi Mirzahasanloo Taher |
10573950 | Directional coupler | Cheng Haitao |
10574276 | Selecting a precoding configuration based on self-jamming metrics | Yoo Taesang |
10574286 | High selectivity TDD RF front end | Wang Cheng-Han |
10574287 | Wireless transceiver with reconfigurable transformers | Thoppay Egambaram Prakash |
10574306 | Codebook and feedback design for high order MIMO | Xu Hao |
10574309 | Feedback techniques in wireless communications | Akkarakaran Sony |
10574329 | Radio link failure timers and constants based on radio link management and beam failure recovery procedures | Nagaraja Sumeeth |
10574345 | Satellite communication location reporting and paging | Lucky Kundan Kumar |
10574388 | Techniques for handling overlapping sets of downlink control channel resources | Lee Heechoon |
10574417 | Method and apparatus for MTC device association schemes | Somasundaram Kiran |
10574422 | Rate control adaptation | Wang Qingsi |
10574565 | Event trigger for independent links | Luo Tao |
10574830 | Methods for increasing VoIP network coverage | Rajendran Vivek |
10574947 | Object reconstruction in disparity maps using displaced shadow outlines | Lindner Albrecht Johannes |
10574959 | Color remapping for non-4:4:4 format video content | Ramasubramonian Adarsh Krishnan |
10574965 | Simplified advanced residual prediction for 3D-HEVC | Liu Hongbin |
10574988 | System and methods for reducing slice boundary visual artifacts in display stream compression (DSC) | Thirumalai Vijayaraghavan |
10574993 | Coding data using an enhanced context-adaptive binary arithmetic coding (CABAC) design | Zhang Li |
10575018 | Enhanced high-level signaling for fisheye virtual reality video in dash | Wang Yekui |
10575149 | Methods to enable efficient intra-platoon communication | Wu Zhibin |
10575185 | Spectrum renting negotiation | Li Junyi |
10575205 | Transport block size determination | Chen Wanshi |
10575210 | Cyclic redundancy check length management | Chen Wanshi |
10575217 | Techniques and apparatuses for managing sounding reference signal (SRS) transmissions in a bandwidth part | Manolakos Alexandros |
10575226 | On-demand system information | Kubota Keiichi |
10575229 | Method and apparatus for multiplexing hybrid satellite constellations | Wu Qiang |
10575233 | Methods and apparatuses for determining the gain of vehicle antennas | Kinthada Venkata Madhusudan |
10575258 | Techniques and apparatuses for uplink power control | Ly Hung |
10575279 | Methods and apparatus for a transmit path with frequency hopping phase locked loop | Asuri Bhushan Shanti |
10575285 | Configurable threshold for format selection for enhanced carrier aggregation | Chen Wanshi |
10575303 | Uplink design for narrowband LTE (NB-LTE) | Rico Alvarino Alberto |
10575307 | Restricted set indication in multi-beam operation | Nagaraja Sumeeth |
10575324 | Efficient blind decoding of a search space | Sun Jing |
10575336 | Sequence-based short-physical uplink control channel (PUCCH) and physical random access channel (PRACH) design | Zhang Xiaoxia |
10576289 | Power and communication of neurocell clusters | Alcala Osvaldo |
10576622 | Robotic manipulator | Hutson Donald Bolden |
10578497 | Diode-based temperature sensor | Xia William |
10579516 | Systems and methods for providing power-efficient file system operation to a non-volatile block memory | Li Yanru |
10579549 | Staggered transmissions on a multi-drop half-duplex bus | Mishra Lalan Jee |
10579581 | Multilane heterogeneous serial bus | Pitigoi-Aron Radu |
10580112 | Scalable and area efficient conversion of linear image data into multi-dimensional image data for multimedia applications | Srivatsa Sandeep Nellikatte |
10580151 | Tile-based low-resolution depth storage | Wicks Jonathan |
10580209 | Removal of degenerated sub-primitives in tessellation | Shen Li |
10580420 | Encoding or decoding of audio signals | Chebiyyam Venkata Subrahmanyam Chandra Sekhar |
10580424 | Perceptual audio coding as sequential decision-making problems | Mirzahasanloo Taher Shahbazi |
10580774 | Layout construction for addressing electromigration | Rasouli Seid Hadi |
10580908 | Variable thickness gate oxide transcap | Li Xia |
10581385 | Low-noise amplifier (LNA) transformer notch | Snai Makar |
10581389 | Reconfigurable amplifier loads and operating methods | Balteanu Andreea |
10581431 | Self capacitance drive offset cancellation in touch controller integrated circuits | Mcintyre James Hendrie |
10581441 | Apparatus and method for generating clock signal with low jitter and constant frequency while consuming low power | Pu Yu |
10581568 | Network discovery and synchronization for NR-SS | Mallik Siddhartha |
10581569 | Techniques for transmitting and receiving synchronization signals over an unlicensed radio frequency spectrum band | Damnjanovic Aleksandar |
10581572 | Autonomous uplink transmissions on a shared communication medium | Chendamarai Kannan Arumugam |
10581575 | Demodulation reference signal sequence selection in device-to-device communication | Baghel Sudhir Kumar |
10581581 | Techniques for enabling quality of service (QoS) on WLAN for traffic related to a bearer on cellular networks | Horn Gavin Bernard |
10581660 | Dynamically convey information of demodulation reference signal and phase noise compensation reference signal | Islam Muhammad Nazmul |
10581722 | Power control for independent links | Luo Tao |
10582026 | Methods and apparatus for packet acquisition in mixed-rate wireless communication networks | Doan Dung Ngoc |
10582105 | Changing camera parameters based on wireless signal information | Naguib Ayman Fawzy |
10582111 | Systems and methods for autofocus and depth map generation | Shin Mooyoung |
10582201 | Most-interested region in an image | Wang Ye-Kui |
10582389 | Secured paging | Deshpande Yogesh Bhalchandra |
10582397 | Beam refinement reference signal transmissions during control symbol | Sun Jing |
10582409 | RRM based on signal strength measurements in LTE over unlicensed spectrum | Vajapeyam Madhavan Srinivasan |
10582451 | Direct link wireless devices with power savings | Wentink Maarten Menzo |
10582455 | System and method for reducing interference from neighboring wireless devices | Sanderovich Amichai |
10582458 | Listen before talk design for spectrum sharing in new radio (NR) | Sadek Ahmed Kamel |
10582459 | User equipment transmission management for dynamically varying transmission emission requirements | Gulati Kapil |
10582469 | Network initiated ranging | Vamaraju Santosh |
10582502 | Techniques for configuring uplink transmissions using shared radio frequency spectrum band | Wei Yongbin |
10582504 | Usage of synchronization signal block index in new radio | Luo Tao |
10582517 | Scaling of shared spectrum exclusive resources | Montojo Juan |
10582523 | Method and apparatus for secondary base station mobility | Kubota Keiichi |
10582538 | RACH combining across multiple attempts | Islam Muhammad Nazmul |
10582609 | Integration of through glass via (TGV) filter and acoustic filter | Yun Changhan Hobie |
10585641 | Tagging a sound in a virtual environment | Anantharaman Chetan |
10585734 | Fast invalidation in peripheral component interconnect (PCI) express (PCIe) address translation services (ATS) | Panian James Lionel |
10586038 | Secure stack overflow protection via a hardware write-once register | Desai Mamta |
10586102 | Systems and methods for object tracking | Ren Jianfeng |
10586544 | Encoding of multiple audio signals | Atti Venkatraman |
10586546 | Inversely enumerated pyramid vector quantizers for efficient rate adaptation in audio coding | Shahbazi Mirzahasanloo Taher |
10587136 | Parallel charging architecture | Mallapur Vinayakumar Prakash |
10587250 | Current-starving in tunable-length delay (TLD) circuits employable in adaptive clock distribution (ACD) systems for compensating supply voltage droops in integrated circuits (ICs) | Hanke Carl Christopher |
10587253 | Ring oscillator-based programmable delay line | Huang Yu |
10587298 | Transmission throttling for emission exposure management | Yan Hongbo |
10587329 | Transmit antenna diversity in radio front end architectures | Maldonado David |
10587356 | Spectrum partitioning using hilbert transform for improved frequency scan | Kumar Ravinder |
10587362 | Support for multiple coding schemes | Rico Alvarino Alberto |
10587385 | Techniques and apparatuses for acknowledgement (ACK) / negative acknowledgement (NACK) signaling using a reference signal | Huang Yi |
10587391 | Simplified C-PHY high-speed reverse mode | Wiley George Alan |
10587497 | Channel sensing for independent links | Luo Tao |
10587721 | Small cell edge computing platform | Das Soumya |
10587880 | Zero block detection using adaptive rate model | Yu Yang |
10587883 | Region-wise packing, content coverage, and signaling frame packing for media content | Wang Ye-Kui |
10587904 | Processing media data using an omnidirectional media format | Wang Yekui |
10587934 | Virtual reality video signaling in dynamic adaptive streaming over HTTP | Wang Ye-Kui |
10588019 | Secure signaling before performing an authentication and key agreement | Lee Soo Bum |
10588038 | Technique for over-the-air non-linearity estimation | Eitan Alecsander |
10588042 | Transmission opportunities during measurement gaps | Nagaraja Sumeeth |
10588047 | Transmission of buffer status reports on multiple component carriers | Akkarakaran Sony |
10588055 | Methods and apparatus for network selection | Mandavilli Ravi Teja |
10588068 | Fast cell reselection | Xie Ling |
10588089 | Mitigation of calibration errors | Raghavan Vasanthan |
10588101 | Long term evoluton (LTE) user equipment relays having a licensed wireless or wired backhaul link and an unlicensed access link | Malladi Durga Prasad |
10588102 | Coordinated synchronization channel transmission and restricted measurement | Chakraborty Kaushik |
10588103 | Synchronization for standalone LTE broadcast | Patel Shimman Arvind |
10588119 | CRS bandwidth signaling | Bhattad Kapil |
10588130 | Methods and apparatus for user equipment capability exchange | Raghunathan Shravan Kumar |
10588135 | Techniques for handling bursty interference in a shared radio frequency spectrum band | Chendamarai Kannan Arumugam |
10588136 | Restrict the positioning reference signal bandwidth in NB-IoT to save power | Kumar Mukesh |
10588141 | Multiple antennas and interruption time values for sounding reference signal (SRS) switching | Rico Alvarino Alberto |
10588143 | Rate matching of data transmission in control resource region | John Wilson Makesh Pravin |
10588146 | Scheduling request collection through license-assisted operation | Islam Muhammad Nazmul |
10588151 | Spatial listen before talk by precoded request to send and clear to send via whitening | Fan Zhifei |
10588152 | Access point (AP) controlled uplink RTS/CTS configuration and disablement | Zhou Yan |
10588159 | Contention window with spatial LBT | Zhang Xiaoxia |
10588162 | LTE-U uplink waveform and variable multi-subframe scheduling | Ji Tingfang |
10588166 | Communicating control information via interleaved symbols | Li Chong |
10588171 | Techniques for multi-state DRX in new radio | Ang Peter Pui Lok |
10591531 | Method and apparatus for integrated circuit monitoring and prevention of electromigration failure | Chandra Rajit |
10591610 | Method and apparatus for optimizing GNSS search with wireless wide area networks | Pon Rayman |
10591920 | Holistic planning with multiple intentions for self-driving cars | Lv Zhaoyang |
10591938 | PMOS-output LDO with full spectrum PSR | Golara Soheil |
10591965 | System and method for context-aware thermal management and workload scheduling in a portable computing device | Jain Palkesh |
10591975 | Memory access management for low-power use cases of a system on chip via secure non-volatile random access memory | Li Yanru |
10592292 | Method and apparatus for optimized execution using resource utilization maps | Reshadi Mehrdad Mohammad H. |
10592441 | Bus communication enhancement based on identification capture during bus arbitration | Mishra Lalan Jee |
10592468 | Shuffler circuit for lane shuffle in SIMD architecture | Han Liang |
10593097 | Distributed graphics processing | Makar Mina |
10593341 | Coding of multiple audio signals | Atti Venkatraman |
10593700 | Standard cell architecture with M1 layer unidirectional routing | Gupta Mukul |
10594308 | Digitally assisted control over comparator input common mode | Sun Lei |
10594349 | Enhanced modem based carrier auto-selection algorithm | Thakkar Samir |
10594371 | Precoding reference signals for uplink transmission with downlink interference information | Akkarakaran Sony |
10594451 | Uplink common burst symbol configuration | Wang Renqiu |
10594452 | Channel state information reference signals | Bhattad Kapil |
10594521 | Asynchronous multicarrier communications | Soriaga Joseph Binamira |
10594530 | Techniques for successive peak reduction crest factor reduction | Geng Jifeng |
10594532 | Uplink transmit diversity and precoding | Wang Renqiu |
10594534 | Communicating synchronization signals in wireless communications | Lee Heechoon |
10594652 | Wireless communication utilizing a unified air interface | Bhushan Naga |
10594653 | Wireless communication utilizing a unified air interface | Bhushan Naga |
10594796 | Extending an IoT control interface from an IoT controller to a user device as part of a video media stream of a wireless media presentation session | Verma Lochan |
10594848 | Systems and methods of initiating a communication at a mobile device | Narayanaswamy Ashokkumar |
10594921 | Dual phase detection power optimizations | Kadambala Ravi Shankar |
10594925 | Camera zoom level and image frame capture control | Srivastava Nitin |
10595031 | Selection of target output layers in high efficiency video coding extensions | Chen Ying |
10595032 | Syntax structures for high dynamic range and wide color gamut video coding | Ramasubramonian Adarsh Krishnan |
10595035 | Constraining motion vector information derived by decoder-side motion vector derivation | Karczewicz Marta |
10595162 | Access point environment characterization | Agrawal Meghna |
10595214 | Identifying, avoiding, and/or allowing limited access to sub-optimal cells | Santhanam Arvind |
10595217 | Flexible interleaving for wireless communications | Li Wenjun |
10595225 | Phase-noise compensation reference signal configuration reporting and signaling | John Wilson Makesh Pravin |
10595237 | Carrier aggregation across different radio access technologies | Ji Tingfang |
10595238 | Systems and methods to improve mobility for a mobile device in ecall-only mode | Chaponniere Lenaig Genevieve |
10595280 | Repetition level coverage enhancement techniques for physical random access channel transmissions | Chen Wanshi |
10595282 | Apparatus and method for adjustment of transmitter power in a system | Forrester John |
10595293 | Timing advance design for enhanced component carrier | Yoo Taesang |
10595297 | Wireless network page transmission and response | Horn Gavin Bernard |
10595302 | Subframe structure with embedded control signaling | Mukkavilli Krishna Kiran |
10595311 | Adapting transmissions in multi-transmission time interval (TTI) sidelink communication | Gupta Piyush |
10595313 | Techniques for cross-carrier scheduling using multiple transmission time interval durations | Hosseini Seyedkianoush |
10595314 | Multi-slot slot format indicator (SFI) extensions | Sun Jing |
10595315 | Enabling multi-cluster transmissions | Nguyen Tien Viet |
10595322 | Beamforming and user equipment grouping | Islam Muhammad Nazmul |
10595327 | Inter-access terminal unblocking and enhanced contention for co-existence on a shared communication medium | Sadek Ahmed |
10595328 | Uplink channel selection using channel interference tolerance level feedback for grantless data transmission | Zeng Wei |
10595332 | Aligning slots allocated to extended cyclic prefix symbols with slots allocated to normal cyclic prefix symbols | Jiang Jing |
10595342 | Simultaneous reception sweep and transmission in a full-duplex node | Islam Muhammad Nazmul |
10599442 | Selectable boot CPU | Packer Ali Dhamim |
10599566 | Multi-mode cache invalidation | Adaikkalavan Ramasamy |
10599634 | Signaling which version information to use on byte-range file repair | Pazos Carlos Marcelo Dias |
10600157 | Motion blur simulation | Shoa Hassani Lashdan Alireza |
10600569 | Finger metal-on-metal capacitor containing negative capacitance material | Lu Ye |
10600731 | Folded metal-oxide-metal capacitor overlapped by on-chip inductor/transformer | Cheng Haitao |
10600774 | Systems and methods for fabrication of gated diodes with selective epitaxial growth | Choi Youn Sung |
10600785 | Layout construction for addressing electromigration | Rasouli Seid Hadi |
10600866 | Standard cell architecture for gate tie-off | Chen Xiangdong |
10600894 | Bipolar junction transistor and method of fabricating the same | Goktepeli Sinan |
10600910 | High voltage (HV) metal oxide semiconductor field effect transistor (MOSFET) in semiconductor on insulator (SOI) technology | Liang Qingqing |
10601217 | Methods for detecting an imminent power failure in time to protect local design state | Batenburg Michael Kevin |
10601222 | Stacked symmetric T-coil with intrinsic bridge capacitance | Fan Siqi |
10601447 | Field prioritization for polar codes | Lin Jamie Menjay |
10601450 | List management for parallel operations of polar codes | Lin Jamie Menjay |
10601477 | Initial integration of wireless devices in an integrated access and backhaul system | Abedini Navid |
10601488 | Using image processing to assist with beamforming | Ryu Jung Ho |
10601561 | Techniques for transmitting and receiving synchronization signals over an unlicensed radio frequency spectrum band | Damnjanovic Aleksandar |
10601565 | Flexible data and/or reference signal scheduling in one or more uplink pilot time slots in a wireless network | Sun Jing |
10601628 | Methods and apparatus for dynamic adjustment of amplifier gain | Lie Gregory |
10601710 | IP level multipath protocol | Gholmieh Ralph Akram |
10601715 | Methods and apparatus for multiple user uplink | Merlin Simone |
10602180 | Motion vector prediction | Chen Yi-Wen |
10602321 | Audio systems and methods | Miller Brian F. |
10602348 | System and method for updating dataset versions resident on a wireless device | Minear Brian E. |
10602411 | Redirection in a neutral-host network | Lee Jangwon |
10602453 | Uplink power control in wireless systems | Fakoorian Seyed Ali Akbar |
10602465 | Multi-radio access technology synchronization signal | Wang Xiao Feng |
10602466 | Multi-basic service set uplink time alignment | Venkatachalam Jayaraman Venkata Ramanan |
10602488 | HARQ and control channel timing for enhanced machine type communications (EMTC) | Rico Alvarino Alberto |
10602489 | Self-contained uplink for reduced duration transmission time interval | Sun Jing |
10602504 | Multiple subframe set CSI feedback | Chen Wanshi |
10602508 | LTE-direct communication for vehicle-to-vehicle | Tavildar Saurabha Rangrao |
10602515 | Guard band with synchronization information | Ly Hung |
10602520 | Multi-link control beam switching | John Wilson Makesh Pravin |
10602525 | Multiplexing UES with different TDD configurations and some techniques to mitigate UE-to-UE and base station-to-base station interference | Kumar Raj K. |
10602527 | Channel selection scanning in shared spectrum | Xia Ping |
10602536 | Beacon-aware co-existence in shared spectrum | Jin Zhong Yi |
10602543 | Asynchronous channel reservation design with partial symbol alignment | Sun Jing |
10602545 | Cooperative hidden node identification and management | Mallik Siddhartha |
10605859 | Visible alignment markers/landmarks for CAD-to-silicon backside image alignment | Salem Rami |
10606305 | Processor load step balancing | Bowles Kevin |
10606327 | Heat reduction using selective insulation and thermal spreading | Chiriac Victor |
10606333 | Wake-up detector | Sasson Oron |
10606339 | Coherent interconnect power reduction using hardware controlled split snoop directories | Avoinne Christophe |
10606996 | Managing latency and power in a heterogeneous distributed biometric authentication hardware | John Archibald Fitzgerald |
10607572 | Frequency synchronization and phase correction | Satpute Anand Prabhakar |
10607980 | Passive-on-glass (POG) device and method | Lan Je-Hsiung Jeffrey |
10608036 | Metal mesh light pipe for transporting light in an image sensor | Ma Jian |
10608123 | Metal oxide semiconductor varactor quality factor enhancement | Konkapaka Phanikumar |
10608124 | Back silicided variable capacitor devices | Goktepeli Sinan |
10608174 | Double-patterned magneto-resistive random access memory (MRAM) for reducing magnetic tunnel junction (MTJ) pitch for increased MRAM bit cell density | Li Xia |
10608583 | Phase noise reduction techniques for voltage-controlled oscillators (VCOs) | Taghivand Mazhareddin |
10608598 | Amplification in presence of a variable antenna impedance | Wang Chuan |
10608601 | Active biquad filter with oscillator circuit | Karmaker Rahul |
10608678 | Bidirectional repeaters for time division duplexed millimeter wave systems | Hormis Raju |
10608720 | Indicating support for communication using mid-ambles | Verma Lochan |
10608723 | Techniques for assisted beam refinement | Raghavan Vasanthan |
10608770 | Techniques and apparatuses for channel processing backwards compatibility | Rico Alvarino Alberto |
10608779 | Search space configuration for new radio (NR) system | Sun Jing |
10608785 | Resource-based code block segmentation | Wang Renqiu |
10608810 | Techniques and apparatuses for synchronization design | Liu Le |
10608947 | Per-flow jumbo MTU in NR systems | Lee Kuo-Chun |
10609265 | Methods and apparatus for synchronizing camera flash and sensor blanking | Pandit Nishant |
10609274 | Systems and methods for autofocus and depth map generation | Shin Mooyoung |
10609288 | Roll compensation and blur reduction in tightly synchronized optical image stabilization (OIS) | Kulik Victor |
10609367 | Low-complexity sign prediction for video coding | Zhao Xin |
10609414 | Context modeling for transform coefficient coding | Zhang Li |
10609418 | System and method for intelligent data/frame compression in a system on a chip | Gadelrab Serag |
10609423 | Tree-type coding for video coding | Chuang Hsiao-Chiang |
10609529 | Multi-modem scheduler for multimedia streams | Gholmieh Ralph Akram |
10609613 | UE network mobility during IMS | Gholmieh Aziz |
10609619 | Cell selection procedures for machine type communication devices | Wang Renqiu |
10609629 | Method and apparatus for exploiting radio access technology system information blocks for time transfer based positioning | Bitra Suresh Kumar |
10609654 | Indexing cellular V2X coverage range to vehicle speed | Misener James Alan |
10609657 | Uplink transmit power control during random access procedures | Islam Muhammad Nazmul |
10609660 | Synchronization for wideband coverage enhancement | Liu Chih-Hao |
10609661 | Timing indication through DMRS/PBCH in different modes | Abedini Navid |
10609663 | Techniques for reporting timing differences in multiple connectivity wireless communications | Vajapeyam Madhavan Srinivasan |
10609664 | Techniques and apparatuses for frequency division multiplexing (FDM) based medium access on shared spectrum | Zhang Xiaoxia |
10609673 | Reference signal measurement in mobile device having multiple antenna receiver | Kumar Akash |
10609679 | Protocol for device-to-device positioning | Jiang Libin |
10609714 | Spatial listen before talk | Fakoorian Seyed Ali Akbar |
10609715 | Channel feedback preceding downlink data transmissions in cellular IoT systems | Sadiq Bilal |
10609726 | Downlink and uplink transmissions for high reliability low latency communications systems | Hosseini Seyedkianoush |
10613209 | Wireless control of unmanned aerial vehicle with distance ranging and channel sensing | Emami Sohrab |
10613546 | Stochastic map-aware stereo vision sensor model | Aghamohammadi Aliakbar |
10613612 | Power reduction via memory efficiency compensation | Nampoothiri Sankaran |
10613613 | Memory interface with adjustable voltage and termination and methods of use | Brunolli Michael |
10613756 | Hardware-accelerated storage compression | Shin Hyunsuk |
10613772 | Methods and apparatuses for copying a data page in an unmanaged flash memory device | Reddy Nikhilesh |
10613811 | Driving multiple display devices with a single display port | Hardacker Robert |
10613829 | Performance power optimized full adder | Srivastava Manish |
10613870 | Fully extensible camera processing pipeline interface | Frascati Christopher Paul |
10614007 | Providing interrupt service routine (ISR) prefetching in multicore processor-based systems | Srinivas Raghavendra |
10614009 | Asynchronous interrupt with synchronous polling and inhibit options on an RFFE bus | Mishra Lalan Jee |
10614198 | Methods and systems for accessing licensable items in a geographic area | Hough Jason M. |
10614603 | Color normalization for a multi-camera system | Sahu Shilpi |
10614816 | Systems and methods of communicating redundant frame information | Atti Venkatraman S. |
10614831 | Audio activity tracking and summaries | Jarvis Murray |
10614865 | Boost generation circuitry for memory | Mohanty Shiba Narayan |
10614942 | Inductors formed with through glass vias | Yun Changhan Hobie |
10615113 | Rotated metal-oxide-metal (RTMOM) capacitor | Li Xia |
10615294 | Variable capacitor | Tao Gengming |
10615712 | Self-powered clock input buffer | Park Dongmin |
10615749 | Modulator | Papageorgiou Efthymios Philip |
10615780 | Low power 25% duty cycle local oscillator clock generation circuit | Paul Animesh |
10615785 | Fully compensated complementary duty cycle correction circuits | Chou Shih-Wei |
10615796 | Level shifter | Zhang Zhiqing |
10615808 | Frequency synthesis with accelerated locking | Wang Kevin Jia-Nong |
10615825 | Early-termination techniques for polar list decoders | Sarkis Gabi |
10615840 | Coexistence over a shared band with dual antenna sharing | Lee Heechoon |
10615862 | System and method for beam adjustment request | Islam Muhammad Nazmul |
10615897 | Time division multiplexing of synchronization channels | Islam Muhammad Nazmul |
10615988 | Compact and reliable physical unclonable function devices and methods | Li Xia |
10616031 | Apparatus and methods for uniform constellations and non-uniform constellations in wireless local area networks | Yang Lin |
10616123 | Apparatus and method for adaptive de-jitter buffer | Wang Min |
10616304 | Audio dejittering using delay standard deviation | Lee Tony |
10616502 | Camera preview | Ding Jingting |
10616582 | Memory and bandwidth reduction of stored data in image/video coding | Zhang Li |
10616604 | Coefficient level coding in video coding | Zhang Li |
10616737 | Enhanced machine type communications physical uplink control channel design | Liu Chih-Hao |
10616743 | Low-latency audio streaming with communication coexistence | Wojcieszak Laurent |
10616769 | Radio (NR) procedures for accessing shared spectrum | Damnjanovic Aleksandar |
10616771 | Opportunistic reclaiming of resources in new radio-spectrum sharing (NR-SS) | Montojo Juan |
10616808 | Exchanging network server registration credentials over a D2D network | Mohan Prashanth |
10616822 | System information updating | Kubota Keiichi |
10616838 | Signaling of transmit power related information | Zhou Yan |
10616839 | Over-the-air phase synchronizatin for reciprocity-based comp joint transmission | Fan Zhifei |
10616845 | Coordinated resource discovery | Fan Michael Mingxi |
10616846 | Raster offset prediction for improved acquisition performance in NB-IoT | Menon Srikanth |
10616847 | Techniques and apparatuses for multiple transmission of synchronization signal blocks in new radio | Ly Hung |
10616858 | Optimized observed time difference of arrival (OTDOA) in licensed-assisted access (LAA) | Agnihotri Hem |
10616862 | Paging for mmW shared radio frequency spectrum bands | Patel Chirag |
10616865 | Techniques for reporting radio resource management (RRM) measurements for a shared radio frequency spectrum band | Yerramalli Srinivas |
10616869 | Uplink channel design for slot-based transmission time interval (TTI) | Chen Wanshi |
10616875 | Techniques and apparatuses for downlink channel monitoring | Hosseini Seyedkianoush |
10616884 | Techniques for signaling a transmit power headroom in a control field | Asterjadhi Alfred |
10616912 | Uplink payload determination and uplink grant indication for multefire | Chendamarai Kannan Arumugam |
10616914 | Unicast data transmission on a downlink common burst of a slot using mini-slots | Manolakos Alexandros |
10616929 | Method and apparatus for internet resource sharing | Goddeti Penchal Prasad |
10616945 | Secondary cell group failure handling | Yu Yu-Ting |
10618079 | Piezoelectric micromechanical ultrasonic transducers and transducer arrays | Kidwell, Jr. Donald William |
10620316 | Systems and methods for generating a structured light depth map with a non-uniform codeword pattern | Lindner Albrecht Johannes |
10620441 | Viewport-aware quality metric for 360-degree video | Van Der Auwera Geert |
10620826 | Object selection based on region of interest fusion | Zhong Xin |
10621690 | Storing bandwidth-compressed graphics data | Gruber Andrew Evan |
10621767 | Fisheye image stitching for movable cameras | Forutanpour Bijan |
10622043 | Multi-pump memory system access circuits for sequentially executing parallel memory operations | Nguyen Hoan Huu |
10622044 | Memory hold margin characterization and correction circuit | Duggal Bipin |
10622282 | Systems and methods for cooling an electronic device | Prajapati Rupal |
10622292 | High density interconnects in an embedded trace substrate (ETS) comprising a core layer | Kang Kuiwon |
10622465 | Heterojunction bipolar transistor (HBT) | Tao Gengming |
10622479 | Circuits employing a double diffusion break (DDB) and single diffusion break (SDB) in different type diffusion region(s), and related fabrication methods | Yang Haining |
10622491 | Well doping for metal oxide semiconductor (MOS) varactor | Chen Chuan-Hsing |
10622492 | Variable capacitor flat-band voltage engineering | Marino Fabio Alessio |
10622983 | Apparatus and method for comparing input current to set of current thresholds | McGowan Michael |
10623074 | Fast training on multi-antenna systems | Sanderovich Amichai |
10623077 | Wireless communication using different types of cyclic prefixes | Li Junyi |
10623081 | Autonomous reception beam refinement and tracking | Levitsky Michael |
10623115 | Transmitter beamforming for self-interference cancellation | Vallese Pierpaolo |
10623138 | Sequence-based polar code description | Yang Yang |
10623163 | Coexistence of control resource sets with different waveforms | Sun Jing |
10623167 | Feedback processing techniques in wireless transmissions | Hosseini Seyedkianoush |
10623208 | Channel estimation enhancements | Jiang Jing |
10623683 | Methods and apparatus for improving image retention | Marchya Dileep |
10623737 | Peak sample adaptive offset | Karczewicz Marta |
10623755 | End of sequence and end of bitstream NAL units in separate file tracks | Wang Ye-Kui |
10623774 | Constrained block-level optimization and signaling for video coding tools | Zhao Xin |
10623845 | Acoustic gesture detection for control of a hearable device | Kim Lae-Hoon |
10623908 | Pedestrian positioning via vehicle collaboration | Jiang Libin |
10623938 | Methods and apparatus for paging based peer discovery | Tsirtsis Georgios |
10623946 | Multi-SIM assistance information | Kumar Akash |
10623951 | WWAN-WLAN aggregation security | Palanigounder Anand |
10623989 | Techniques and apparatuses for unidirectional robust header compression | Bathwal Saket |
10624006 | Techniques for handover of a connection between a wireless device and a local area network, from a source access node to a target access node | Hampel Karl Georg |
10624015 | Timing advance assisted measurement report for improved handover performance | Duan Long |
10624020 | Non-access stratum transport for non-mobility management messages | Abraham Santosh Paul |
10624024 | Correlation-enhanced frequency scanning | Sahin Mustafa Emin |
10624033 | Voice over internet protocol power conservation techniques for wireless systems | Zhou Ping |
10624070 | Scheduling and transmission scheme for periodic and aperiodic control information | Akkarakaran Sony |
10624072 | Shortened transmission time interval (STTI) configuration for low latency communications | Patel Shimman Arvind |
10624073 | Downlink and uplink channel with low latency | Xu Hao |
10624075 | Apparatus and method for scheduling delayed ACKs/NACKs in LTE cellular systems | Khude Nilesh N. |
10624079 | Coexistence of narrow-band internet-of-things/enhanced machine type communication and 5G | Xu Hao |
10624108 | Coexistence interference mitigation in wireless systems | Manolakos Alexandros |
10624112 | Location and listen-before-schedule based resource allocation for vehicle-to-vehicle communication | Patil Shailesh |
10624119 | Transmission scheduling for contention based carrier | Damnjanovic Aleksandar |
10624125 | Techniques for semi-autonomously scheduling an uplink transmission in a shared radio frequency spectrum band | Yerramalli Srinivas |
10624138 | Method and apparatus for managing packet data network connectivity | Horn Gavin Bernard |
10625752 | System and method for online functional testing for error-correcting code function | Kakoee Mohammad Reza |
10627525 | Water-related action triggering | Wu Jie |
10627839 | Multiple input multiple output regulator controller system | Ho Ngai Yeung |
10627881 | Back power protection (BPP) in a system on a chip (SOC) with critical signaling scheme | Mishra Lalan Jee |
10628064 | Parameter override mechanism for memory systems | Hudson James |
10628162 | Enabling parallel memory accesses by providing explicit affine instructions in vector-processor-based devices | Panda Amrit |
10628172 | Systems and methods for using distributed universal serial bus (USB) host drivers | Kulkarni Ameya |
10628274 | Self-test during idle cycles for shader core of GPU | Gulati Rahul |
10628308 | Dynamic adjustment of memory channel interleave granularity | Desai Kunal |
10628321 | Progressive flush of cache memory | Torchalski Andrew |
10628609 | Method and apparatus for performing signature verification by offloading values to a server | Godas-Lopez Daniel |
10628611 | Exclusive execution environment within a system-on-a-chip computing system | Zeng Thomas |
10628705 | Combining convolution and deconvolution for object detection | Jiang Bolan |
10628961 | Object tracking for neural network systems | Sundaresan Sairam |
10628965 | Systems and methods for illuminant-invariant model estimation | Deng Yan |
10629523 | Via-based vertical capacitor and resistor structures | Song Chao |
10629590 | Stacked resistor-capacitor delay cell | Lu Ye |
10630236 | Switched capacitance circuit | Pai Hung-Chuan |
10630239 | Low area layout for multiphase mixer local oscillator | Mittal Ayush |
10630262 | Filter circuit | Zhuo Wei |
10630323 | Asymmetric adjacent channel leakage ratio (ACLR) control | Spring Ryan Scott Castro |
10630324 | System for voltage standing wave ratio (VSWR) measurement | Summers Andrew |
10630328 | Current-mode filtering using current steering | Gathman Timothy Donald |
10630346 | Carrier aggregation under different subframe structures | Chen Wanshi |
10630349 | Multi-layer modulated streams | Park Seyong |
10630357 | Wireless personal area network transmit beamforming | Luong Le |
10630364 | CSI feedback processing and reporting for EB/FD-MIMO | Wei Chao |
10630450 | Overlapping code block groups for multiple codewords | Sun Jing |
10630513 | Signal spreading techniques for non-orthogonal multiple access wireless communications | Lei Jing |
10630518 | Pilot ratio adaptation | Park Seyong |
10630524 | Early determination of an operation mode in a narrowband internet of things (NB-IoT) system | Abedini Navid |
10630535 | Notification timing for electronic devices | Narayanaswamy Ashokkumar |
10630568 | Transmission control protocol timestamp rewriting | Mokkapati Venu Madhav |
10630661 | Techniques for securely communicating a data packet via at least one relay user equipment | Lee Soo Bum |
10630895 | Assist for orienting a camera at different zoom levels | Baldwin Cullum |
10630903 | Systems and methods for image exposure | Srivastava Nitin |
10630962 | Systems and methods for object location | Dabeer Onkar Jayant |
10630976 | Display refresh blocks determination for video coding | Marchya Dileep |
10631002 | Frame rate up-conversion coding mode | Li Xiang |
10631005 | System and method for coding in block prediction mode for display stream compression (DSC) | Jacobson Natan Haim |
10631117 | Method and system for user equipment managing positioning assistance data for wearable devices | Gao Weihua |
10631159 | UE capability reporting for dual-polarization wireless communication | Chakraborty Kaushik |
10631173 | Radio (NR) procedures for shared spectrum | Damnjanovic Aleksandar |
10631178 | Control resource set group design for improved communications devices, systems, and networks | Sun Jing |
10631200 | System and method for packet transmission | Agarwal Vishal |
10631261 | Legacy compatible primary synchronization signal / secondary synchronization signal design for coverage extended and cost-reduced user equipment | Liu Chih-Hao |
10631271 | Autonomous resource selection for multiple transmissions in device-to-device communications | Gulati Kapil |
10631295 | Techniques for beacon-assisted multi-tier spectrum sharing | Bhushan Naga |
10631299 | Transmission scheme management for common channels in NR | Chen Wanshi |
10631301 | Positioning reference signal enhancements | Rico Alvarino Alberto |
10631323 | Delayed control feedback in a time division duplex carrier utilizing common bursts | Zeng Wei |
10631329 | Non-coherent joint transmission techniques | Sun Jing |
10631330 | Random access procedures under coverage limitations | Vajapeyam Madhavan Srinivasan |
10631331 | Frame structure signaling for multefire | Chendamarai Kannan Arumugam |
10631333 | Synchronized medium sharing with private network | Zhang Xiaoxia |
10631343 | Techniques for connection setup of mmWave-based V2X communication systems | Wu Zhibin |
10631346 | Communicating remote and local data in a wireless fronthaul | Hampel Karl Georg |
10635159 | Adaptive voltage modulation circuits for adjusting supply voltage to reduce supply voltage droops and minimize power consumption | Kolla Yeshwant Nagaraj |
10635446 | Reconfiguring execution pipelines of out-of-order (OOO) computer processors based on phase training and prediction | Priyadarshi Shivam |
10635630 | Flexible protocol and associated hardware for one-wire radio frequency front-end interface | Mishra Lalan Jee |
10636160 | Symmetry exploitation for object detection in images | Rajaram Rakesh Nattoji |
10636205 | Systems and methods for outlier edge rejection | Deng Yan |
10636577 | Safe handling of link errors in a peripheral component interconnect express (PCIE) device | Bakshi William |
10636737 | Structure and method of metal wraparound for low via resistance | Bao Junjing |
10636789 | Transistor with low resistivity carbon alloy | Lu Ye |
10636962 | Spin-orbit torque (SOT) magnetic tunnel junction (MTJ) (SOT-MTJ) devices employing perpendicular and in-plane free layer magnetic anisotropy to facilitate perpendicular magnetic orientation switching, suitable for use in memory systems for storing data | Park Chando |
10637411 | Transistor layout for improved harmonic performance | Vedula Ravi Pramod Kumar |
10637475 | Extended GPIO (eGPIO) | Jain Varun |
10637515 | Performing digital pre-distortion (DPD) training using a secondary network | Kutz Gideon Shlomo |
10637530 | Space time frequency multiplexing (STFM) for radar systems using complementary pair waveforms | Levitan Evgeny |
10637549 | Methods and systems for coordinating distributed MIMO communications | Cherian George |
10637608 | Techniques for improving feedback processes based on a latency between a transmission time interval (TTI) and a feedback opportunity | Chen Wanshi |
10637615 | Retransmission indication based on an acknowledgement based feedback scheme for wireless communication | Sundararajan Jay Kumar |
10637622 | Common reference signals for multiple search spaces within a control resource set | Lee Heechoon |
10637637 | Fixing dead-zone in clock data recovery circuits | Goudarzi Hadi |
10637709 | Signaling methods for frequency offset estimation using reference signals | Bai Tianyang |
10637759 | Methods and systems for enhanced round trip time (RTT) exchange | Aldana Carlos Horacio |
10637834 | Network architecture and security with simplified mobility procedure | Lee Soo Bum |
10637835 | Stateless access stratum security for Cellular Internet of Things | Lee Soo Bum |
10637870 | Wireless device attribute communication | Do Ju-Yong |
10638055 | Aperture simulation | Galor Gluskin Micha |
10638126 | Intra reference filter for video coding | Karczewicz Marta |
10638127 | Adaptive anchor frame and quantization parameter decision in video coding | Yu Yang |
10638133 | Delta quantization parameter (QP) coding options for video | Fang Xue |
10638140 | Slice level intra block copy and other video coding improvements | Seregin Vadim |
10638254 | Handling an early position fix for LPP-type positioning sessions | Jain Amit |
10638346 | Channel state computation for enhanced carrier aggregation | Subrahmanya Parvathanathan |
10638353 | Evolved data compression scheme for unreliable transmission modes | Ahmadzadeh Seyed Ali |
10638367 | Prioritizing incompatible network slices | Faccin Stefano |
10638369 | Quality of service configuration based on channel quality | Yang Yue |
10638371 | Universal reservation signal for medium sharing | Zhang Xiaoxia |
10638378 | UE selection of contention-free and contention-based random access for handover | Nagaraja Sumeeth |
10638388 | Techniques for fast transition of a connection between a wireless device and a local area network, from a source access node to a target access node | Hampel Karl Georg |
10638425 | Adaptive out of service scan for modem power optimization in a wireless communication system | Palo Nigam Mohan |
10638431 | Power control for coordinated multipoint joint transmission | Yoo Taesang |
10638444 | Positioning techniques for wireless communications devices using shared radio frequency spectrum | Yerramalli Srinivas |
10638453 | Methods and apparatus for power saving in broadcasting carrier information | Xu Hao |
10638469 | Data transmission in a physical downlink control channel | Hosseini Seyedkianoush |
10638471 | Low latency group acknowledgements | Chen Wanshi |
10638474 | Different numerology for signal transmission | Islam Muhammad Nazmul |
10638482 | Methods and apparatuses for dynamic beam pair determination | Zhu Jun |
10638483 | Techniques and apparatuses for beam switching | Zhou Yan |
10638485 | Techniques and apparatuses for channel interference reduction | Rico Alvarino Alberto |
10638501 | Opportunistic uplink transmission | Huang Yi |
10638513 | Pre-coded RTS/CTS for synchronous NR-SS | Xue Yisheng |
10638517 | Channel reservation signal design for shared spectrum | Sun Jing |
10638526 | Transport of control protocol for trusted WLAN (TWAN) offload | Zhao Suli |
10641901 | Autonomous satellite automatic gain control | Wheatley Charles Edward |
10641905 | Velocity bias compensation for swimmer position tracking | Tuck David |
10642302 | Apparatus and method for generating reference DC voltage from bandgap-based voltage on data signal transmission line | Sivakumar Balasubramanian |
10642329 | Peak current support for a power rail system via a shared secondary power supply | Park Hee Jun |
10642337 | Active power management in a computing device subsystem based on micro-idle duration | Chamarty Vinod |
10642387 | Apparatus and method for digitally driving touchscreen panels with transmit signals based on pulse width modulated (PWM) signals | Wadhwa Sameer |
10642778 | Slave master-write/read datagram payload extension | Mishra Lalan Jee |
10642781 | Boot time determination of calibration parameters for a component coupled to a system-on-chip | Packer Ali Dhamim |
10643063 | Feature matching with a subspace spanned by multiple representative feature vectors | Wang Lei |
10643296 | Systems and methods for rendering multiple levels of detail | Nguyen Phi Hung |
10643301 | Adaptive perturbed cube map projection | Coban Muhammed |
10643306 | Image signal processor for processing images | Hwang Hau |
10643375 | Dynamic lighting for objects in images | Rezaiifar Ramin |
10643381 | Systems and methods for rendering multiple levels of detail | Nguyen Phi Hung |
10643985 | Capacitor array overlapped by on-chip inductor/transformer | Cheng Haitao |
10644650 | Amplifier configuration for load-line enhancement | Chamas Ibrahim Ramez |
10644711 | Self-biased digitally controlled oscillator architecture | Tseng Yi-Hung |
10644732 | Systems and methods for signaling incorporating interference avoidance or beam nulling constraints for millimeter wave communication systems | Raghavan Vasanthan |
10644737 | Adaptive cyclic-shift based multiplexing | Manolakos Alexandros |
10644772 | Techniques for determining a number of receive chains to enable for a channel | Liu Qijia |
10644812 | User equipment antenna calibration with assistance from other devices | Yoo Taesang |
10644827 | Systems and methods for dynamic switching between waveforms on downlink | Akkarakaran Sony |
10644836 | Enhanced polar code constructions by strategic placement of CRC bits | Kudekar Shrinivas |
10644842 | Multiplexing code block group level and transport block level transmission and new data indications | Bhattad Kapil |
10644851 | Transmission, reception, and configuration of a CSIRS with a configurable bandwidth | Manolakos Alexandros |
10644859 | Unified frame structure | Jiang Jing |
10644910 | Methods and apparatus for managing interference across operators | Mukkavilli Krishna Kiran |
10644922 | Protected use of navigation and positioning signal | Opshaug Guttorm Ringstad |
10644923 | Configuration aspects of a tracking reference signal in new radio | Nam Wooseok |
10644926 | Hybrid polar modulator | Lopelli Emanuele |
10645007 | Quality of service (QOS) management in wireless networks | Faccin Stefano |
10645200 | Alternate acknowledgment (ACK) signals in a coalescing transmission control protocol/internet protocol (TCP/IP) system | Gil Amit |
10645272 | Camera zoom level and image frame capture control | Srivastava Nitin |
10645404 | Generic use of HEVC SEI messages for multi-layer codecs | Wang Ye-Kui |
10645586 | Coordinated resource partitioning | Fan Michael Mingxi |
10645590 | Self-contained transmissions for machine type communications | Yerramalli Srinivas |
10645592 | Centralized control of femto cell operation | Palanki Ravi |
10645631 | Device detection in mixed static and mobile device networks | Gupta Piyush |
10645647 | Exploiting DRX/CDRX parameters to conserve power during an observed time difference of arrival (OTDOA) session | Bitra Suresh Kumar |
10645651 | Methods and apparatus for improving re-activation of a NFC-F based remote NFC device | Hillan John |
10645660 | Signaling of synchronization block patterns | Akkarakaran Sony |
10645679 | Hybrid automatic repeat request (HARQ) payload mapping for short physical uplink control channel (PUCCH) on a shared communication medium | Chendamarai Kannan Arumugam |
10645681 | Control channel design for machine type communications | Chen Wanshi |
10645682 | Techniques and apparatuses for physical downlink control channel downlink control information to search space mapping | Bhattad Kapil |
10645689 | Link establishment in a wireless backhaul network using radio access technology | Abedini Navid |
10645693 | Methods and apparatus of implementing and/or using a control channel | Parizhsky Vladimir |
10645699 | Service type indicator in master information block (MIB) | Chen Wanshi |
10645704 | Multi-user multiple-input/multiple-output transmissions in millimeter wave systems | Raghavan Vasanthan |
10645727 | Directional reception and periodic RTS/CTS transmission to estimate interference | Islam Muhammad Nazmul |
10645731 | Random access enhancement based on scalable signature design | Lei Jing |
10645733 | Signaling and determination of slot and mini-slot structure | Ji Tingfang |
10645742 | Techniques for selecting subframe type or for interleaving signals for wireless communications over unlicensed spectrum | Bhushan Naga |
10645746 | Mechanisms for establishing user plane connectivity for non-3GPP access | Faccin Stefano |
10645748 | Radio resource control (RRC) entity selection for RRC messages | Agarwal Ravi |
10649064 | Method and/or system for acquisition of a positioning signal | Edge Stephen William |
10649503 | Device comprising compressed thermal interface material (TIM) and electromagnetic (EMI) shield comprising flexible portion | Sahu Vivek |
10650568 | In-flight adaptive foveated rendering | Holmes Samuel Benjamin |
10651122 | Integrated circuit (IC) interconnect structure having a metal layer with asymmetric metal line-dielectric structures supporting self-aligned vertical interconnect accesses (VIAS) | Bao Junjing |
10651160 | Low profile integrated package | Kang Kuiwon |
10651268 | Metal-oxide-metal capacitor with improved alignment and reduced capacitance variance | Cheng Haitao |
10651657 | Dynamic adjustment of power for wireless power transmission | Von Novak, III William Henry |
10651799 | Selecting between boosted supply and battery supply | Zhang Zhiqing |
10651807 | Complementary variable gain amplification | Gathman Timothy Donald |
10651864 | Time-interleaved charge sampler receiver | Gathman Timothy Donald |
10651899 | System and method for beam switching and reporting | Islam Muhammad Nazmul |
10651917 | Transmission configuration indication based beam switching | John Wilson Makesh Pravin |
10651957 | Proximity detection using a hybrid transceiver | Rimini Roberto |
10651961 | Techniques for transmitting and receiving downlink control information for a set of NOMA downlink transmissions | Sun Jing |
10651967 | Communication using multiple modulation coding schemes based on difference in time from demodulation reference signal communication | Zavurov Lev |
10651972 | Adjusted fractally enhanced kernel polar codes for achievable signal-to-noise ratio spike mitigation | Wang Ying |
10651987 | Operator identification for co-existence on a shared communication medium | Luo Tao |
10651990 | Techniques for improving URLLC communications in new radio | Chen Wanshi |
10651995 | Transmission of group common control information in new radio | Nam Wooseok |
10651996 | Techniques for dynamic demodulation reference signal patterns for data transmission | Huang Yi |
10652069 | Resource element group mapping for a downlink control channel | Hosseini Seyedkianoush |
10652169 | Hybrid automatic repeat request management for differing types of hybrid automatic repeat request processes | Hosseini Seyedkianoush |
10652512 | Enhancement of high dynamic range content | Ikizyan Ike |
10652553 | Systems and methods of signaling of regions of interest | Van Der Auwera Geert |
10652571 | Advanced motion vector prediction speedups for video coding | Hu Nan |
10652575 | Linear model chroma intra prediction for video coding | Zhang Kai |
10652630 | Sample entries and random access | Wang Ye-Kui |
10652631 | Sample entries and random access | Wang Ye-Kui |
10652691 | Optimized positioning method for mobile devices | Kumar Akash |
10652718 | Audio correlation selection scheme | Linsky Joel Benjamin |
10652760 | Multi-user multiplexing frame structure for eMTC | Wang Renqiu |
10652768 | Control channel based broadcast messaging | Xu Hao |
10652775 | Techniques for mitigating interference for transmissions of a periodic multi-beam discovery reference signal | Chendararai Kannan Arumugam |
10652815 | Techniques and apparatuses for network access via a hybrid cell associated with a shared public land mobile network identity | Joseph Vinay |
10652822 | Macro and micro discontinuous reception | Agarwal Ravi |
10652833 | Evaluating radio frequency (RF) exposure in real time | Nadakuduti Jagadish |
10652854 | Paging super frame window for narrowband communications using the unlicensed spectrum | Liu Chih-Hao |
10652879 | Semi-persistent scheduling for transmissions | Rico Alvarino Alberto |
10652885 | Channel state information reporting for systems with multiple transmission time intervals | Hosseini Seyedkianoush |
10652889 | Wireless communication between wideband ENB and narrowband UE | Yerramalli Srinivas |
10652893 | Beam determination during a reference signal transmission | Sadiq Bilal |
10652894 | Timing advance reporting for latency reduction | Hosseini Seyedkianoush |
10652908 | Techniques and apparatuses for dynamic prioritization for delay-sensitive services | He Linhai |
10652914 | CSI-RS scrambling of subframes in a multi-subframe DRS | Liu Chih-Hao |
10652918 | Increased uplink pilot time slot length in special subframes | Wei Chao |
10652921 | Techniques for handling feedback for downlink transmissions in a shared radio frequency spectrum band | Zhang Xiaoxia |
10652924 | Universal reservation signal for wireless communications | Wentink Maarten Menzo |
10656203 | Low pin count test controller | Kishore Punit |
10656237 | Detection of mobile wireless terminals in crowdsourced data | Patil Milind |
10656263 | Extended localization range and assets tracking | Weissman Haim Mendel |
10657974 | Priority information for higher order ambisonic audio data | Kim Moo Young |
10658029 | High bandwidth double-pumped memory | Nguyen Hoan Huu |
10658754 | Antenna array including suppressor | Ravishankar Arjun |
10659010 | RF output driver | Patel Chirag Dipak |
10659015 | Method, apparatus, and system for a level shifting latch with embedded logic | Liles Stephen |
10659102 | Synchronization techniques using frequency hopping in unlicensed radio frequency spectrum | Yerramalli Srinivas |
10659109 | Method and apparatus for expanding quasi-colocation (QCL) signaling to cover varied scenarios | John Wilson Makesh Pravin |
10659117 | Codebook restriction and sub-sampling for channel state information reporting | Hosseini Seyedkianoush |
10659131 | Methods and apparatus for channel quality indication feedback in a communication system | Pi Zhouyue |
10659132 | Beam scanning period configuration | Raghavan Vasanthan |
10659135 | Coordinated discovery of MMW connection points and UES | Subramanian Sundar |
10659188 | Transmitter link enhancement techniques | Dabbagh Amir |
10659207 | Uplink power control in new radio (NR) | Wang Renqiu |
10659209 | System and method for low latency acknowledgements | Jiang Jing |
10659246 | Methods to discover, configure, and leverage relationships in internet of things (IoT) networks | Guedalia Isaac David |
10659257 | Low latency multiplexing operations | Hosseini Seyedkianoush |
10659507 | Indication for partial segment | Lotfallah Osama |
10659760 | Enhanced high-level signaling for fisheye virtual reality video | Wang Yekui |
10659791 | Hierarchy of motion prediction video blocks | Zheng Yunfei |
10659906 | Audio parallax for virtual reality, augmented reality, and mixed reality | Kim Moo Young |
10659921 | Measurement batching | Venkatraman Sai Pradeep |
10659936 | Enhanced vehicle-to-everything radio access technology migration | Cheng Hong |
10659967 | Channel usage beacon signal transmissions based on uplink transmissions over an unlicensed radio frequency spectrum band | Wei Yongbin |
10660009 | Linkage and indication of HARQ packet transmissions and parameters for URLLC in NR | Chen Wanshi |
10660020 | Search space set combining and dropping | Sun Jing |
10660032 | Micro sleep indicator techniques in a wireless communication system | Sun Jing |
10660033 | Regularly scheduled sessions in a cellular internet of things system | Li Junyi |
10660038 | Wake-up radio frame formats and device communications | Asterjadhi Alfred |
10660042 | Techniques and apparatuses for polled-mode power saving | Abraham Santosh Paul |
10660043 | Transmit power control command handling across multiple downlink control information | Akkarakaran Sony |
10660053 | Separate measurement and reporting for different transmit receive points | Joseph Vinay |
10660056 | Techniques for timing control with filtering in orthogonal frequency division multiplexing-based systems | Akkarakaran Sony |
10660074 | Ultra-low latency LTE reference signal transmission | Chen Wanshi |
10660089 | Protection for multi-user transmissions | Merlin Simone |
10660090 | Slot format indicator signaling in wireless communication systems | Lee Heechoon |
10660109 | Systems and methods to support multiple configurations for positioning reference signals in a wireless network | Bitra Suresh Kumar |
10660130 | Channel access and medium reservation mechanism | Asterjadhi Alfred |
10663572 | Programmable multi-mode digital-to-analog converter (DAC) for wideband applications | Mehdizad Taleie Shahin |
10663993 | Low-dropout regulator with band-reject power supply rejection ratio for phase locked loop voltage controlled oscillator | Song Tongyu |
10664001 | RF square-law circuit | Abouzied Mohamed |
10664006 | Method and apparatus for automatic switch to retention mode based on architectural clock gating | Rangarajan Bharat Kumar |
10664035 | Reconfigurable power delivery networks | Popovich Mikhail |
10665019 | Spatial relationships for integration of visual images of physical environment into virtual reality | Hildreth Evan Robert |
10665370 | Co-wound resistor | Cheng Haitao |
10665678 | Transistor with fluorinated graphene spacer | Lu Ye |
10666192 | Attenuation of flicker noise in bias generators | Mortazavi Seyed Yahya |
10666285 | Digital-to-analog converter (DAC) with mixing-mode parallel path image attenuation | Mehdizad Taleie Shahin |
10666342 | Beam management using adaptive learning | Landis Shay |
10666347 | Techniques and apparatuses for beam management | Akkarakaran Sony |
10666368 | Systems and methods for reuse of wireless communication resources in neighboring communication networks | Barriac Gwendolyn Denise |
10666372 | Precision time protocol over cellular | Goel Satashu |
10666406 | Signaling information in physical broadcast channel (PBCH) demodulation reference signals (DMRS) | Ly Hung |
10666605 | Dynamic security gateway selection | Faccin Stefano |
10666624 | Systems and methods for optimized network layer message processing | Bamidi Ravi Kiran |
10666937 | Low-complexity sign prediction for video coding | Zhao Xin |
10666957 | Processing high dynamic range and wide color gamut video data for video coding | Lee Sungwon |
10666961 | Determining media delivery event locations for media transport | Walker Gordon Kent |
10666984 | Apparatus and method for vector-based entropy coding for display stream compression | Thirumalai Vijayaraghavan |
10667090 | Flexible periodic and triggered location of a mobile device in a wireless network using a codeword | Edge Stephen William |
10667143 | Air-to-ground (ATG) uplink subband beamformer with combinable subbands | Liu Chih-Hao |
10667144 | Techniques and apparatuses for measuring beam reference signals based at least in part on location information | Xu Huan |
10667162 | Bandwidth information determination for flexible bandwidth carriers | Awoniyi-Oteri Olufunmilola Omolade |
10667173 | Feedback retransmission repetition coding for wireless communications | Hosseini Seyedkianoush |
10667188 | Method and apparatus for multiplexing hybrid satellite constellations | Wu Qiang |
10667192 | Handover techniques in wireless communications | Paladugu Karthika |
10667194 | Threshold-based system information on demand | Pandey Dhananjay Kumar |
10667222 | Techniques to control UE power-usage on shared downlink shared channel region of a subframe | Nigam Gaurav |
10667238 | Multiple paging radio network temporary identifiers (PRNTIs) to reduce page collisions | Balasubramanian Srinivasan |
10667244 | Techniques and apparatuses for waveform signaling for downlink communications | Luo Tao |
10667259 | Channel reservation transmission schemes in shared radio frequency spectrum | Sun Jing |
10667267 | Traffic-priority-based transmission power fallback for interference mitigation | Li Chong |
10667282 | Uplink hopping pattern modes for hybrid automatic repeat request (HARQ) transmissions | Li Chong |
10667288 | Techniques for configuring or transmitting grantless transmissions on beams in uplink subframes | Chendamarai Kannan Arumugam |
10667297 | Mobility-aware contention procedures on a shared communication medium | Singh Damanjit |
10667705 | System and method for obtaining blood pressure measurement | Tchertkov Igor |
10667706 | System and method for obtaining blood pressure measurement | Tchertkov Igor |
10671067 | Managing limited safe mode operations of a robotic vehicle | Von Novak, III William Henry |
10672406 | Encoding and decoding of interchannel phase differences between audio signals | Chebiyyam Venkata Subrahmanyam Chandra Sekhar |
10672807 | Photo detectors | Yang Bin |
10673411 | Large-signal GM3 cancellation technique for highly-linear active mixers | Yu Xinmin |
10673449 | Digital-to-analog converter with glitch-irrelevant reference voltage to increase linearity | Yang Chien-Chung |
10673479 | Range-based transmission parameter adjustment | Fernando Udara |
10673484 | Antenna diversity switching | See Andrew Puayhoe |
10673496 | Double one-dimensional sector sweep scan | Eitan Alecsander Petru |
10673500 | Hybrid closed-loop multiple-input multiple-output and transparent diversity schemes | Huang Yi |
10673552 | Synchronization signal block designs for wireless communication | Ly Hung |
10673553 | Search space overbooking and pruning | Sun Jing |
10673596 | Transmission of uplink control channels over an unlicensed radio frequency spectrum band | Malladi Durga Prasad |
10673601 | Techniques and apparatuses for bandwidth part management | Chen Wanshi |
10673616 | Lightweight mitigation against first-order probing side-channel attacks on block ciphers | Cammarota Rosario |
10673674 | Techniques and apparatuses for multiplexing schemes for millimeter wave downlink single carrier waveforms | Lei Jing |
10673675 | Techniques and apparatuses for multiplexing schemes for millimeter wave downlink single carrier waveforms | Lei Jing |
10673676 | Techniques and apparatuses for multiplexing schemes for millimeter wave downlink single carrier waveforms | Lei Jing |
10674143 | System for eye tracking | Gruhlke Russell |
10674346 | Connectivity to a core network via an access network | Faccin Stefano |
10674351 | Antenna port compatibility signaling | Sundararajan Jay Kumar |
10674355 | Apparatuses and methods for wireless communication | Lee Soo Bum |
10674358 | Representing unique device identifiers in hierarchical device certificates as fully qualified domain names (FQDN) | Hawkes Philip Michael |
10674360 | Enhanced non-access stratum security | Lee Soo Bum |
10674389 | Access terminal radio link monitoring (RLM) on a shared communication medium | Chendamarai Kannan Arumugam |
10674407 | Adaptive resource negotiation between base stations for enhanced interference coordination | Song Osok |
10674425 | Systems, methods, and apparatus for managing a relay connection in a wireless communications network | Gulati Kapil |
10674449 | Signal for a synchronized communication system operating in a shared spectrum frequency band | Sun Jing |
10674453 | Power control management in uplink (UL) coordinated multipoint (CoMP) transmission | Xu Hao |
10674454 | Compressed mode with DCH enhancements | Akkarakaran Sony |
10674456 | Anchor carrier in a multiple carrier wireless communication system | Damnjanovic Jelena |
10674467 | Re-synchronization management in LTE/LTE-A with unlicensed spectrum | Luo Tao |
10674472 | Positioning with wireless local area networks and WLAN-aided global positioning systems | Wang Yi-Hsiu |
10674485 | Common control resource set with user equipment-specific resources | John Wilson Makesh Pravin |
10674498 | Accelerated cell activation in wireless communication | Chakraborty Kaushik |
10674501 | Low latency physical downlink control channel and physical downlink shared channel | Sun Jing |
10674509 | Resource allocation for narrowband communications using an expanded bandwidth | Bhattad Kapil |
10674522 | Scheduling request for one or more uplink transmissions using narrowband communications | Wang Xiao feng |
10674536 | Channel access for a mixed numerology carrier | Sun Jing |
10674540 | Multiple timers for request to send and clear to send communications | Islam Muhammad Nazmul |
10674542 | RACH combining across multiple attempts | Islam Muhammad Nazmul |
10677896 | Resolution enhancement for scanning LIDAR/LADAR | Wyrwas John |
10678403 | Navigating among activities in a computing device | Duarte Matias Gonzalo |
10678494 | Controlling data streams in universal serial bus (USB) systems | Gupta Amit |
10678502 | Systems and methods for in-ear control of remote devices | Sheynblat Leonid |
10678637 | Techniques to improve data transfer reliability | Balasubramanian Srinivasan |
10678690 | Providing fine-grained quality of service (QoS) control using interpolation for partitioned resources in processor-based systems | Hower Derek Robert |
10678705 | External paging and swapping for dynamic modules | Geng Nieyan |
10678723 | Urgent in-band interrupts on an I3C bus | Graif Sharon |
10678924 | Hardware-based software-resilient user privacy exploiting ephemeral data retention of volatile memory | Le Roy Vincent Pierre |
10678988 | Integrated circuit (IC) design methods using engineering change order (ECO) cell architectures | Correale, Jr. Anthony |
10679415 | Enhanced signaling of regions of interest in container files and video bitstreams | Wang Ye-Kui |
10679919 | High thermal release interposer | Kang Kuiwon |
10679994 | Circuits employing asymmetric diffusion breaks in different type semiconductor diffusion regions, and related fabrication methods | Yang Haining |
10680086 | Radio frequency silicon-on-insulator integrated heterojunction bipolar transistor | Goktepeli Sinan |
10680596 | Bootstrapped switch circuit with improved speed | Sun Lei |
10680646 | Row orthogonality in LDPC rate compatible design | Richardson Thomas |
10680682 | Channel state information feedback for multi-transmission/reception point transmission in new radio | Fakoorian Seyed Ali Akbar |
10680698 | Uplink control information mapping on a shortened uplink shared channel | Hosseini Seyedkianoush |
10680700 | Parameter adjustment for radio link failure (RLF) procedure enhanced by aperiodic beam failure recovery (BFR) triggers | Chakraborty Kaushik |
10680706 | Techniques and apparatuses for time division duplex coexistence configuration | Khoshnevisan Mostafa |
10680725 | Self-calibration of phased array | Lu Dai |
10680751 | Methods and apparatus for determining transport block size in wireless communication | Sarkis Gabi |
10680764 | Low-density parity check (LDPC) parity bit storage for redundancy versions | Young Chi-Yuen |
10680771 | Reference signal transmission and averaging for wireless communications | Yoo Taesang |
10680782 | Strategic mapping of uplink resources | Huang Yi |
10681021 | Selective admission into a network sharing session | Renschler Martin H. |
10681277 | Translation compensation in optical image stabilization (OIS) | Kulik Victor |
10681358 | Quantization parameter control for video coding with joined pixel/transform based quantization | Rusanovskyy Dmytro |
10681370 | Motion vector generation for affine motion model for video coding | Chen Yi-Wen |
10681379 | Non-separable secondary transform for video coding with reorganizing | Zhao Xin |
10681452 | Seamless listen-through for a wearable device | Kim Lae-Hoon |
10681530 | Message ordering for network based mobility management systems | Tsirtsis George |
10681576 | Measurement gap enhancements | Gheorghiu Valentin Alexandru |
10681583 | Cell quality measurement reporting for cells with mismatched beam numbers | Karakkad Kesavan Namboodiri Vishnu Namboodiri |
10681624 | Steady-state beam scanning and codebook generation | Raghavan Vasanthan |
10681633 | Configurable subframe structures in wireless communication | Ang Peter Pui Lok |
10681644 | Reporting actual uplink transmission power | Ding Ling |
10681649 | Dynamic spatial reuse in distribution networks | Kasher Assaf Yaakov |
10681652 | Power control for dual radio access technology (RAT) communication | Gaal Peter |
10681653 | Power allocation for uplink transmissions | Li Gaoshan |
10681676 | Narrowband management for machine type communications | Chen Wanshi |
10681684 | Multi-PRB paging/random access for NB-IoT | Rico Alvarino Alberto |
10681708 | Subslot bundling and acknowledgement | Li Chong |
10681715 | Control information combining techniques in wireless communications | Zhou Yan |
10681723 | Technique for HARQ, multiplexing, and contention | Radulescu Andrei Dragos |
10681725 | Techniques and apparatuses for unicast system information delivery for connected mode user equipment | Chakraborty Kaushik |
10681727 | Uplink transmission parameter selection during random access message transmission and retransmission | Islam Muhammad Nazmul |
10681733 | License assisted request-to-send and clear-to-send transmission | Mallik Siddhartha |
10681760 | Concurrent wireless communications over licensed and unlicensed spectrum | Bhushan Naga |
10681766 | Procedures for managing secondary eNB (SeNB) radio link failure (S-RLF) in dual connectivity scenarios | Vajapeyam Madhavan Srinivasan |
10684671 | Adaptively controlling drive strength of multiplexed power from supply power rails in a power multiplexing system to a powered circuit | Sridhar Shraddha |
10684859 | Providing memory dependence prediction in block-atomic dataflow architectures | Ho Chen-Han |
10684981 | Fast termination of multilane single data rate transactions | Pitigoi-Aron Radu |
10685204 | Biometric age estimation via ultrasonic imaging | Maor Lior |
10685487 | Disabling augmented reality (AR) devices at speed | Mandala Jack |
10685630 | Just-in time system bandwidth changes | Moreira Carlos Javier |
10685924 | Antenna-on-package arrangements | Lasiter Jon Bradley |
10686031 | Finger metal-oxide-metal (FMOM) capacitor | Feng Peijie |
10686330 | Smart priority detection for wired and wireless charging | Shevde Sumukh |
10686469 | Payload size ambiguity and false alarm rate reduction for polar codes | Lou Huang |
10686472 | Methods and apparatus for achieving low coding rates | Hosseini Seyedkianoush |
10686476 | Digital RF-DAC | Mehdizad Taleie Shahin |
10686490 | Modulation spreading for wireless communications | Park Seyong |
10686506 | Subset based spatial quasi-colocation parameter indication using multiple beams | John Wilson Makesh Pravin |
10686556 | Robust and universal polar codes | Kudekar Shrinivas |
10686560 | Quick RLC retransmission on HARQ failure during tune away | Xiao Gang Andy |
10686564 | Pre-timed and on-demand HARQ Ack feedback | Akkarakaran Sony |
10686576 | Techniques and apparatuses for hybrid automatic repeat request acknowledgement (HARQ-ACK) feedback for carrier aggregation in new radio | Ang Peter Pui Lok |
10686709 | Methods and apparatus for channel usage indication | Bhushan Naga |
10686992 | Image orientation notification and adjustment | Baig Mirza Shakeel |
10687050 | Methods and systems of reducing latency in communication of image data between devices | Hildreth Evan |
10687055 | Coding MCTS-EIS SEI messages of an access unit | Wang Ye-Kui |
10687064 | Palette mode encoding and decoding with inferred pixel scan order | Joshi Rajan Laxman |
10687077 | Motion information propagation in video coding | Zhang Kai |
10687079 | Constrained depth intra mode coding for 3D video coding | Liu Hongbin |
10687196 | Frequency determination for device-to-device transmissions and receptions | Santhanam Arvind |
10687209 | UE capability constraint indications for high order modulation | Gaal Peter |
10687218 | Power metric optimization and uplink DM-RS design for LTE/LTE-A uplink transmissions in unlicensed spectrum | Yerramalli Srinivas |
10687219 | Uplink transmission techniques in shared spectrum wireless communications | Yerramalli Srinivas |
10687252 | Bandwidth group (BWG) for enhanced channel and interference mitigation in 5G new radio | Manolakos Alexandros |
10687262 | Communication beam soft handover | Touboul Assaf |
10687263 | Enhanced make-before-break handover | Paladugu Karthika |
10687278 | Enhanced random access and wake-up mechanism | Krishnamoorthy Parthasarathy |
10687288 | Synchronization across transmitting nodes using shared radio frequency spectrum | Mallik Siddhartha |
10687290 | Method to receive multiple signals using multiple beams | Bai Tianyang |
10687291 | Carrier sharing between multiple radio access technologies | Montojo Juan |
10687296 | Techniques for communicating synchronization signal block index in a physical broadcast channel payload | Sadiq Bilal |
10687316 | Techniques for enabling component carriers for multi-carrier wireless communication | Chen Wanshi |
10687330 | Techniques for communicating on an uplink in a shared radio frequency spectrum band | Yerramalli Srinivas |
10687335 | Informing base station regarding user equipment's reception of beam change instruction | Islam Muhammad Nazmul |
10687347 | Method and apparatus for decoupling uplink latency using common uplink burst in TDD subframe structure | Zeng Wei |
10687352 | Multiplexing clustered control information and data | John Wilson Makesh Pravin |
10687353 | Management of conflicting scheduling commands in wireless networks | Hampel Karl Georg |
10687355 | Techniques for reservation preamble for low latency detection in a new radio shared spectrum communication system | Yoo Taesang |
10687356 | Channel reservation signal in NR shared spectrum | Lei Jing |
10687358 | Opportunistic asynchronous operation for coordinated NR-SS | Sadek Ahmed |
10687359 | System acquisition in a shared radio frequency spectrum band | Yerramalli Srinivas |
10687362 | Slot format indicator enhancements for new radio-unlicensed | Sun Jing |
10690762 | Systems and methods for determining an upper bound on the distance between devices | Hillan John |
10690778 | Validation of time assistance data to reduce satellite positioning degradation | Chandrasekar Harisrinivas |
10691195 | Selective coupling of memory to voltage rails based on operating mode of processor | Srinivas Raghavendra |
10691781 | Apparatus and method for device security | Agassy Meir |
10691952 | Adapting to appearance variations when tracking a target object in video sequence | Tao Ran |
10692808 | High performance cell design in a technology with high density metal routing | Hiremath Renukprasad |
10693231 | Transmit/receive switching circuit | Dunworth Jeremy |
10693443 | Relaxation oscillator with improved temperature stability | Golara Soheil |
10693574 | Method and apparatus for efficient data transmissions in half-duplex communication systems with large propagation delays | Wu Qiang |
10693605 | RACH transmission using multiple ports | Islam Muhammad Nazmul |
10693674 | In-datagram critical-signaling using pulse-count-modulation for I3C bus | Mishra Lalan Jee |
10693691 | Reference signal resource location techniques in wireless communications | Subramanian Sundar |
10693698 | Techniques for carrier sharing between radio access technologies | Gaal Peter |
10693936 | Transporting coded audio data | Stockhammer Thomas |
10694106 | Computer vision application processing | John Archibald Fitzgerald |
10694181 | Bilateral filters in video coding with reduced complexity | Zhang Li |
10694197 | Composition based dynamic panel mode switch | Shekhar Jayant |
10694202 | Indication of bilateral filter usage in video coding | Zhang Li |
10694214 | Multi-type parallelized sample adaptive offset in video coding | Pu Wei |
10694334 | Method and/or system for positioning of a mobile device | Kumar Akash |
10694406 | Techniques for reporting channel state information (CSI) for an unlicensed radio frequency spectrum band | Luo Tao |
10694467 | Dynamic clock switching within a transmission time interval | Sankar Hari |
10694493 | Paging and DRX enhancements for eMTC-U (IOT-U) | Yerramalli Srinivas |
10694498 | Traffic scheduling in a multi-hop communications system | Gupta Piyush |
10694517 | Methods and systems for providing enhanced position location in wireless communications | Black Peter John |
10694521 | Channel raster design in wireless communications | Gaal Peter |
10694527 | Co-existence of reliable low latency and other services in a wireless network | Xu Hao |
10694531 | Grouping user equipment based on precoding matrix indicators for combined transmission | Sun Jing |
10694536 | Dynamic downlink control information timing indications in new radio | Jiang Jing |
10694578 | Apparatus and methods for hand-in to a femto node | Radulescu Andrei |
10694581 | Techniques for determining vicinity information for access points using location assistance data | Edge Stephen William |
10694957 | System and method for obtaining bodily function measurements using a mobile device | Martin Russel Allyn |
10698439 | Efficient clock forwarding scheme | Sun Li |
10698522 | Variable rate display interfaces | Pourbigharaz Fariborz |
10698546 | Detection of a protective cover film on a capacitive touch screen | Withers Richard Stacy |
10699095 | Dual-mode capacitive and ultrasonic fingerprint and touch sensor | Panchawagh Hrishikesh Vijaykumar |
10699126 | Adaptive object detection and recognition | Karyodisa Ronald |
10699140 | System and method for capture and distribution of information collected from signs | Kench Amol |
10699389 | Fisheye rendering with lens distortion correction for 360-degree video | Van der Auwera Geert |
10700012 | Porous silicon dicing | Fanelli Stephen Alan |
10700204 | Circuits having a diffusion break with avoided or reduced adjacent semiconductor channel strain relaxation, and related methods | Song Stanley Seungchul |
10700643 | Envelope-shaped bias for power amplifier | Du Chenliang |
10700655 | Gain-dependent impedance matching and linearity | Medra Alaaeldien Mohamed Abdelrazek |
10700683 | Dynamic power supply shifting | Chen Wilson Jianbo |
10700710 | Indicating a number of copied information bits in a retransmission | Yang Yang |
10700748 | Uplink beam training | Subramanian Sundar |
10700753 | Reporting variation of beam quality for beam management | Islam Muhammad Nazmul |
10700760 | Minimizing block error rate (BLER) associated with a beam switch | Berliner Ran |
10700801 | Techniques for managing cell identifiers and other parameters for flexible duplex operations | Chen Wanshi |
10700802 | Variable length reference signaling for fast acquisition in shared spectrum | Kannan Arumugam Chendamarai |
10700822 | Uplink grant management for LTE in unlicensed spectrum | Chen Wanshi |
10700829 | Combining decision metrics of a scrambled payload | Garlapati Shravan Kumar Reddy |
10700830 | Techniques for conveying identification information in a preamble transmission | Yoo Taesang |
10700907 | Waveform for millimeter wave new radio | Sun Jing |
10700910 | Single carrier waveform data transmission and reception based on configurable DFT window | Sun Jing |
10700913 | Frequency-hopping in frequency-first mapping for enhanced coverage | Yang Yang |
10700961 | In-flow packet prioritization and data-dependent flexible QOS policy | Lee Soo Bum |
10701171 | Message caching for a node in a wireless mesh network | Agarwal Vishal |
10701198 | Audio call analysis | Singh Avinash Kumar |
10701366 | Deriving motion vector information at a video decoder | Chen Yi-Wen |
10701390 | Affine motion information derivation | Li Xiang |
10701391 | Motion vector difference (MVD) prediction | Seregin Vadim |
10701400 | Signalling of summarizing video supplemental information | Wang YeKui |
10701531 | Environmental sensing with wireless communication devices | Agrawal Meghna |
10701539 | Enhanced public warning system | Arora Mayank |
10701587 | Policy provisioning at a user equipment (UE) | Chaponniere Lenaig Genevieve |
10701598 | Techniques for handover cell selection procedures in poor radio conditions | Tambaram Kailasam Sundaresan |
10701602 | Cell reselection to high priority neighbor cells | Fonseca Leopoldo Guilherme Otto |
10701629 | Smart battery wear leveling for audio devices | Newham Adam Edward |
10701644 | Enhanced macro diversity in new radio (NR) | Zhang Xiaoxia |
10701667 | Paging techniques in a wireless backhaul network | Abedini Navid |
10701669 | Carrier selection for position measurement | Kumar Akash |
10701671 | Overhead reduction in millimeter wave systems | Bhattad Kapil |
10701692 | Techniques for managing uplink transmissions in a shared radio frequency spectrum band and a dedicated radio frequency spectrum band | Vajapeyam Madhavan Srinivasan |
10701699 | Uplink transmit power allocation and power headroom reporting by a user equipment in a multi-connectivity environment | Vajapeyam Madhavan Srinivasan |
10701717 | Coexistence of autonomous and grant based uplink transmissions | Yerramalli Srinivas |
10701723 | Demodulation reference signal with low peak-to-average power ratio and allocation information with granularity | Wang Renqiu |
10701729 | Protected CET transmission and reception | Luo Tao |
10701756 | Service specific short DRX cycles | Lee Kuo-Chun |
10705528 | Autonomous visual navigation | Wierzynski Casimir Matthew |
10705557 | On-chip clock generator calibration | Chen ZhenQi |
10705558 | Apparatuses and methods for avoiding glitches when switching clock sources | Huang Yu |
10705656 | System and method for ultrasonic sensing | Miranto James Anthony |
10706265 | Scanning a real-time media stream to detect one or more faces that are prevalent among a set of media files stored on a user equipment | Kerger Kameron |
10706267 | Compact models for object recognition | Wang Lei |
10706306 | Spoof and liveness detection via pyroelectric indications | Kitchens Jack Conway |
10706316 | System and method of feature descriptor processing | Gan Kim-Chyan |
10706494 | Uniform predicates in shaders for graphics processing units | Gruber Andrew Evan |
10706563 | State and position prediction of observed vehicles using optical tracking of wheel rotation | Kushleyev Aleksandr |
10707352 | Transistor with lightly doped drain (LDD) compensation implant | Dutta Ranadeep |
10707582 | Wide-band dipole antenna | Fabrega Sanchez Jorge |
10707753 | Power regulation with charge pumps | Koski Marko |
10707827 | Wide-band Wilkinson divider | Frank Wolfgang |
10707854 | Clock screening with programmable counter-based clock interface and time-to-digital converter with high resolution and wide range operation | Wu Zhengzheng |
10707866 | Capacitance balance in dual sided contact switch | Liang Qingqing |
10707876 | High-voltage and low-voltage signaling output driver | Yim Young Uk |
10707907 | System and method for nonlinearity estimation with reference signals | Sagi Ariel Yaakov |
10707915 | Narrowband frequency hopping mechanisms to overcome bandwidth restrictions in the unlicensed frequency spectrum | Liu Chih-Hao |
10707923 | Dynamic transmission configuration indication state updating | John Wilson Makesh Pravin |
10707979 | Estimating a narrowband reference signal received power parameter | Ananda Raghavendra Shyam |
10707984 | Techniques for synchronizing slave devices | Pitigoi-Aron Radu |
10707986 | Systems and methods for variable length block acknowledgment | Merlin Simone |
10707987 | Channel state information and adaptive modulation and coding design for long-term evolution machine type communications | Xu Hao |
10707995 | Method and apparatus for downlink retransmission under unreliable code block group (CBG) level feedback | Sun Jing |
10708007 | Methods and apparatuses for indication of transmission preemption based on a hybrid automatic repeat request configuration | Manolakos Alexandros |
10708016 | Reference signals for estimating mixed interference | Sundararajan Jay Kumar |
10708020 | Short burst channel design and multiplexing | Wang Renqiu |
10708024 | Determination of demodulation reference signal and phase tracking reference signal parameters | Yang Wei |
10708031 | PBCH decoding with payload change detection | Muralidharan Vijayvaradharaj Tirucherai |
10708089 | Method for a UE for requesting a channel state information reference signal (CSI-RS) or a sounding reference signal (SRS) | Cezanne Juergen |
10708111 | Communication of uplink control information | Islam Muhammad Nazmul |
10708164 | Binarizing secondary transform index | Seregin Vadim |
10708486 | Generation of a depth-artificial image by determining an interpolated supplementary depth through interpolation based on the original depths and a detected edge | Lee Jisoo |
10708487 | Image processing for saturated pixels | Liu Lee-Kang |
10708525 | Systems and methods for processing low light images | Pourreza Shahri Reza |
10708591 | Enhanced deblocking filtering design in video coding | Zhang Li |
10708592 | Deblocking filter for video coding and processing | Dong Jie |
10708666 | Terrestrial broadcast television services over a cellular broadcast system | Lo Charles Nung |
10708673 | Systems and methods for video processing | Bi Ning |
10708728 | Adaptive modulation order for multi-user superposition transmissions with non-aligned resources | Sun Jing |
10708748 | VoIP emergency call support | Edge Stephen William |
10708751 | Detection of technologies for coexistence | Patil Shailesh |
10708773 | On-demand network function re-authentication based on key refresh | Lee Soo Bum |
10708796 | High doppler channel performance enhancement | Sun Jing |
10708804 | Systems and methods for radio resource management | Damnjanovic Aleksandar |
10708807 | Resource allocation for traffic-profile-dependent scheduling request | Li Chong |
10708849 | Public land mobile network (PLMN) list for evolved packet data gateway (ePDG) selection | Catovic Amer |
10708850 | Wireless communications over unlicensed radio frequency spectrum | Malladi Durga Prasad |
10708858 | Techniques for improved power consumption in user equipments | Jain Sachin |
10708865 | Techniques for power control using carrier aggregation in wireless communications | Wang Xiao Feng |
10708879 | Enhanced cell identification location determination | Kumar Akash |
10708902 | Transmission of beam switch commands through control channel signaling | Nam Wooseok |
10708903 | DRX for narrowband transmissions | Rico Alvarino Alberto |
10708907 | Interference management with adaptive resource block allocation | He Xiaoyin |
10708913 | Periodic and aperiodic CSI reporting procedures for enhanced licensed assisted access | Yerramalli Srinivas |
10708919 | Techniques and apparatuses for beam management to overcome maximum permissible exposure conditions | Subramanian Sundar |
10708920 | Method and apparatus for dynamic device capability signaling in wireless communications | Kitazoe Masato |
10708923 | Method and/or system for reducing uplink interference | Kumar Akash |
10708934 | Multi-carrier throughput enhancement by opportunistic packet scheduling with SPS concurrency | Chrisikos George |
10708942 | Control resources reuse for data transmission in wireless communication | Lee Heechoon |
10708950 | Transmission opportunity sharing for autonomous uplink | Tian Qingjiang |
10708953 | Random access retransmission procedure | Islam Muhammad Nazmul |
10708955 | Techniques and apparatuses for supplementary uplink random access configuration | Sun Haitong |
10708956 | Physical layer enhancements for early data transmission | Rico Alvarino Alberto |
10712807 | Methods and apparatus for saving always on (AON) routing of signals across chips | Pant Harshat |
10713136 | Memory repair enablement | Ahmed Fahad |
10713189 | System and method for dynamic buffer sizing in a computing device | Bandur Puttappa Vasantha Kumar |
10713199 | High bandwidth soundwire master with multiple primary data lanes | Amarilio Lior |
10713956 | Sharing critical flight information using mesh network | Miller Arthur |
10714100 | Audio signal decoding | Atti Venkatraman |
10714101 | Target sample generation | Atti Venkatraman |
10714582 | Controlling dimensions of a negative capacitance layer of a gate stack of a field-effect transistor (FET) to increase power density | Yang Bin |
10715115 | Circuits and methods for preventing bias temperature instability | Puckett Joshua |
10715122 | Voltage-controlled delay generator | Yu Guolei |
10715151 | Fast coarse tuning for frequency synthesizer | Jin Yuehai |
10715208 | Interference mitigation in wireless communications | Tokgoz Yeliz |
10715210 | Synchronization signal repetition pattern for a discovery reference signal in narrowband communications | Liu Chih-Hao |
10715233 | Sounding reference signal (SRS) transmit antenna selection | Yan Hongbo |
10715237 | Antenna and beam selection using CSI for channel state feedback rather than CSI for beam management | Landis Shay |
10715241 | System and method for beam management | Islam Muhammad Nazmul |
10715301 | Radio-spectrum sharing (NR-SS) in frequency-division duplexing (FDD) spectrum | Zhang Xiaoxia |
10715310 | Method and apparatus for decrypting data blocks of a pattern-encrypted subsample | Jain Shalaj |
10715369 | Phase tracking reference signal | Bai Tianyang |
10715392 | Adaptive scalable numerology for high speed train scenarios | Manolakos Alexandros |
10715731 | Image stabilization in zoom mode | Khandelwal Rahul |
10715810 | Simplified local illumination compensation | Zhang Kai |
10715977 | System and method for ranging-assisted vehicle positioning | Jiang Libin |
10716013 | Beam refinement for millimeter wave (MMW) system | Malik Rahul |
10716023 | Multi-level slot format indicator | Sun Jing |
10716024 | Methods and systems for ranging protocol | Aldana Carlos |
10716044 | Cell reselection procedure for frequencies without cell-defining synchronization signal blocks | Jain Chhavi |
10716047 | Techniques for selecting a radio access technology over an unlicensed radio frequency spectrum band for serving a class of traffic | Meylan Arnaud |
10716054 | Methods and systems for controlling network access | Cherian George |
10716084 | Narrowband positioning signal design and procedures | Wang Renqiu |
10716091 | Systems and methods for intelligent routing of notifications of incoming voice communication requests | Achamola Sravan Kumar Reddy |
10716097 | Disjoint bearer routing | Ozturk Ozcan |
10716120 | Channel access mechanisms for multi-band operation | Yerramalli Srinivas |
10716125 | Sounding reference signal triggering for enhanced carrier aggregation | Rico Alvarino Alberto |
10716129 | Techniques for transmitting and receiving channel occupancy identifiers over an unlicensed radio frequency spectrum band | Yerramalli Srinivas |
10716134 | Coexistence between user equipment with shared resource pool | Gulati Kapil |
10716146 | Universal reservation signal design for WiFi and NR-SS | Fan Zhifei |
10717435 | Adjustable object avoidance proximity threshold based on classification of detected objects | Taveira Michael Franco |
10718851 | Displacement and rotation measurement for unmanned aerial vehicles | Chikkappa Kiran |
10719232 | Providing virtual buttons in a handheld device | Tse Justin |
10719325 | System and method of VLIW instruction processing using reduced-width VLIW processor | Sassone Peter |
10719476 | Apparatus and methods for providing a reconfigurable bidirectional front-end interface | Zhu Zhi |
10719705 | Adjustable object avoidance proximity threshold based on predictability of the environment | Taveira Michael Franco |
10720070 | Adjustable object avoidance proximity threshold of a robotic vehicle based on presence of detected payload(s) | Taveira Michael Franco |
10720165 | Keyword voice authentication | Guo Yinyi |
10720947 | Dynamic spur mitigation for wireless systems | Regadamilli Ravisankar |
10720980 | Random access channel window design in millimeter wave shared spectrum | Sun Jing |
10720981 | Spatial multiplexing of a sounding reference signal (SRS) and a physical uplink shared channel (PUSCH) communication | Yang Wei |
10721027 | Radio vehicle-to-anything negative acknowledgement based multicast | Baghel Sudhir Kumar |
10721029 | Techniques for a hybrid automatic repeat request (HARQ) mechanism with polar codes | Xu Changlong |
10721039 | Methods to schedule multiple users for reference signal transmissions in millimeter wave systems | Raghavan Vasanthan |
10721044 | Downlink control and retransmission indicator channel for relaxing ACK processing time constraints | Ang Peter Pui Lok |
10721052 | Narrowband time-division duplex frame structure for narrowband communications | Bhattad Kapil |
10721054 | Scheduling over multiplexed resources under half-duplex constraint | Luo Jianghong |
10721100 | Coverage mode based observed time difference of arrival positioning | Kumar Akash |
10721119 | Cross-carrier indication of uplink/downlink subframe configurations | Chen Wanshi |
10721465 | Motion compensation for cubemap packed frames | Coban Muhammed Zeyd |
10721469 | Line buffer reduction for adaptive loop filtering in video coding | Zhang Li |
10721478 | Prediction mode information upsampling for scalable video coding | Seregin Vadim |
10721489 | Geometry-based priority for the construction of candidate lists | Chen Yi-Wen |
10721627 | Generalized distributed multi-user (MU) transmissions | Vermani Sameer |
10721628 | Low-latency communication in shared spectrum | Xue Yisheng |
10721693 | Mobility and power control techniques across multiple radio access technologies | Malladi Durga Prasad |
10721712 | Monitoring occasion for paging determination | Islam Muhammad Nazmul |
10721717 | Band combination constraint on the number of supported layers | Gholmieh Aziz |
10721720 | Cell On-Off procedure for dual connectivity | Damnjanovic Aleksandar |
10721722 | Aspects of new radio PDCCH design | Sun Jing |
10721723 | Dynamic padding field to match downlink and uplink downlink control information length | Sun Jing |
10721726 | Power control and triggering of sounding reference signal on multiple component carriers | Rico Alvarino Alberto |
10721727 | DRX for narrowband transmissions | Rico Alvarino Alberto |
10721743 | Physical uplink control management in LTE/LTE-A systems with unlicensed spectrum | Chen Wanshi |
10721756 | Repetition-based uplink for low latency communications in a new radio wireless communication system | Hosseini Seyedkianoush |
10721763 | Small packet optimizations for internet-of-things applications | Rico Alvarino Alberto |
10721769 | Listen-before-talk techniques in synchronous systems | Patil Shailesh |
10721770 | Physical layer procedures for LTE in unlicensed spectrum | Vajapeyam Madhavan Srinivasan |
10721774 | Frequency hopping configuration for a multi-tone physical random access channel transmission | Yerramalli Srinivas |
10722918 | Release hole plus contact via for fine pitch ultrasound transducer integration | Kidwell, Jr. Donald William |
10724065 | Noise improvement in DNA sequencing circuit by FinFET-like nanopore formation | Lee Yong Ju |
10725184 | Methods and apparatuses for use with mode-switchable navigation | Farmer Dominic Gerard |
10725483 | Personal security robotic vehicle | Taveira Michael Franco |
10725590 | Sliding range gate for large area ultrasonic sensor | Miranto James Anthony |
10725706 | Apparatus and method of scheduling universal flash storage refresh operations according to a refresh handover mechanism | Boenapalli Madhu Yashwanth |
10725740 | Providing efficient multiplication of sparse matrices in matrix-processor-based devices | Heddes Mattheus Cornelis Antonius Adrianus |
10725782 | Providing variable interpretation of usefulness indicators for memory tables in processor-based systems | Krishna Anil |
10725949 | Slave-to-slave direct communication | Graif Sharon |
10726302 | Edge computing | Zhang Shuai |
10726524 | Low-resolution tile processing for real-time bokeh | Neti Ravi Kumar |
10726904 | Partial refresh technique to save memory refresh power | Suh Jungwon |
10727790 | Driver amplifier with programmable single-ended and differential outputs | Balteanu Andreea |
10727833 | High-voltage and low-voltage data paths of a hybrid output driver | Yim Young Uk |
10727838 | Systems and methods for power conservation in a phase locked loop (PLL) | Remple Terrence Brian |
10727858 | Error resiliency for entropy coded audio data | Turner Richard |
10727888 | Proximity detection based on an electromagnetic field perturbation | Fernando Udara |
10727920 | Dynamic CSI-RS transmission for enhanced FD-MIMO | Wei Chao |
10727929 | Receive beam selection for measuring a reference signal | Sadiq Bilal |
10727968 | Synchronization signal block and control resource set multiplexing | Ly Hung Dinh |
10727974 | Aperiodic channel quality indicator report in carrier aggregation | Chen Wanshi |
10727983 | Variable length transmission time intervals (TTI) | Damnjanovic Jelena |
10727986 | Robust acknowledgement retransmission | Yerramalli Srinivas |
10727987 | Feedback for codeblock group based transmissions | Sun Jing |
10727991 | Integrating LTE and new radio | Chen Wanshi |
10727994 | Using sequences of pilot repetitions for receiver adaptation | Sanderovich Amichai |
10727995 | Configuring reference signal transmission in wireless communications | Nam Wooseok |
10727996 | Null resource elements for dynamic and bursty inter-cell interference measurement in new radio | Nam Wooseok |
10728000 | Reference signal transmission techniques in wireless communications | Lei Jing |
10728008 | Transmission of uplink control channels over an unlicensed radio frequency spectrum band | Malladi Durga Prasad |
10728015 | Adaptive phase-locked loop bandwidth control | Hormis Raju |
10728016 | Techniques and apparatuses for synchronization design | Liu Le |
10728073 | Computer generated sequence design and hypothesis mapping | Wang Renqiu |
10728080 | Polar codes and modulation mappings | Sankar Hari |
10728159 | Prioritization for a packet communication protocol with header compression | Mudireddy Srinivas Reddy |
10728215 | Wireless communication utilizing a unified air interface | Bhushan Naga |
10728298 | Method for compressed sensing of streaming data and apparatus for performing the same | Freris Nikolaos |
10728450 | Event based computer vision computation | Govil Alok |
10728529 | Synchronization of frame captures from multiple cameras with different fields of capture | Ravirala Narayana Karthik |
10728559 | Precision of computation and signaling of dynamic range adjustment and color remapping information | Ramasubramonian Adarsh Krishnan |
10728573 | Motion compensated boundary pixel padding | Sun Yu-Chen |
10728689 | Soundfield modeling for efficient encoding and/or retrieval | Swaminathan Siddhartha Goutham |
10728739 | Control plane based small data service | Abraham Santosh Paul |
10728756 | Access stratum security for efficient packet processing | Lee Soo Bum |
10728765 | Signature sequence for system identification in a shared spectrum | Sun Jing |
10728783 | Methods and apparatus for improving inter-radio access technology measurements | Hoover Scott Allan |
10728835 | Inter frequency LTE-D discovery | Tavildar Saurabha Rangrao |
10728852 | Efficient power utilization for enhanced component carriers | Zhang Xiaoxia |
10728861 | Spectral mask and flatness for wireless local area networks | Chen Jialing Li |
10728870 | Methods and systems for avoiding transitions between radio access technologies when packet data networks are inaccessible | Bhatnagar Abhishek |
10728885 | Techniques and apparatuses for configuring an uplink bandwidth part for a random access channel (RACH) procedure | Ly Hung Dinh |
10728893 | Time-division multiplexing transmission time intervals within a subframe or slot for MMW scheduling | Subramanian Sundar |
10728895 | Slot format indicator in frequency division duplexing | Sun Jing |
10728897 | Uplink resources for beam recovery | Nagaraja Sumeeth |
10728912 | System and method of modes for data transmission | Merlin Simone |
10728913 | Multi-transmission/reception point (multi-TRP) transmission with dynamic TRP clusters | Zhang Xiaoxia |
10728914 | Physical uplink control chanel (PUCCH) configuration for machine type communications (MTC) | Fakoorian Seyed Ali Akbar |
10728916 | Designs for remaining minimum system information (RMSI) control resource set (CORESET) and other system information (OSI) CORESET | Ly Hung Dinh |
10728925 | Extended grant for enhanced component carrier | Sun Jing |
10728928 | Random backoff process for spatial listen-before-talk (LBT) | Zhang Xiaoxia |
10728934 | Techniques and apparatuses for managing communications in a private wireless network | Joseph Vinay |
10728950 | Beam training for discontinuous reception (DRX) mode operation | Nagaraja Sumeeth |
10731883 | Air circulation system | Richardson Laurence |
10732285 | Multi-phase active light depth system | Atanassov Kalin |
10732694 | Power state control of a mobile device | Sadasivam Shankar |
10732697 | Voltage rail coupling sequencing based on upstream voltage rail coupling status | Srinivas Raghavendra |
10733121 | Latency optimized I3C virtual GPIO with configurable operating mode and device skip | Mishra Lalan Jee |
10733409 | Hybrid capacitive and ultrasonic sensing | D'Souza Sandeep Louis |
10733755 | Learning geometric differentials for matching 3D models to objects in a 2D image | Liao Shuai |
10733798 | In situ creation of planar natural feature targets | Wagner Daniel |
10733996 | User authentication | Pendyala Bhaskara Ramudu |
10734001 | Encoding or decoding of audio signals | Atti Venkatraman |
10734006 | Audio coding based on audio pattern recognition | Mirzahasanloo Taher Shahbazi |
10734332 | High aspect ratio interconnects in air gap of antenna package | Lasiter Jon Bradley |
10734384 | Vertically-integrated two-dimensional (2D) semiconductor slabs in complementary field effect transistor (CFET) cell circuits, and method of fabricating | Li Xia |
10734709 | Common-radiator multi-band antenna system | Tran Allen Minh-Triet |
10734841 | System and method for facilitating avoidance of wireless charging cross connection | Von Novak William H. |
10734891 | Power-up sequencing and high voltage protection for charge pump converters | Oporta Hector Ivan |
10734985 | Comparators for power and high-speed applications | Murphy Byron |
10735059 | Dynamic beamforming using a co-phasing factor | Raghavan Vasanthan |
10735070 | CSI feedback overhead reduction for FD-MIMO | Wei Chao |
10735078 | Operations with bandwidth part (BWP) switching | Ly Hung Dinh |
10735117 | Techniques and apparatuses for signal quality measurements for narrowband internet of things (NB-IOT) devices | Bhattad Kapil |
10735120 | Reducing end-to-end delay for audio communication | Kantharaju Manjunatha |
10735121 | Unified spatial operation for dynamic medium sharing | Fakoorian Seyed Ali Akbar |
10735134 | Self-decodable redundancy versions for low-density parity-check codes | Soriaga Joseph Binamira |
10735139 | Retransmission identification in wireless systems | Goyal Giriraj |
10735155 | Rate matching around reference signals in wireless communications | Chen Wanshi |
10735156 | Sounding reference signal transmission for enhanced carrier aggregation | Chen Wanshi |
10735160 | Techniques and apparatuses for ultra-reliable low-latency communications transmission using grant-free resources | He Linhai |
10735229 | Limiter for trasmitters | Jakubov Ondrej |
10735261 | Smart upgrade of connected devices in a mesh network | Pillai Sunil |
10735486 | Device timing adjustments and methods for supporting dash over broadcast | Gholmieh Ralph A. |
10735566 | Large media access control service data unit (MSDU) delivery | Trainin Solomon |
10735698 | Systems and methods for converting non-Bayer pattern color filter array image data | Siddiqui Hasib Ahmed |
10735699 | Computer vision sensor | Chan Victor |
10735704 | Systems and methods for automatic white balance | Nikhara Soman Ganesh |
10735923 | Techniques and apparatuses for beam-based scheduling of vehicle-to-everything (V2X) communications | Wu Zhibin |
10736010 | Reference beam for event trigger in mobility management | Nagaraja Sumeeth |
10736019 | Service recovery in case of unknown cell radio network temporary identifier (C-RNTI) on ENB side | Bressanelli Dominique Francois |
10736028 | Network service configuration and selection using network slices | Griot Miguel |
10736045 | Base station controlled uplink beam power using measurement of reference signal at user equipment | Chen Shengbo |
10736056 | Power headroom reporting for short transmission time interval (TTI) | Ozturk Ozcan |
10736057 | Method and apparatus for sounding reference signal triggering and power control for coordinated multi-point operations | Xu Hao |
10736058 | Adjustment of timing advance values in mobile devices | Xie Ling |
10736063 | Neighbor cell synchronization signal block index determination | Islam Muhammad Nazmul |
10736074 | Systems and methods to facilitate location determination by beamforming of a positioning reference signal | Edge Stephen William |
10736076 | Methods and apparatus for paging in unlicensed communication channels | Radulescu Andrei |
10736077 | Configuration of remaining system information transmission window | Islam Muhammad Nazmul |
10736082 | Transmission of a common control in a beamforming system | Luo Tao |
10736093 | Optimization of search space and sounding reference signal placement for improved decoding timeline | Akkarakaran Sony |
10736099 | Resolving slot format conflicts for wireless systems | Lee Heechoon |
10736111 | Devices and methods for facilitating non-orthogonal wireless communications | Soriaga Joseph Binamira |
10736112 | Common search space scrambling for MulteFire coverage enhancement | Liu Chih-Hao |
10736113 | Positioning signal techniques for narrowband devices | Wang Renqiu |
10736125 | Radio access technology (RAT) aggregation on a shared communication medium | Sadek Ahmed Kamel |
10736166 | Assisted node-to-node communication link operations in a wireless network | Abedini Navid |
10739784 | Radar aided visual inertial odometry initialization | Niesen Urs |
10740017 | Dynamic memory protection | Park Chando |
10740490 | Subsystem for authorization and activation of features | Lee Soo Bum |
10740654 | Failure detection for a neural network object tracker | Habibian Amirhossein |
10740986 | Systems and methods for reconstructing a moving three-dimensional object | Deng Yan |
10741192 | Split-domain speech signal enhancement | Rajendran Vivek |
10741702 | Thin-film variable metal-oxide-semiconductor (MOS) capacitor for passive-on-glass (POG) tunable capacitor | Li Xia |
10742071 | Wireless power transfer for stationary applications | von Novak, III William Henry |
10742234 | Code block group definition configuration for wireless communication | Sun Jing |
10742238 | Frozen bits based pruning and early termination for polar decoding | Lin Jamie Menjay |
10742244 | Impedance matched switch | Mittal Ayush |
10742273 | Link margin procedure for enhanced directional multigigabit (EDMG) | Kasher Assaf Yaakov |
10742283 | Transmit diversity schemes for uplink sequence transmissions | Park Seyong |
10742287 | Dynamic beam management for wireless communications | Ryu Jung Ho |
10742293 | Channel state feedback with network down selection alternatives | Lin Jamie Menjay |
10742295 | Methods for early stoppage of beam refinement in millimeter wave systems | Raghavan Vasanthan |
10742342 | Systems and methods for small cell uplink interference cancellation using cooperation between small cells | Das Soumya |
10742353 | Cross-correlation reduction for control signals | Lin Jamie Menjay |
10742358 | Method and apparatus for providing an efficient control channel structure in a wireless communication system | Walton Jay Rodney |
10742372 | Symbol processing | Park Seyong |
10742381 | Techniques for multiple HARQ transmissions | Baghel Sudhir Kumar |
10742382 | Uplink multi-bits acknowledgement for self contained transmissions | Wang Renqiu |
10742472 | Probabilistic amplitude shaping | Van Nee Didier Johannes Richard |
10742897 | Low-power auto-exposure control (AEC) for multi-sensor systems | Chan Victor |
10743032 | Substream multiplexing for display stream compression | Jacobson Natan Haim |
10743063 | Intelligent routing of notifications related to media programming | Mandavilli Ravi Teja |
10743202 | Measurement and reporting enhancements for shared spectrum | Yerramalli Srinivas |
10743203 | Minimizing interference by controlling beam width of a wireless device | Guirguis Sameh |
10743251 | Support for multiple access modes for home base stations | Horn Gavin B. |
10743257 | Techniques and apparatuses for wakeup signal transmission | Liu Le |
10743264 | Indicating energy and thermal constraints in a wireless communications system | Raghavan Vasanthan |
10743274 | Prioritizing synchronization channel frequencies in wireless communications | Ly Hung |
10743290 | Coordinated uplink (UL) multi-user multiple-input-multiple-output (MU-MIMO) communication | Vermani Sameer |
10743296 | For an uplink control channel | Huang Yi |
10743307 | Traffic advertisement in neighbor aware network (NAN) data path | Patil Abhishek Pramod |
10743319 | Method of base station beam refinement | Ding Ling |
10743325 | Channel selection co-existence in shared spectrum | Xia Ping |
10743329 | Hearability improvements for interference management signals | Montojo Juan |
10743332 | Techniques and apparatuses for complementary transmission relating to an interrupted traffic flow in new radio | Luo Tao |
10743338 | Downlink and uplink transmissions for high reliability low latency communications systems | Hosseini Seyedkianoush |
10743777 | Cardiovascular parameter estimation in the presence of motion | Martin Russel |
10746474 | Multi-phase heat dissipating device comprising piezo structures | Rosales Jorge Luis |
10747501 | Providing efficient floating-point operations using matrix processors in processor-based systems | Heddes Mattheus Cornelis Antonius Adrianus |
10747671 | System and method for intelligent tile-based prefetching of image frames in a system on a chip | Holland Wesley James |
10747883 | Collated multi-image check in system-on-chips | Packer Ali Dhamim |
10748235 | Method and system for dim layer power optimization in display processing | Tamatam Raviteja |
10748239 | Methods and apparatus for GPU context register management | Poole Nigel |
10748641 | Byte enable memory built-in self-test (MBIST) algorithm | Seok Greg |
10748891 | Electrostatic discharge (ESD) robust transistor | Dutta Ranadeep |
10748934 | Silicon on insulator with multiple semiconductor thicknesses using layer transfer | Liang Qingqing |
10749017 | Heterojunction bipolar transistors with field plates | Tao Gengming |
10749218 | Circuitry for charging a multi-stack battery pack | Hawley Steve |
10749248 | Antenna module placement and housing for reduced power density exposure | Malik Rahul |
10749254 | Sideband-based self-calibration of an array antenna system | Arnett Andrew |
10749468 | Layout for voltage-controlled oscillator (VCO) | Park Ji-Hoon |
10749477 | Series voltage regulation modulating power supply | Duncan Joseph |
10749481 | Supply compensated delay cell | Sun Bo |
10749499 | Wideband filter including an acoustic resonator chip integrated with 3D inductors and a 3D transformer | Liu Kai |
10749566 | Dynamically adjustable radio-frequency (RF) front-end | Burke Joseph Patrick |
10749633 | Generation of polar codes with a variable block length utilizing | Xu Changlong |
10749641 | Media access control header and transport block formats | Gholmieh Aziz |
10749652 | Methods and apparatus for employing multiple subframe configurations for HARQ operations | Chen Wanshi |
10749653 | Techniques and apparatuses for providing system information updates in a system using bandwidth parts | John Wilson Makesh Pravin |
10749713 | Resource pattern for uplink transmissions | Sundararajan Jay Kumar |
10749726 | Reference signal for pi/2 binary phase shift keying (BPSK) modulation | Park Seyong |
10749930 | Indication for partial segment | Lotfallah Osama |
10750135 | Hardware-friendly model-based filtering system for image restoration | Siddiqui Hasib |
10750198 | Maximum palette parameters in palette-based video coding | Joshi Rajan Laxman |
10750381 | Low latency operation | Meylan Arnaud |
10750395 | Identifying nulling wireless nodes for distributed MIMO communication in a wireless node cluster | Zhou Yan |
10750400 | Processing a data packet received over control plane in congestion scenario | Griot Miguel |
10750419 | Methods of efficient handover and reselection to a home ENODEB using user equipment motion | Lee Kuo-Chun |
10750424 | Preemptive indication of inter-rat mobility | Lee Kuo-Chun |
10750444 | Advanced grant indicator and aperiodic tracking reference signal in discontinuous reception | Lee Heechoon |
10750457 | Devices and methods for facilitating multiple synchronization channels within a single carrier | Zeng Wei |
10750466 | Reference signal (RS) configuration and transmission from serving and neighbor cell for mobility | Nagaraja Sumeeth |
10750476 | Synchronization signal transmission for mobility | Islam Muhammad Nazmul |
10750481 | Ultra-low latency LTE control data communication | Chen Wanshi |
10750484 | Physical uplink control channel (PUCCH) configuration for new-radio-spectrum sharing (NR-SS) | Zhang Xiaoxia |
10750489 | Signaling for multiplexing of low latency communication and sidelink communications | Li Chong |
10750492 | Resource assignment in NR-SS | Zhang Xiaoxia |
10750494 | Management of dynamic transmission time interval scheduling for low latency communications | Chen Wanshi |
10750506 | Re-contention-based co-existence on a shared communication medium | Valliappan Nachiappan |
10750509 | Power reservation and dropping rules for transmission time intervals | Akula Prashanth |
10750520 | Radio link control/packet data convergence protocol window advance with holes | Yang Yue |
10750558 | Ultra-reliable communications using neighboring device-to-device assistance | Soriaga Joseph Binamira |
10750569 | Beam management for connected mode discontinuous reception operation | Nagaraja Sumeeth |
10750640 | Techniques for mitigating temperature spikes and dissipating heat | Andrews Sean Charles |
10754607 | Receiver and decoder for extreme low power, unterminated, multi-drop serdes | Gonzalez Jason |
10754653 | Methods and apparatus for storage and translation of entropy encoded software embedded within a memory hierarchy | Larin Sergei |
10754790 | Translation of virtual addresses to physical addresses using translation lookaside buffer information | Norman Jason |
10754795 | MMU assisted address sanitizer | Pandya Chintan Bipinchandra |
10755666 | Content refresh on a display with hybrid refresh mode | Marchya Dileep |
10755690 | Directional noise cancelling headset with multiple feedforward microphones | Mohammad Asif |
10756085 | Integrated circuit with metal gate having dielectric portion over isolation area | Lu Ye |
10756206 | High power compound semiconductor field effect transistor devices with low doped drain | Yang Bin |
10756614 | Lossless average input and output current sensing in a switched-mode power supply | Pullen Stuart |
10756704 | Saw filter having suppressed shear mode | Sauer Wolfgang |
10756724 | RF circuit with switch transistor with body connection | Aubain Max Samuel |
10756772 | Multi-mode mixer | Patel Chirag Dipak |
10756784 | Feedback of beam repetition and diversity mode | Zhou Yan |
10756791 | Multi-user control channel transmission techniques | Sengupta Ayan |
10756846 | Distributed feedback architecture for polar decoding | Lin Jamie Menjay |
10756868 | Techniques for transmitting a physical uplink shared channel in an uplink pilot time slot | Chen Wanshi |
10757042 | Buffer management for multiple radio access technologies | Gaal Peter |
10757332 | Movement compensation for camera focus | Feng Wen-Chun |
10757385 | Color gamut adaptation with feedback channel | Rusanovskyy Dmytro |
10757417 | Affine motion compensation in video coding | Zhang Kai |
10757420 | Combination of inter-prediction and intra-prediction in video coding | Zhang Kai |
10757442 | Partial reconstruction based template matching for motion vector derivation | Li Xiang |
10757521 | Parametric audio decoding | Chebiyyam Venkata Subrahmanyam Chandra Sekhar |
10757567 | Dual connectivity reporting of a change in user equipment measurement capability | Shi Yongsheng |
10757572 | Identity based signature in system information protection | Lee Soo Bum |
10757583 | Uplink-based positioning reference signaling in multi-beam systems | Ly Hung Dinh |
10757622 | Switching from a priority-based reselection mechanism to a rank-based reselection mechanism | Srivastava Ankur |
10757632 | Public land mobile network identifier for non-mobile network operator networks | Ahmavaara Kalle Ilmari |
10757638 | Discovery channel for unlicensed frequency band | Asterjadhi Alfred |
10757656 | Loop index and time gap for group power control | Wang Xiao Feng |
10757665 | Synchronization signal options for 5G/new radio | Ramanujam Sridhar |
10757668 | Determining a reference timing for a discovery procedure | Abedini Navid |
10757687 | Techniques for communicating feedback in low latency wireless communications | Hosseini Seyedkianoush |
10757690 | Control information piggyback in shared channel | Sun Jing |
10757691 | Downlink control information (DCI) format for code block group (CBG) based subsequent transmission | Gupta Piyush |
10757698 | Transmission time interval (TTI) bundling for physical downlink shared channel (PDSCH) | Chen Wanshi |
10757702 | Managing resources for cooperative uplink transmission | Wang Neng |
10757706 | Techniques and apparatuses for using a downlink assignment index in new radio | Sun Jing |
10757713 | Orthogonality and encapsulation for positioning and navigation signals | Opshaug Guttorm Ringstad |
10757718 | Post-puncture indication for mobile broadband and low latency communication multiplexing | Hosseini Seyedkianoush |
10757728 | Multiple transmission time interval coexistence | Sun Jing |
10757754 | Techniques for securing PDCP control PDU | Lee Soo Bum |
10761553 | Universal serial bus (USB) cable type detection and control techniques | Sporck Christian Gregory |
10761559 | Clock gating enable generation | Zerwick Adam Andrew |
10761721 | Systems and methods for interactive image caricaturing by an electronic device | Zou Xuan |
10761774 | Forced idling of memory subsystems | Alavoine Olivier |
10762336 | Face recognition in low light conditions for unlocking an electronic device | Boenapalli Madhu Yashwanth |
10762910 | Hierarchical fine quantization for audio coding | Mirzahasanloo Taher Shahbazi |
10763364 | Circuits having a diffusion break with avoided or reduced adjacent semiconductor channel strain relaxation, and related methods | Song Stanley Seungchul |
10763984 | Frequency division multiplexing synchronization signals (SS) for wideband operation | Sun Haitong |
10764012 | Reducing processing time for low latency transmission and reception | Luo Tao |
10764021 | Narrowband time-division duplex frame structure for narrowband communications | Bhattad Kapil |
10764486 | Multi-camera autofocus synchronization | Lee Jisoo |
10764501 | Optical image stabilization techniques | Kulik Victor |
10764575 | Coding MCTS-nested SEI messages to exclude other SEI messages that are not MCTS-nested | Wang Ye-Kui |
10764582 | Reducing seam artifacts in 360-degree video | Van Der Auwera Geert |
10764587 | Intra prediction in video coding | Zhang Kai |
10764605 | Intra prediction for 360-degree video | Hendry Fnu |
10764748 | Apparatus and method for user identity authentication in peer-to-peer overlay networks | Mao Yinian |
10764775 | Techniques for buffer status report and scheduling request in new radio | He Linhai |
10764776 | Measurement gap enhancements for BL/CE UEs | Chincholi Amith |
10764781 | Systems and methods for reordering data received from a plurality of radio access technologies (RATs) | Mitra Alok |
10764833 | Uplink preemption or dynamic power control for mobile broadband and low latency communication multiplexing | Hosseini Seyedkianoush |
10764840 | Sounding reference signal (SRS) coordination, power control, and synchronization for distributed coordinated multipoint (CoMP) | Yoo Taesang |
10764853 | Acquiring network timing based on a synchronization signal | Ji Tingfang |
10764871 | Extension of data transmission from ULRB to ULCB | Zeng Wei |
10764877 | Trigger frame in wireless local area network | Verma Lochan |
10764908 | Subframe availability for machine type communications (MTC) | Rico Alvarino Alberto |
10764912 | Scheduling request modes for enhanced component carriers | Vajapeyam Madhavan Srinivasan |
10764913 | Techniques for assisting performance of listen before talk procedures and uplink traffic multiplexing at user equipment | Yerramalli Srinivas |
10764920 | Semi-persistent scheduling for shared spectrum downlink | Yerramalli Srinivas |
10764932 | Beam switch and beam failure recovery | Nagaraja Sumeeth |
10767997 | Systems and methods for providing immersive extended reality experiences on moving platforms | Gorur Sheshagiri Pushkar |
10769073 | Bandwidth-based selective memory channel connectivity on a system on chip | Desai Kunal |
10769079 | Effective gear-shifting by queue based implementation | Boenapalli Madhu Yashwanth |
10769411 | Pose estimation and model retrieval for objects in images | Grabner Alexander |
10769819 | Floating-point data compression | Chong In Suk |
10770075 | Method and apparatus for activating application by speech input | Kim Taesu |
10770087 | Selecting codebooks for coding vectors decomposed from higher-order ambisonic audio signals | Kim Moo Young |
10770132 | SRAM with burst mode address comparator | Jung Changho |
10770391 | Transistor with gate extension to limit second gate effect | Kolev Plamen Vassilev |
10770646 | Manufacturing method for flexible PMUT array | Kidwell, Jr. Donald William |
10770798 | Flex cable fed antenna system | Ou Yu-Chin |
10771105 | Configuration of NOMA communication using multiple sets of spreading sequences | Park Seyong |
10771192 | Power saving in narrowband devices by early termination of decoding of communication channels | Gowda Raghu Hanumantha |
10771196 | Techniques for signaling compressed HARQ or retransmission information between a base station and a user equipment | Jiang Jing |
10771199 | Methods and apparatus for reverse link acknowledgement in a wireless local area network (WLAN) | Sridhara Vinay |
10771201 | On-demand retransmissions in broadcast communication | Agarwal Vishal |
10771204 | Half-duplex operation in new radio systems | Chen Wanshi |
10771218 | Method and apparatus for using channel state information reference signal in wireless communication system | Farajidana Amir |
10771221 | Determination of demodulation reference signal and phase tracking reference signal parameters | Yang Wei |
10771222 | Techniques for transmitting preambles over an unlicensed radio frequency spectrum band | Damnjanovic Aleksandar |
10771225 | Techniques and apparatuses for using mini-slots for hybrid automatic repeat request (HARQ) transmissions | Park Jeonghun |
10771234 | Apparatus and method for an all-digital phase lock loop | Lopelli Emanuele |
10771273 | Network information for assisting user equipment | Luo Tao |
10771294 | Power efficient metadata transport signaling mechanism for codec control and configuration | Bhat Noojady Krishna Raghavendra |
10771299 | Reference signal configurations for doppler support in new radio design | Wang Renqiu |
10771560 | Band scan for narrowband communications | Ananda Raghavendra Shyam |
10771698 | Image stabilization using machine learning | Kang Young Hoon |
10771768 | Systems and methods for improved depth sensing | Atanassov Kalin |
10771811 | Overlapped motion compensation for video coding | Liu Hongbin |
10771999 | Measuring distance in wireless devices | Sanderovich Amichai |
10772021 | Low latency and/or enhanced component carrier discovery for services and handover | Wang Jun |
10772052 | Controlling coexistent radio systems in a wireless device | O'Shea Helena Deirdre |
10772053 | Background crystal oscillator calibration | Wu Jie |
10772061 | Handling overlapped communications | Abedini Navid |
10772069 | Passive positioning using directional transmissions | Kasher Assaf Yaakov |
10772072 | Reserved range of TMGI for receive-only mode | Catovic Amer |
10772078 | Techniques and apparatuses for synchronization signal resource selection for a wireless backhaul network | Abedini Navid |
10772087 | Physical layer signaling techniques in wireless communications systems | Yerramalli Srinivas |
10772091 | Resource coordination with acknowledgement of scheduling grant | Luo Jianghong |
10772092 | Mixed numerology OFDM design | Yoo Taesang |
10772099 | Punctured sounding and partial bandwidth feedback | Verma Lochan |
10772110 | Universal channel reservation signal for medium sharing | Zhang Xiaoxia |
10772112 | Network neighborhood alignment | Church Christopher |
10772113 | Autonomous uplink transmission techniques using shared radio frequency spectrum | Yerramalli Srinivas |
10772125 | Systems and methods for a spatial listen-before-talk protocol | Xue Yisheng |
10772132 | PRACH configuration on NR-U | Zhang Xiaoxia |
10772145 | Autonomous formation for backhaul networks | Hampel Karl Georg |
10772511 | Motion sensor using cross coupling | Sahin Mustafa Emin |
10775361 | Monitoring control channel with different encoding schemes | Chen Wanshi |
10775500 | Ultrasonic transducer pixel readout circuitry and methods for ultrasonic phase imaging | Taghibakhsh Farhad |
10775901 | Techniques for identifying rolling gestures on a device | Keating Virginia Walker |
10776628 | Video action localization from proposal-attention | Escorcia Victor Augusto |
10776665 | Systems and methods for object detection | Chen Ching-Hui |
10776992 | Asynchronous time warp with depth data | Melkote Krishnaprasad Vinay |
10776997 | Rendering an image from computer graphics using two rendering computing devices | Schmalstieg Dieter |
10777164 | Power optimization by rendering low-resolution tiles during page load | Hui Shiu Wai |
10777169 | Systems and methods to synchronize display panels | Marchya Dileep |
10777213 | Audio bandwidth selection | Atti Venkatraman S. |
10777259 | Static random-access memory (SRAM) for in-memory computing | Wang Zhongze |
10777640 | Standard cell architecture for gate tie-off | Chen Xiangdong |
10778143 | Techniques and apparatuses for mitigating voltage controlled oscillator frequency disturbance | Kumar Akash |
10778188 | Harmonic rejection filter with transimpedence amplifiers | Karmaker Rahul |
10778306 | Methods for beam determination after beam pair link indication | Subramanian Sundar |
10778309 | Techniques for assisted beam refinement | Raghavan Vasanthan |
10778313 | Techniques for beam failure recovery in wireless communications | Venugopal Kiran |
10778316 | Hybrid closed-loop and open-loop multiple-input multiple-output scheme in new radio | Huang Yi |
10778366 | Techniques for rate matching and interleaving in wireless communications | Loncke Vincent |
10778370 | Communication techniques involving polar codewords with reduced repetition | Sarkis Gabi |
10778371 | Deeply-pipelined high-throughput LDPC decoder architecture | Loncke Vincent |
10778376 | Carrier aggregation with dynamic TDD DL/UL subframe configuration | Chen Wanshi |
10778394 | Joint transmission of precoded and unprecoded sounding reference signals in uplink | Huang Yi |
10778401 | Time division multiplexing (TDM) coordination for multiple radio access technology (RAT) carrier aggregation | Zhu Xipeng |
10778473 | Coverage mode based observed time difference of arrival positioning | Kumar Akash |
10778487 | Techniques for a unified demodulation reference signal pattern for various multiplexing schemes | Huang Yi |
10778493 | Enhancements to phase-noise compensation reference signal design and scrambling | Akkarakaran Sony |
10778967 | Systems and methods for improving performance of a robotic vehicle by managing on-board camera defects | Van Schoyck Travis |
10778974 | Adaptive loop filter with enhanced classification methods | Karczewicz Marta |
10778977 | Triangle motion information for video coding | Wang Hongtao |
10778978 | System and method of cross-component dynamic range adjustment (CC-DRA) in video coding | Rusanovskyy Dmytro |
10778979 | Signaling mechanisms for equal ranges and other DRA parameters for video coding | Ramasubramonian Adarsh Krishnan |
10778999 | Frame rate up-conversion coding mode with affine motion model | Li Xiang |
10779002 | Limitation of the MVP derivation based on decoder-side motion vector derivation | Chen Yi-Wen |
10779006 | Signaling 360-degree video information | Hendry Fnu |
10779011 | Error concealment in virtual reality system | Melkote Krishnaprasad Vinay |
10779057 | Broadcast content redistribution and ad insertion | Mandyam Giridhar |
10779126 | Systems and methods for PRS muting in a fifth generation wireless network | Kumar Akash |
10779193 | Transmissions in a half duplex based wireless communication system | Nguyen Tien Viet |
10779194 | Preferred path network scheduling in multi-modem setup | Gholmieh Ralph Akram |
10779210 | Handover of extended synchronous connection-oriented logical transport channel | Srivastava Dishant |
10779222 | Grant-free admission control to a shared channel | Huang Yi |
10779240 | Multi-link transmit power control for a plurality of uplink beam pairs | Akkarakaran Sony |
10779256 | Systems and methods for positioning mobile devices in a fifth generation wireless network | Edge Stephen William |
10779259 | Quasi co-location of antenna ports used to transmit paging message and synchronization signals | Islam Muhammad Nazmul |
10779266 | Enhanced SRS transmission for MIMO operation in LTE-A | Chen Wanshi |
10779273 | NR uplink transmit beam selection based on PDCCH/PDSCH receive beams | John Wilson Makesh Pravin |
10779277 | General authorized access (GAA) primary channel assignment for multiple operators | Khoshnevisan Mostafa |
10779294 | Prioritized RTS-CTS resources | Sadiq Bilal |
10779308 | Priority based resource selection in a device-to-device communication system | Patil Shailesh |
10779310 | Uplink control channel resource allocation for new radio (NR) | Wang Renqiu |
10779312 | Discontinuous reception and scheduling techniques in wireless communication systems using multiple transmission time intervals | Ozturk Ozcan |
10779315 | Traffic identifier based buffer status reporting | Asterjadhi Alfred |
10779320 | Channel reservation signal with new radio PDCCH waveform | Sun Jing |
10779328 | Reference signal and preempted resources collision handling | Nam Wooseok |
10779331 | Random access channel (RACH) transmission with cross-band downlink/uplink (DL/UL) pairing | Zhang Xiaoxia |
10779345 | User plane relocation techniques in wireless communication systems | Faccin Stefano |
10779346 | Local area data network connectivity | Faccin Stefano |
10779355 | Restricting bearers in a connection | Balasubramanian Srinivasan |
10783011 | Deadlock free resource management in block based computing architectures | Kothinti Naresh Vignyan Reddy |
10783252 | System and method for booting within a heterogeneous memory environment | Li Yanru |
10783796 | Collision management for a robotic vehicle | Mellinger, III Daniel Warren |
10783894 | Stereo parameters for stereo decoding | Chebiyyam Venkata Subrahmanyam Chandra Sekhar |
10784345 | Standard cell architecture for gate tie-off | Chen Xiangdong |
10784348 | Porous semiconductor handle substrate | Fanelli Stephen Alan |
10784636 | Asymmetrical quadrature hybrid coupler | Vigilante Marco |
10784883 | Noise shaping analog-to-digital converter | Tripathi Prateek |
10784901 | Puncturing for structured low density parity check (LDPC) codes | Kudekar Shrinivas |
10784904 | Transceiver configuration for millimeter wave wireless communications | Weissman Haim Mendel |
10784934 | Low peak-to-average power ratio precoded reference signal design for multiple-input, multiple-output transmissions | Park Se Yong |
10784991 | Polar code construction for low-latency decoding and reduced false alarm rate with multiple formats | Lin Jamie Menjay |
10784993 | Soft combining packets received from different piconets | Batra Mayank |
10784999 | Narrowband physical broadcast channel design on multiple anchor channels | Liu Chih-Hao |
10785013 | Priority-based carrier selection in distributed wireless networks | Cheng Hong |
10785080 | Determining a number of RACH preamble messages for transmission | Islam Muhammad Nazmul |
10785406 | Photography assistance for mobile devices | Lanka Venkata Mahesh |
10785449 | Communicating using media content | Ankita |
10785494 | Low-complexity design for FRUC | Chien Wei-Jung |
10785527 | Dynamic allocation of wireless personal area network radios among a plurality of radio access technologies supported by a user equipment | Fukuoka Yoshiro |
10785656 | Bandwidth part switch management | Ang Peter Pui Lok |
10785667 | Reference signal measurement and reporting for new radio (NR) systems | Chendamarai Kannan Arumugam |
10785706 | Bandwidth signaling for a basic service set (BSS) supporting 320 MHZ operating bandwidth | Verma Lochan |
10785736 | System and method that facilitates a coexistence of fifth generation new radio resource technology with narrowband internet-of-things technology | Jiang Jing |
10785751 | Techniques for contending for access to channels of a shared radio frequency spectrum band for broadcast/multicast transmissions | Zhang Xiaoxia |
10785777 | Apparatus and method for receiving data frames | Eitan Alecsander Petru |
10785793 | Method and apparatus for scheduling multiple uplink grants of different types | Sun Haitong |
10785794 | Methods and apparatus for grant processing | Luo Tao |
10785797 | Beam refinement reference signal (BRRS) design for mmWave system in shared spectrum | Sun Jing |
10785799 | Adaptive medium sensing thresholds | Chendamarai Kannan Arumugam |
10785806 | On-demand interference management | Sadek Ahmed Kamel |
10785818 | Coordination between wireless audio devices | Sridhara Srivathsa |
10788830 | Systems and methods for determining a vehicle position | Sharma Arunandan |
10789776 | Structural modeling using depth sensors | Schmalstieg Dieter |
10789913 | Arbitrary block rendering and display frame reconstruction | Yadav Rajesh |
10790272 | Manufacturability (DFM) cells in extreme ultra violet (EUV) technology | Sobti Harmeet |
10790563 | Reconfigurable phase-shifting networks | Schwab Martin |
10790691 | In system reconfigurable rectifier/power converters for wired and wireless charging | Govindaraj Arvind |
10790805 | Impedance converter to achieve negative capacitance and/or negative inductance for radio frequency front end matching | Snai Makar |
10790837 | Self-tuning digital clock generator | Azam Touqeer |
10790861 | Increasing capacity in wireless communications | Xue Yisheng |
10790892 | Rate matching of reference signal resources in multiple transmit receive point (TRP) scenarios | Manolakos Alexandros |
10790930 | Techniques for distortion correction at a receiver device | Chen Jialing Li |
10790940 | Control channel monitoring for retransmissions in a coordinated multipoint network | Li Chong |
10790944 | Comb interlacing of DFT-spreaded data and reference signals | Park Seyong |
10790945 | Methods to mitigate inter-platoon interference | Wu Zhibin |
10790949 | SRS in dual connectivity | Damnjanovic Jelena |
10790954 | Implicit acknowledgment (ACK) mapping | Wang Renqiu |
10791027 | Methods and apparatus for assisted radio access technology self-organizing network configuration | Sadek Ahmed Kamel |
10791315 | Signaling of spatial resolution of depth views in multiview coding file format | Wang Ye-Kui |
10791341 | Binary arithmetic coding with progressive modification of adaptation parameters | Said Amir |
10791411 | Enabling a user to obtain a suitable head-related transfer function profile | Wang Dongmei |
10791463 | Forbidden network list management | Seshadri Swathi |
10791512 | User equipment power consumption and secondary cell activation latency reductions in a wireless communication system | Kadiri Prasad |
10791518 | Discontinuous reception (DRX) operations with flexible scheduling of data communications | Zhang Xiaoxia |
10791523 | Configuring different uplink power control for long and short uplink bursts | Sundararajan Jay Kumar |
10791542 | Regional and narrow band common reference signal (CRS) for user equipment (UE) relays | Chen Wanshi |
10791546 | PUCCH for MTC devices | Chen Wanshi |
10791548 | Search space design for control channel in wireless communication | Yang Yang |
10791556 | Techniques for transmitting channel usage beacon signals over an unlicensed radio frequency spectrum band | Gaal Peter |
10791557 | Techniques for handling wide bandwidth communications | Chen Wanshi |
10791558 | Techniques and apparatuses for autonomous resource selection for vehicle-to-everything (V2X) transmissions | Santhanam Arvind |
10791561 | Elevation restriction beamforming in wireless systems | Raghavan Vasanthan |
10791567 | Overlapping control resource sets with different priority levels | Sun Jing |
10791579 | Random access response (RAR) monitoring for multiple preamble transmissions in multi-beam operation | Nagaraja Sumeeth |
10795400 | Time synchronization for clocks separated by a communication link | Benjamini Yiftach |
10795830 | Write access control for double data rate write-x/datacopy0 commands | Chun Dexter Tamio |
10796458 | Compression of point clouds via a novel hybrid coder | Melkote Krishnaprasad Vinay |
10796478 | Dynamic rendering for foveated rendering | Gruber Andrew Evan |
10796501 | Interactive sharing of vehicle sensor information | Cheng Hong |
10796735 | Read tracking scheme for a memory device | Lee Hochul |
10797676 | Acoustic resonator with enhanced boundary conditions | Menendez-Nadal Oscar |
10797720 | Apparatus and method for measuring current source mismatches in current-steering DAC by re-using R2R network | Sung Eunyung |
10797748 | Pairwise cross correlation sequences for non-orthogonal multiple access wireless communications | Park Seyong |
10797774 | Ultra-reliable low latency communication with multiple transmission-reception points | Sarkis Gabi |
10797832 | Dynamic hybrid automatic repeat request (HARQ) codebook for multi-transmit receive point (TRP) communication | Khoshnevisan Mostafa |
10797833 | Techniques and apparatuses for ultra reliable low latency hybrid automatic repeat request (HARQ) retransmission for semi-persistent scheduling (SPS) | Li Chong |
10797836 | Measurement of data streams comprising data and pilot channels | Thrasher Robert Dale |
10797842 | Multiplexing broadcast channels with synchronization signals in new radio | Abedini Navid |
10797846 | Signaling of alternative modulation coding schemes | Bai Tianyang |
10798404 | Systems and methods of performing improved local illumination compensation | Chuang Hsiao-Chiang |
10798417 | Deblock filtering for 360-degree video coding | Hendry Fnu |
10798513 | Head-related transfer function generation | Kim Lae-Hoon |
10798602 | Radio resource management and radio link monitoring for enhanced machine type communication in shared spectrum | Yerramalli Srinivas |
10798613 | Traffic separation in a controller based multi-AP network | Huang Xiaolong |
10798627 | User equipment centric mobility (UECM) in radio resource control (RRC) dedicated mode | Tavildar Saurabha Rangrao |
10798661 | Techniques and apparatuses for resource-specific power control in 5G | Abedini Navid |
10798682 | Methods and apparatus for processing control and/or shared channels in long term evolution (LTE) | Chen Wanshi |
10798685 | Cyclic redundancy check for uplink control information on control and data channels | Chen Wanshi |
10798703 | Position of uplink short burst in new radio | Wang Renqiu |
10798704 | Reference signal design for slot aggregation | Akkarakaran Sony |
10798725 | Apparatuses and methods for ultra reliable low latency communications in new radio based vehicle to everything environment | Baghel Sudhir Kumar |
10798733 | Long PUCCH design for slots with varying duration of uplink and for dynamic time division duplexing | Bhattad Kapil |
10798735 | Enhanced licensed assisted access uplink channel access | Yerramalli Srinivas |
10798743 | Methods and systems for improved resource unit utilization | Patil Abhishek Pramod |
10798774 | Techniques and apparatuses for bandwidth part wake-up signaling | Ang Peter Pui Lok |
10798775 | Techniques and apparatuses for duplication bearer management | Yu Yu-Ting |
10801069 | Inter-frequency bias compensation for time difference measurements in position determinations | Fischer Sven |
10802382 | Adjustable light projector for flood illumination and active depth sensing | Ma Jian |
10802588 | Deflecting film with mechanical protrusion for actuation and tactile feedback | Martin Russel Allyn |
10802736 | Power down mode for universal flash storage (UFS) | Shin Hyunsuk |
10802875 | Multithread framework for use in pre-boot environment of a system-on-chip | Narayana Yugandhar |
10803754 | Directional beam mesh network for aircraft | Li Junyi |
10803759 | Adjustable object avoidance proximity threshold based on presence of propeller guard(s) | Taveira Michael Franco |
10803942 | Transistor noise tolerant, non-volatile (NV) resistance element-based static random access memory (SRAM) physically unclonable function (PUF) circuits, and related systems and methods | Jung Seong-Ook |
10804195 | High density embedded interconnects in substrate | Kang Kuiwon |
10804854 | Multi-level power supply architecture for radio frequency power amplifiers | Abdelfattah Moataz Abdelsamie |
10804978 | Coupling aperiodic channel state information (CSI) reference signal (RS) (CSI-RS) structure with feedback content and reporting timing | Manolakos Alexandros |
10804983 | Tuning a subset of receive chains of a component carrier away from MIMO communication to perform an inter-frequency positioning reference signal measurement | Kumar Akash |
10804984 | Adaptive hybrid precoder selection in 2D antenna configuration | Cheraghi Parisa |
10804996 | Preventing frequent beam switching | Padhy Chinmaya |
10805000 | Method and apparatus for discontinuous transmission in bent-pipe relay in satellite communication systems | Black Peter John |
10805037 | Modulation selection based on demodulation data | Jakubov Ondrej |
10805038 | Puncturing signaling channel for a wireless communication system | Gorokhov Alexei Yurievitch |
10805039 | Rate matching behavior for bundled CORESETs | Sun Jing |
10805136 | Multiplexing paging signals with synchronization signals in new radio | Abedini Navid |
10805430 | Evolved data compression scheme signaling | Raina Ashwini |
10805630 | Gradient based matching for motion search and derivation | Li Xiang |
10805641 | Intra filtering applied together with transform processing in video coding | Seregin Vadim |
10805650 | Signaling important video information in network video streaming using mime type parameters | Wang Ye-Kui |
10805784 | Methods and systems for efficient location support for wireless emergency alerts | Edge Stephen William |
10805816 | Control of UE clear channel assessment by an ENB | Yerramalli Srinivas |
10805821 | Signaling availability during a measurement window | Gheorghiu Valentin Alexandru |
10805828 | Buffer status report for eDCS | Ahmadzadeh Seyed Ali |
10805836 | Packet duplication at a packet data convergence protocol (PDCP) entity | Yu Yu-Ting |
10805855 | Cell selection procedures for machine type communication devices | Wang Renqiu |
10805869 | Techniques and apparatuses for search, measurement, and icon display in new radio non-standalone mode | Lee Kuo-Chun |
10805939 | Control channel code rate selection | John Wilson Makesh Pravin |
10805940 | Triggering distributed MIMO communication in a wireless node cluster | Zhou Yan |
10805942 | Multiplexing communications of user equipment that support different transmission time interval lengths | Li Junyi |
10805949 | Processing retransmissions in semi-persistently scheduled wireless communications | Mali Nikhil |
10805959 | Beam indication during random access channel (RACH) procedure | Akkarakaran Sony |
10805979 | Dual band discontinuous reception | Chakraborty Kaushik |
10805980 | Dynamic reclamation of resources reserved for forward compatibility | Nam Wooseok |
10809370 | Angular velocity sensing using arrays of antennas | Kim Sanghoek |
10809816 | Customizable orientation lock for a mobile display device | Ananda Raghavendra Shyam |
10811068 | Varying energy barriers of magnetic tunnel junctions (MTJs) in different magneto-resistive random access memory (MRAM) arrays in a semiconductor die to facilitate use of MRAM for different memory applications | Li Xia |
10811086 | SRAM write yield enhancement with pull-up strength modulation | Mohanty Shiba Narayan |
10811088 | Access assist with wordline adjustment with tracking cell | Raj Pradeep |
10812056 | Method of generating precise and PVT-stable time delay or frequency using CMOS circuits | Wu Zhengzheng |
10812202 | Resources for channel measurements | Nagaraja Sumeeth |
10812210 | Indication of transmitted SS blocks | Ly Hung Dinh |
10812214 | Techniques for managing a plurality of radio access technologies accessing a shared radio frequency spectrum band | Yerramalli Srinivas |
10812231 | Enhanced coordinated multipoint operation | Chen Wanshi |
10812241 | Techniques and apparatuses for HARQ-ACK timeline indication and HARQ-ACK multiplexing and bundling in new radio | Yang Yang |
10812262 | Performing a key agreement recovery procedure | Hillan John |
10812295 | Search space set hashing under channel estimation capability | Lee Heechoon |
10812634 | Methods and apparatus for communicating high efficiency control information | Asterjadhi Alfred |
10812731 | Adjustable receiver exposure times for active depth sensing systems | Naing Htet |
10812791 | Offset vector identification of temporal motion vector predictor | Chien Wei-Jung |
10812798 | Chroma quantization parameter (QP) offset | Chien Wei-Jung |
10812820 | Systems and methods for signaling and constraining a high dynamic range (HDR) video system with dynamic metadata | Rusanovskyy Dmytro |
10812822 | Intra block copy merge mode and padding of unavailable IBC reference region | Rapaka Krishnakanth |
10812937 | Method and apparatus for obtaining contextually relevant content | Mittal Vineet |
10812982 | Autonomous uplink transmission in unlicensed spectrum | Yerramalli Srinivas |
10813049 | Coexistence enhancements for wake-up radio | Sun Yanjun |
10813054 | Feedback transmission techniques in coordinated clusters of transmission reception points | Gupta Piyush |
10813060 | Reference power headroom report | Wang Xiao Feng |
10813063 | Synchronization signal transmission in a new radio wireless communication system | Ly Hung |
10813069 | Techniques and apparatuses for using different timing advance values for different numerologies | Li Chih-Ping |
10813082 | Transmission time interval (TTI) bundling for control channels in long term evolution (LTE) | Chen Wanshi |
10813093 | Techniques for communicating on an uplink in a shared radio frequency spectrum band | Yerramalli Srinivas |
10813097 | System and method for transmitting beam failure recovery request | Islam Muhammad Nazmul |
10813100 | Managing downlink and uplink resources for low cost user equipments | Chen Wanshi |
10813108 | Data throughput improvement in multi-SIM devices | Li Gaoshan |
10813115 | Scheduling of uplink transport blocks | Meylan Arnaud |
10813136 | Dual connectivity with a network that utilizes an unlicensed frequency spectrum | Yerramalli Srinivas |
10813157 | Beam failure recovery and related timing determination techniques | Bai Tianyang |
10816345 | Enhancing navigation experience using V2X supplemental information | Kumar Akash |
10817007 | Multi-standard, automatic impedance controlled driver with supply regulation | Hafizi Madjid |
10817224 | Preemptive decompression scheduling for a NAND storage device | De Subrato Kumar |
10817381 | Automated UICC recovery | Gudivada Naga Chandan Babu |
10817694 | Reducing background signal in imaging sensors | Lu Yipeng |
10818081 | Dynamic lighting for objects in images | Rezaiifar Ramin |
10819233 | Switched common-mode current control for single-inductor-multiple-output (SIMO) power converters | Xue Lin |
10819303 | Amplifier with gain boosting | Park Joung Won |
10819409 | Handling dynamic blockage in millimeter wave communication systems | Raghavan Vasanthan |
10819410 | Selecting physical uplink control channel (PUCCH) resources for channel state information | Akkarakaran Sony |
10819448 | Detection and mitigation of antenna element failures | Raghavan Vasanthan |
10819471 | Protocols for multiple user frame exchanges | Merlin Simone |
10819475 | Uplink semi-persistent scheduling for low latency communications | Sun Jing |
10819482 | Sounding reference signal enhancements | Vitthaladevuni Pavan Kumar |
10819489 | Real time ACK/NAK from link sniffing | Agarwal Vishal |
10819495 | Time-division duplex frame structure for narrowband communications | Bhattad Kapil |
10819524 | Methods for header extension preservation, security, authentication, and protocol translation for RTP over MPRTP | Gholmieh Ralph Akram |
10819655 | Bandwidth part signaling and switching | Ang Peter Pui Lok |
10820156 | Techniques for providing location-based health alerts based on biological indicators | Gum Arnold |
10820177 | Common search space for machine type communications | Rico Alvarino Alberto |
10820185 | Mobility between areas with heterogeneous network slices | Faccin Stefano |
10820237 | Multi-step reference signal configuration and detection for interference management | Xu Huilin |
10820252 | RRM measurement and reporting for license assisted access | Vajapeyam Madhavan Srinivasan |
10820291 | Phase tracking in training fields | Tian Tao |
10820299 | Radio resource management configuration for user equipment with wake-up signal receivers | Liu Le |
10820314 | Traffic advertisement in neighbor aware network (NAN) data path | Patil Abhishek Pramod |
10820316 | Coordinated slotted medium access for shared spectrum new radio | Zhang Xiaoxia |
10820326 | Resource allocation for reserved resources | Joseph Vinay |
10820327 | Downlink interference cancellation methods | Agrawal Avneesh |
10820332 | Sounding scheduling for distributed MIMO communication in an access point cluster | Zhou Yan |
10820333 | Distributed MIMO communication scheduling in an access point cluster | Zhou Yan |
10820346 | Clear channel assessment adjustment for in-band link aggregation | Patil Abhishek Pramod |
10820365 | Techniques for providing radio resource control and fronthaul control on a wireless fronthaul link | Hampel Karl Georg |
10824594 | Associating a captured screenshot with application-specific metadata that defines a session state of an application contributing image data to the captured screenshot | Guest Daniel |
10825237 | Extended reality virtual assistant | Gorur Sheshagiri Pushkar |
10825467 | Non-harmonic speech detection and bandwidth extension in a multi-source environment | Chebiyyam Venkata Subrahmanyam Chandra Sekhar |
10825536 | Programmable circuits for performing machine learning operations on edge devices | Yang Haining |
10826543 | Filter circuit configuration for carrier aggregation | Ella Juha Sakari |
10826568 | Simultaneous multiple default beams | Zhou Yan |
10826577 | Enhanced CSI feedback for FD-MIMO | Wei Chao |
10826581 | Machine-learning based method for MIMO detection complexity reduction | Noorzad Parham |
10826741 | Techniques for reducing adjacent channel leakage-power ratio | Park Seyong |
10827300 | Opportunistic signal reception for mobile device position location estimation | Kumar Akash |
10827307 | Variable ping rate for a location tracker | Villa Andrea |
10827359 | Mechanism for grouping a neighboring access point (AP) in a spatial reuse group (SRG) | Cherian George |
10827385 | Techniques for preamble puncturing | Verma Lochan |
10827425 | Triggered target wake time operation | Asterjadhi Alfred |
10827440 | Indication of potential NR UL transmission in NE-DC | Wang Xiao Feng |
10827450 | Wireless device registration | Do Ju-Yong |
10827467 | Selecting a cell identifier based on a downlink control information | Chen Wanshi |
10827469 | Techniques for wireless communications using a modified subframe structure | Chen Wanshi |
10827471 | Uplink procedures on a wireless communication medium | Patel Chirag Sureshbhai |
10827474 | Techniques and apparatuses for nesting a new radio system and a long term evolution system | John Wilson Makesh Pravin |
10827476 | Control resource set design under single carrier waveform | Bhattad Kapil |
10827484 | Traffic advertisement in neighbor aware network (NAN) data path | Patil Abhishek Pramod |
10827485 | Narrowband dependent subframe availability for MTC | Chen Wanshi |
10827488 | Dynamic uplink/downlink frame structure for enhanced component carriers | Mallik Siddhartha |
10827491 | Techniques for transmitting a sounding reference signal or scheduling request over an unlicensed radio frequency spectrum band | Gaal Peter |
10827499 | Techniques and apparatuses for prioritization for transmission power control in 5G | Abedini Navid |
10827516 | Resource splitting among different types of control information and uplink data for a transmission on an uplink shared channel | Huang Yi |
10827529 | Random access collision reduction based on multiple uplink grants | Agarwal Ravi |
10827556 | Low power discontinuous reception with a second receiver | Ang Peter Pui Lok |
10827558 | Techniques and apparatuses for communication relay discovery | Gupta Piyush |
10830895 | Secure global navigation satellite systems | Farmer Dominic Gerard |
10831220 | Methods and apparatuses for voltage regulation using precharge rails | Rosolowski Chris |
10831254 | Allocating power between multiple central processing units (CPUs) in a multi-CPU processor based on total current availability and individual CPU quality-of-service (QoS) requirements | Priyadarshi Shivam |
10831290 | Stylus-tracking piezoelectric sensor | Panchawagh Hrishikesh Vijaykumar |
10831667 | Asymmetric memory tag access and design | Rangarajan Bharat Kumar |
10832764 | Low-power and high-density core-power lowering for memory write assist | Bhaskaran Adithya |
10832848 | Low DC resistance and high RF resistance power amplifier choke inductor | Kim Daeik Daniel |
10833017 | Contact for semiconductor device | Liu Yanxiang |
10833254 | Engineered barrier layer interface for high speed spin-transfer torque magnetic random access memory | Park Chando |
10833705 | Information bit distribution design for polar codes | Jiang Jing |
10833739 | Reference signal and Tx/Rx precoding for UE multiplexing in NR SS | Fakoorian Seyed Ali Akbar |
10833759 | Wireless communication location reporting and paging | Lucky Kundan Kumar |
10833760 | Coverage enhancement mode switching for wireless communications using shared radio frequency spectrum | Liu Chih-Hao |
10833818 | Resource exclusion in a half duplex based wireless communication system | Nguyen Tien Viet |
10833826 | Synchronization signals for narrowband operation | Lei Jing |
10833827 | V2X control and data channel indication during LBT | Baghel Sudhir Kumar |
10833836 | Managing release of resources for uplink grant-free transmissions on bandwidth part deactivation | Li Chih-Ping |
10833899 | Low power physical layer driver topologies | Chou Shih-Wei |
10833902 | Multi-radio access technology (multi-RAT) diversity for ultra-reliable low-latency communication (URLLC) | Li Chong |
10834153 | System level signaling of SEI tracks for media data streaming | Stockhammer Thomas |
10834154 | Dynamic configuration of stream parameters based on modulation scheme | Redding Brian |
10834310 | Multi-camera post-capture image processing | Baldwin Cullum James |
10834396 | Bilateral filter for predicted video data | Zhang Li |
10834419 | Conformance constraint for collocated reference index in video coding | Joshi Rajan Laxman |
10834603 | Reservation signal for transmission opportunity design for spectrum sharing | Zhang Xiaoxia |
10834615 | Beam selection in millimeter wave systems | Raghavan Vasanthan |
10834625 | Carrier aggregation capability signaling | Vintola Timo Ville |
10834630 | Methods and apparatus for secure connectionless uplink small data transmission | Griot Miguel |
10834642 | Dynamic resource sharing | Baghel Sudhir Kumar |
10834651 | Techniques for managing handovers in an unlicensed radio frequency spectrum band | Damnjanovic Aleksandar |
10834661 | Multiple connectivity for high reliability | Joseph Vinay |
10834665 | Techniques for extended cell discovery | Sun Jing |
10834687 | Power headroom reporting for systems with multiple transmission time intervals | Hosseini Seyedkianoush |
10834690 | Staggered synchronization signal blocks in frequency sub-bands for beamformed wireless communications | Chendamarai Kannan Arumugam |
10834696 | Method and apparatus for supporting positioning for terminals in a wireless network | Edge Stephen W. |
10834699 | Fallback mode for wake-up signal receivers | Liu Le |
10834711 | Selectively multiplexing physical uplink shared channel (PUSCH) and physical uplink control channel (PUCCH) communications | Huang Yi |
10834733 | Mask for reference signal measurements | Nagaraja Sumeeth |
10834750 | Low latency physical uplink control channel with scheduling request and channel state information | Patel Shimman Arvind |
10834754 | Systems and methods for improved communication efficiency in high efficiency wireless networks | Merlin Simone |
10834757 | Methods and apparatus for channel reservation | Sun Jing |
10834760 | Mobility enhancement with channel state information reference signals (CSI-RS) | Sun Haitong |
10834782 | Techniques for low-band anchored high-band connections in wireless communications | Malik Rahul |
10838415 | Systems and methods for automatically customizing operation of a robotic vehicle | Dougherty John Anthony |
10838443 | Precision bandgap reference with trim adjustment | Rasmus Todd Morgan |
10838505 | System and method for gesture recognition | Tomeh Mahmoud Munes |
10838731 | Branch prediction based on load-path history | Al Sheikh Rami Mohammad A. |
10838862 | Memory controllers employing memory capacity compression, and related processor-based systems and methods | Heddes Mattheus Cornelis Antonius Adrianus |
10838898 | Bit-interleaved bi-directional transmissions on a multi-drop bus for time-critical data exchange | Mishra Lalan Jee |
10839257 | Prioritizing objects for object recognition | Wang Lei |
10839480 | Sphere equator projection for efficient compression of 360-degree video | Van Der Auwera Geert |
10839814 | Encoding or decoding of audio signals | Atti Venkatraman |
10839866 | Memory core power-up with reduced peak current | Mohanty Shiba Narayan |
10840383 | Non-volatile memory (NVM) structure with front and back gates | Liang Qingqing |
10840387 | Buried oxide transcap devices | Marino Fabio Alessio |
10840872 | Low-pass filter utilizing mutual inductance | Cheng Haitao |
10840884 | Bulk acoustic wave (BAW) and passive-on-glass (POG) filter co-integration | Song Stanley Seungchul |
10840885 | Filter and method of designing an RF filter | Gavryliuk Oleksandr |
10840929 | Digital-to-analog converter (DAC) with common-mode correction | Weil Andrew |
10840995 | Diversity techniques in true wireless stereo (TWS) shadowing | Luong Le Nguyen |
10841001 | Dynamically segmenting information according to at least one criterion | Lucky Kundan Kumar |
10841037 | Managing interference in a network | Mallik Siddhartha |
10841062 | Sequence for reference signals during beam refinement | Nagaraja Sumeeth |
10841069 | Partial subframe transmission techniques in shared radio frequency spectrum | Yerramalli Srinivas |
10841070 | Apparatus and method for capability update in wireless communication | Smee John Edward |
10841084 | Session management authorization token | Lee Soo Bum |
10841143 | Phase tracking reference signal for sub-symbol phase tracking | Bai Tianyang |
10841149 | Beam failure recovery in connection with switching BWP | Nagaraja Sumeeth |
10841203 | Coordination of multiple routes for a single IP connection | Liu Feilu |
10841488 | Combined monochrome and chromatic camera sensor | Jiang Xiaoyun |
10841549 | Methods and apparatus to facilitate enhancing the quality of video | Pourreza Shahri Reza |
10841593 | Intra prediction and intra mode coding | Zhao Xin |
10841729 | Network independent location services | Edge Stephen W |
10841732 | Systems and methods for emergency data communication | Cavendish Dirceu |
10841781 | Discovery preamble content for a device discovery procedure | Abedini Navid |
10841805 | Inter-operator coordination for channel access in shared spectrum | Xue Yisheng |
10841828 | Disambiguation of random access response for random access support on supplemental uplink | Nam Wooseok |
10841833 | Streamlined user plane headers for high data rates | Gholmieh Aziz |
10841862 | Millimeter wave directional discovery signal design | Wu Zhibin |
10841870 | Discovery for spectrum renting | Li Junyi |
10841876 | Wake-up signal (WUS) and wake-up receiver (WUR) in a communication device | Bhattad Kapil |
10841878 | Scheduling request collection after a discontinuous reception period | Islam Muhammad Nazmul |
10841892 | Local area network assisted positioning | Sheynblat Leonid |
10841927 | Modem assisted contention handling of multiple active connections in wireless communications | Soriaga Joseph Binamira |
10841932 | Coexistence management of GNSS and wireless operations | Wu Jie |
10841934 | Priority rule for signal repetition collisions | Zhou Yan |
10841941 | Enhanced carrier aggregation activation and scheduling request procedures | Vajapeyam Madhavan Srinivasan |
10841942 | Scheduling and time-domain configuration in integrated access and backhaul | Abedini Navid |
10841950 | Listen before talk techniques in shared millimeter wave radio frequency spectrum | Sun Jing |
10841952 | Puncturing PT-RS based on a collision between PT-RS and coreset | Bai Tianyang |
10841953 | Receiver-based listen before talk techniques in shared millimeter wave radio frequency spectrum | Sun Jing |
10841957 | Facilitating multi-node listen before talk functionality via a centralized controller | Sun Jing |
10841959 | Conveying RACH information through PBCH | Islam Muhammad Nazmul |
10841970 | Beam management for beam-swept wakeup signals | Islam Muhammad Nazmul |
10845486 | Satellite positioning system navigation bit aiding | Cookman Jordan |
10846260 | Providing reconfigurable fusion of processing elements (PEs) in vector-processor-based devices | Parandeh Afshar Hadi |
10846541 | Systems and methods for classifying road features | Joshi Avdhut |
10846705 | Automating customer service an internet of everything environment | Salajegheh Mastooreh |
10847170 | Device and method for generating a high-band signal from non-linearly processed sub-ranges | Atti Venkatraman |
10847507 | Contact liner to enable different CPP devices | Bao Junjing |
10848100 | System and method for reducing current noise in a VCO and buffer | Chao Yue |
10848209 | Sounding reference signal (SRS) switching capability and configuration | Yang Wei |
10848213 | Assisting a user equipment (UE) in reference signal measurements based on measurement signals | Nagaraja Sumeeth |
10848218 | Fast beam refinement phase for periodic beamforming training | Trainin Solomon |
10848222 | Synchronizing timing for updating beam configuration information | Zhou Yan |
10848226 | Multi-hypothesis channel quality indicator feedback | Geirhofer Stefan |
10848228 | Modulation and coding scheme and channel quality indicator for high reliability | Sarkis Gabi |
10848233 | Managing high volumes of space-time-streams in next generation extremely high throughput (EHT) Wi-Fi systems | Vermani Sameer |
10848251 | Channel quality measurement in unlicensed deployments | Yerramalli Srinivas |
10848256 | Group delay calibration for carrier aggregation / multi-radio access technology | Akkarakaran Sony |
10848258 | Coordinating reference signals in wireless communication | Akkarakaran Sony |
10848272 | Error detection in automobile tell-tales | Hardacker Robert |
10848276 | Carrier aggregation for downlink throughput enhancement in shortened transmission time interval operation | Hosseini Seyedkianoush |
10848286 | Techniques for multi-cluster uplink transmissions | Manolakos Alexandros |
10848294 | Management of remote interference in time division duplexing networks | Rico Alvarino Alberto |
10848359 | Virtual symbol splitting techniques in wireless communications | Huang Yi |
10848361 | Carrier independent signal transmission and reception | Gaal Peter |
10848363 | Frequency division multiplexing for mixed numerology | Akkarakaran Sony |
10848761 | Reducing seam artifacts in 360-degree video | Van Der Auwera Geert |
10848788 | Multi-type-tree framework for video coding | Li Xiang |
10848949 | Emergency call redial on different PS domains | Lotfallah Osama |
10848965 | Compromised-message exploit protection | Budhathoki Krishna Ram |
10848978 | Radio (NR) for spectrum sharing | Damnjanovic Aleksandar |
10849011 | Rach procedures using multiple PRACH transmissions | Ly Hung |
10849026 | Method and apparatus of uplink and downlink based handover | Kubota Keiichi |
10849050 | Methods and apparatuses for rate matching | John Wilson Makesh Pravin |
10849059 | Techniques for verifying service-based wireless communications | Rai Keemat |
10849068 | Techniques and apparatuses for wakeup signal design and resource allocation | Liu Le |
10849077 | Interference management for new radio-spectrum sharing (NR-SS) | Zhang Xiaoxia |
10849081 | Synchronized radio transmission for vehicle platooning | Wu Zhibin |
10849085 | Timing and frame structure in an integrated access backhaul (IAB) network | Abedini Navid |
10849092 | Local area network assisted positioning | Sheynblat Leonid |
10849101 | MAC subheader for D2D broadcast communication for public safety | Baghel Sudhir Kumar |
10849106 | Delivery of system information | Abedini Navid |
10849110 | Resource allocation for the physical uplink control channel | Wang Renqiu |
10849112 | Processing PMCH and EPDCCH in LTE | Chen Wanshi |
10849116 | Reducing blind decoding in enhanced carrier aggregation | Chen Wanshi |
10849117 | Techniques and apparatuses for control information determination for payloads with leading zeroes | Sarkis Gabi |
10849123 | Techniques and apparatuses for slot-based and non-slot-based scheduling in 5G | Lee Heechoon |
10849124 | Grant-free downlink transmission | Hosseini Seyedkianoush |
10849125 | Joint control for enhanced carrier aggregation | Damnjanovic Jelena |
10849131 | Bursty interference mitigation techniques | Chendamarai Kannan Arumugam |
10849134 | Indicating a range of beam correspondence in a wireless node | Islam Muhammad Nazmul |
10849142 | Time-sensitive networking frame pre-emption across cellular interface | Hampel Karl Georg |
10849148 | Group common control channel and bandwidth part management in wireless communications | Gupta Piyush |
10849156 | Efficient signaling over access channel | Sutivong Arak |
10849159 | Trigger-based random access in a multiple BSSID network | Patil Abhishek Pramod |
10850835 | Unmanned aerial vehicle with monolithic wing and twin-rotor propulsion/lift modules | Hutson Donald |
10852364 | Interference mitigation in magnetometers | Kushleyev Aleksandr |
10852809 | Power saving techniques for memory systems by consolidating data in data lanes of a memory bus | Suh Jungwon |
10853163 | Optimized error-correcting code (ECC) for data protection | Artieri Alain |
10853913 | Color filter array pattern conversion | Chuang Shang-Chih |
10854022 | Location based sensor sharing | Patil Shailesh |
10854209 | Multi-stream audio coding | Atti Venkatraman |
10854212 | Inter-channel phase difference parameter modification | Atti Venkatraman |
10854214 | Noise suppression wearable device | Alves Rogerio Guedes |
10854246 | Memory with high-speed and area-efficient read path | Bhaskaran Adithya |
10854604 | Offset gate contact | Kuo ChihWei |
10855225 | Radio frequency low power differential frequency multiplier | Taghivand Mazhareddin |
10855277 | Mitigating reliability issues in a low-voltage reference buffer driven by a high-voltage circuit | Yadav Kshitij |
10855315 | Techniques to provide a cyclic redundancy check for low density parity check code codewords | Sun Jing |
10855320 | Antenna aperture tuning | Filipovic Daniel |
10855328 | Interference suppression for multi-radar coexistence | Gulati Kapil |
10855355 | Channel state information reporting enhancements for unlicensed coordinated multipoint | Yerramalli Srinivas |
10855385 | System and method for controlling broadcast multimedia using plural wireless network connections | Lane Richard Doil |
10855388 | Wireless communication enhancements for transparent and boundary clocks | Joseph Vinay |
10855390 | Synchronization signal optimizations for symbol index detection | Sadiq Bilal |
10855405 | Retransmission techniques for encoded transmissions | Xu Changlong |
10855409 | Media access control header and transport block formats | Gholmieh Aziz |
10855417 | Control channel signaling techniques in wireless systems with multiple possible transmission time intervals | Chen Wanshi |
10855421 | Configuration of sounding reference signal resources in an uplink transmission time interval | Manolakos Alexandros |
10855422 | Autonomous modification of transmission parameters | Abedini Navid |
10855502 | Systems and methods for improvements to training field design for increased symbol durations | Vermani Sameer |
10855736 | Enhanced block-request streaming using block partitioning or request controls for improved client-side handling | Luby Michael G. |
10855841 | Selective call notification for a communication device | Agrawal Arpit |
10855901 | Device adjustment based on laser microphone feedback | Dewasurendra Duminda |
10855985 | Modified adaptive loop filter temporal prediction for temporal scalability support | Zhang Li |
10855986 | Bandwidth compression for neural network systems | Chong In Suk |
10855988 | Adaptive prediction structures | Jin Guoxin |
10855989 | Substream multiplexing for display stream compression | Thirumalai Vijayaraghavan |
10856003 | Coding affine prediction motion information for video coding | Zhang Kai |
10856119 | Method and apparatus for requesting a transport vehicle from a mobile device | Venkatraman Sai Pradeep |
10856143 | Method and apparatus for IP address assignment | Cherian George |
10856185 | Channel reservation signals for new radio interference management | Lei Jing |
10856203 | Signaling for link aggregation setup and reconfiguration | Zhou Yan |
10856209 | Paging area procedures and connection signaling | Kumar Rajeev |
10856222 | Preambles for wake-up receivers | Shellhammer Stephen Jay |
10856234 | Power control with flexible scheduling delay | Akkarakaran Sony |
10856236 | Fallback procedures when the path loss or spatial transmit quasi-collocation (QCL) reference from neighboring cells is failing for sounding reference signals (SRS) for positioning | Manolakos Alexandros |
10856244 | Orthogonal multiplexing of high efficiency (HE) and extremely high throughput (EHT) wireless traffic | Verma Lochan |
10856263 | Randomized search space for downlink control channel | Sun Jing |
10856264 | Cross band carriers | Zhang Xiaoxia |
10856281 | Methods and systems for multi user uplink compatibility with legacy devices | Wentink Maarten Menzo |
10856284 | Resource allocation for a short transmission time interval (STTI) system | Hosseini Seyedkianoush |
10856288 | Multi-level slot bundling design | Sun Jing |
10856296 | Techniques and apparatuses for determining channels for frequency hopping in an unlicensed radio frequency spectrum band | Yerramalli Srinivas |
10856300 | Per-TTI rank control within transmission opportunity for downlink comp | Xue Yisheng |
10856310 | Retuning in machine type communications | Rico Alvarino Alberto |
10856311 | Systems and methods of communicating via sub-bands in wireless communication networks | Chen Jialing Li |
10856319 | Link dependent scheduling request format for URLLC | Jiang Jing |
10856345 | Methods and apparatus for device-to-device feedback | Gulati Kapil |
10856353 | Radio link failure based measurement reporting in narrowband internet of things | Tarimala Raghuveer Ramakrishna Srinivas |
10858282 | Doped, low-temperature co-fired glass-ceramic (LTCC) insulating substrates, and related wiring boards and methods of manufacture | Aichholzer Klaus Dieter |
10859595 | Systems and methods for improving location accuracy for a mobile device using sensors | Kommi Mahesh |
10859713 | Position-window extension for GNSS and visual-inertial-odometry (VIO) fusion | Niesen Urs |
10860051 | Proactive clock gating system to mitigate supply voltage droops | Kalyanam Vijay Kiran |
10860328 | Providing late physical register allocation and early physical register release in out-of-order processor (OOP)-based devices implementing a checkpoint-based architecture | Priyadarshi Shivam |
10860332 | Multicore framework for use in pre-boot environment of a system-on-chip | Iyengar Ajay |
10861215 | Asynchronous time and space warp with determination of region of interest | Melkote Krishnaprasad Vinay |
10861327 | Vehicle ranging and positioning | Vanderveen Michaela |
10861793 | Guard ring frequency tuning | Cheng Haitao |
10861852 | Three-dimensional (3D), vertically-integrated field-effect transistors (FETs) for complementary metal-oxide semiconductor (CMOS) cell circuits | Li Xia |
10862461 | Techniques for generating switch control signals | Biswas Tonmoy |
10862514 | Dual-band concurrent transceiver | Malik Rahul |
10862546 | Coordinated transmission in millimeter wave systems | Raghavan Vasanthan |
10862560 | PDSCH rate matching for aperiodic CSI-RS | Nam Wooseok |
10862562 | Beam refinement techniques in millimeter wave systems | Malik Rahul |
10862570 | Methods and apparatus to facilitate adaptive precoder updating for channel state feedback | Cheraghi Parisa |
10862581 | Dynamic time division duplex (TDD) frame structure for hopping superframes | Liu Chih-Hao |
10862606 | Signaling and using virtual cell identification for SFN-type transmissions | Nam Wooseok |
10862625 | Polarization weight calculation for punctured polar code | Yang Yang |
10862639 | Decoupling of synchronization raster and channel raster | Ly Hung |
10862640 | Dynamic transient period configurations for shortened transmission time intervals | Akula Prashanth |
10862648 | Control elements to configure and trigger sounding reference signals | Joseph Vinay |
10862659 | Uplink (UL) frequency-division duplex (FDD) subframe | Tavildar Saurabha |
10862663 | Devices and methods for facilitating scalable synchronization channels | Ly Hung |
10862722 | Reference signal transmission techniques for non-orthogonal multiple access wireless communications | Lei Jing |
10863148 | Tile-selection based deep demosaicing acceleration | Chuang Shang-Chih |
10863171 | Using a current picture as a reference for video coding | Li Xiang |
10863193 | Buffer restriction during motion vector prediction for video coding | Chao Yung-Hsuan |
10863199 | Minimization of transform memory and latency via parallel factorizations | Said Amir |
10863319 | Systems and methods for locating a user equipment using generic position methods for a 5G network | Edge Stephen William |
10863334 | Non-orthogonal multiple access techniques for narrowband internet of things and machine type communication | Wang Renqiu |
10863351 | Distribution network support | Trainin Solomon |
10863366 | Receiver beamforming for serving and neighbor cell measurements | Nagaraja Sumeeth |
10863399 | Predictive beamforming and subarray selection | Li Junyi |
10863415 | Unified access control | Ozturk Ozcan |
10863431 | Systems and methods for synchronization within a neighborhood aware network | Abraham Santosh Paul |
10863450 | Power control in NR-NR dual connectivity | Gaal Peter |
10863456 | Systems and methods of communicating via sub-bands in wireless communication networks | Chen Jialing Li |
10863474 | Millimeter-wavelength network map for use in a beamforming procedure | Raghavan Vasanthan |
10863475 | Method and apparatus for supporting positioning for terminals in a wireless network | Edge Stephen W. |
10863484 | Indication of random-access channel MSG3 resource duration via random-access channel MSG2 | Islam Muhammad Nazmul |
10863492 | Low latency device-to-device communication | Patel Shimman Arvind |
10863493 | Scheduling of downlink transmissions based on exchanges of pre-scheduling and scheduling messages | Ji Tingfang |
10863505 | Data channel resource allocation | Akkarakaran Sony |
10863508 | Techniques for subband based resource allocation for NR-U | Sun Jing |
10863510 | Per stream and per antenna cyclic shift delay in wireless communications and uplink multi-user MIMO | Yang Lin |
10863515 | Pilot sequences in data streams | Yang Lin |
10863520 | Reference signal tone location shift | Bai Tianyang |
10863524 | Multiplexing rules for mixed communication protocols | Fakoorian Seyed Ali Akbar |
10863538 | Grant processing during grant-free uplink repetitions | Sundararajan Jay Kumar |
10863539 | Transmission opportunity truncation | Tian Qingjiang |
10863542 | Listen-before-talk and channel reservation for millimeter wave systems | Sun Jing |
10863543 | Subband based uplink access for NR-SS | Lei Jing |
10863547 | Adapting timing advance for multiple RACH transmission in backhaul networks | Bai Tianyang |
10863548 | Group CSI feedback for multicast/broadcast transmission | Zhang Xiaoxia |
10866606 | Methods and apparatuses for multiple-mode low drop out regulators | Hu Anqiao |
10866809 | Method, apparatus, and system for acceleration of inversion of injective operations | Crowthers Lucas |
10867189 | Systems and methods for lane-marker detection | Yoo Seungwoo |
10867431 | Methods and apparatus for improving subpixel visibility | Dokter Mark |
10867668 | Area efficient write data path circuit for SRAM yield enhancement | Gupta Sharad Kumar |
10867740 | Inductor apparatus and method of fabricating | Kidwell, Jr. Donald William |
10868161 | Low resistance source/drain regions in III-V transistors | Yang Bin |
10868238 | Magnetic tunnel junction integration without patterning process | Li Xia |
10868569 | PBCH signal design and efficient continuous monitoring and polar decoding | Sadiq Bilal |
10868588 | Conditional reference signal transmission and measurement | Nagaraja Sumeeth |
10868591 | Spatial and frequency diversity design for machine type communications (MTC) | Xu Hao |
10868594 | UE-RS-based open-loop and semi-open-loop MIMO | Wei Chao |
10868595 | Enhanced feedback with a dynamic codebook | Nam Wooseok |
10868638 | Transmission/reception point (TRP) selection for retransmissions in a coordinated multipoint network | Li Chong |
10868647 | Method and apparatus for separating a cell cluster for LTE EIMTA interference mitigation | Feng Minghai |
10868650 | Pilot reconfiguration and retransmission in wireless networks | Jiang Jing |
10868652 | Techniques and apparatuses for common uplink burst | Wang Renqiu |
10868656 | Channel state information computation delay determination for layer 1 signal to interference plus noise ratio reporting | Ryu Jung Ho |
10868703 | Reference signal design | Abedini Navid |
10869062 | Probability initialization and signaling for adaptive arithmetic coding in video coding | Egilmez Hilmi Enes |
10869163 | Techniques for providing location-based health alerts based on biological indicators | Gum Arnold |
10869239 | Mobility for coverage extension modes in wireless communications | Fan Zhifei |
10869241 | Dual link handover | Ozturk Ozcan |
10869258 | Beam specific backoff indicator | Islam Muhammad Nazmul |
10869266 | Energy efficient discovery and traffic management in a mesh WAN for IoEs with a wakeup receiver | Gupta Piyush |
10869287 | Techniques for transmitting synchronization signals in a shared radio frequency spectrum band | Luo Tao |
10869302 | Techniques for downlink assignment index (DAI) management in carrier aggregation | Chen Wanshi |
10869315 | Ranging based location services in wireless communication | Cheng Hong |
10869322 | Supporting low-latency traffic over a wireless mesh network | Abedini Navid |
10869325 | Dynamic hybrid automatic repeat request timing management | Jiang Jing |
10869332 | Transmission scheme for multiple component carriers in adjacent subframes | Negro Francesco |
10869336 | Random access channel access and validity procedures | Deogun Pravjyot Singh |
10869340 | Slow-loop resource reservation procedure | Wu Zhibin |
10871549 | Proximity detection using adaptive mutual coupling cancellation | Rimini Roberto |
10871576 | Error mitigation in doppler based satellite positioning system measurements | Nirula Gautam |
10871964 | Architecture for sparse neural network acceleration | Turakhia Yatish Girish |
10872055 | Triple-data-rate technique for a synchronous link | Mishra Lalan Jee |
10872261 | Dynamic binning of sensor pixels | Nikhara Soman Ganesh |
10872604 | User experience evaluation | Kim Lae-Hoon |
10872611 | Selecting channel adjustment method for inter-frame temporal shift variations | Chebiyyam Venkata Subrahmanyam Chandra Sekhar |
10872613 | Inter-channel bandwidth extension spectral mapping and adjustment | Chebiyyam Venkata Subrahmanyam Chandra Sekhar |
10873345 | Enhanced polar code construction | Yang Wei |
10873386 | Signaling of UE intra/inter-panel beam switch latency | Zhou Yan |
10873389 | Phase tracking reference signal symbol mapping | Bai Tianyang |
10873403 | Signaling mechanism to enable local operation for multi-antenna wireless communication systems | Chakraborty Kaushik |
10873406 | Reference signal measurement filtering in multi-beam operation | Nagaraja Sumeeth |
10873416 | Techniques and apparatuses for determining uplink transmission timelines related to a channel state information reference signal (CSI-RS) | Nam Wooseok |
10873424 | Control transmission soft combining | Yang Yang |
10873425 | Acknowledgement / negative acknowledgement feedback for TDD | Chen Wanshi |
10873435 | Configurable intra-slot frequency hopping for a variable length uplink control channel | Huang Yi |
10873440 | Time division duplexing techniques in shared radio frequency spectrum | Liu Chih-Hao |
10873479 | Techniques and apparatuses for forwarding in multi-hop wireless networks via multi-layer tunneling and centralized control | Hampel Karl Georg |
10873481 | Reference signal transmission window and timing considerations | Manolakos Alexandros |
10873482 | Transmitter schemes to facilitate side channel information estimation | Yang Lin |
10873488 | Intra-packet rate adaptation for high capacity | Chakraborty Tuhin Subhra |
10873702 | Adaptive motion filtering in an unmanned autonomous vehicle | Huang Yin |
10873736 | Indication of current view dependency on reference view in multiview coding file format | Wang Ye-Kui |
10873748 | Storage of high precision motion vectors in video coding | Chen Yi-Wen |
10873762 | Non-separable secondary transform for video coding | Zhao Xin |
10873869 | Cell-specific sounding and measurement configuration | Sundararajan Jay Kumar |
10873871 | Path selection for fine timing measurement protocol | Kasher Assaf Yaakov |
10873887 | Seamless handover with dual connectivity | Gheorghiu Valentin Alexandru |
10873899 | Access point initiated neighbor report request | Patil Abhishek Pramod |
10873904 | Chirp signal formats and techniques | Ly Hung Dinh |
10873920 | Timing and frame structure in an integrated access backhaul (IAB) network | Abedini Navid |
10873927 | Decoupled mode for a common uplink burst transmission in a time division duplex subframe structure | Zeng Wei |
10873938 | Rate matching for broadcast channels | Sun Jing |
10873942 | Code block group feedback techniques for multiple carriers or transmission time intervals | Zhang Xiaoxia |
10873967 | Multi-carrier preemption indicator | Sun Jing |
10877088 | In-system structural testing of a system-on-chip (SoC) using a peripheral interface port | Kishore Punit |
10877500 | Digitally-assisted dynamic multi-mode power supply circuit | Wang Yikai |
10877657 | Selective hibernation of activities in an electronic device | Shiplacoff Daniel Marc Gatan |
10877895 | Method, apparatus, and system for prefetching exclusive cache coherence state for store instructions | Yen Luke |
10878320 | Transfer learning in neural networks | Wierzynski Casimir Matthew |
10878578 | Exclusion zone in video analytics | Cheng Ke-Li |
10878637 | Directional and x-ray view techniques for navigation using a mobile device | Chen Jiajian |
10878698 | Interactive vehicular communication | Cheng Hong |
10878831 | Characteristic-based speech codebook selection | Guo Yinyi |
10878880 | Selective volatile memory refresh via memory-side data valid indication | Li Yanru |
10879158 | Split conductive pad for device terminal | Patil Aniket |
10879169 | Integrated inductors for power management circuits | Liu Kai |
10879191 | Conformal shielding for solder ball array | Kim Daniel Daeik |
10879341 | Integrated device package comprising a real time tunable inductor implemented in a package substrate | Song Young Kyu |
10879882 | Low-power fast-setting delay circuit | Shing George |
10879947 | Antenna Nx-plexer impedance matching network | Heijna Roeland |
10879954 | Logical channel hopping sequence design | Liu Chih-Hao |
10879972 | Linear precoding in full-dimensional MIMO systems and dynamic vertical sectorization | Zhang Yu |
10879975 | Beamforming based on adjacent beams systems and methods | Cheng Shi |
10879988 | RACH design for beamformed communications | Akkarakaran Sony |
10879990 | Dynamic beam switching | Zhu Jun |
10879991 | Signaling of UE intra/inter-panel beam switch latency | Zhou Yan |
10880033 | Two-stage resource spread multiple access (RSMA) design | Park Seyong |
10880045 | Retransmission and new packet detection in wireless systems | Goyal Giriraj |
10880058 | Transmitting uplink control information (UCI) | Akkarakaran Sony |
10880062 | Providing protection for information delivered in demodulation reference signals (DMRS) | Ly Hung |
10880066 | Multiplexing clients in wireless local area network transmissions | Verma Lochan |
10880067 | Downlink control allocation using carrier aggregation resource groups | Patel Shimman Arvind |
10880139 | Energy determinations for multi-user superposition transmissions | Sun Jing |
10880167 | High reliability low latency configuration for wireless communications systems | Chen Wanshi |
10880198 | Aggregating targeted and exploration queries | Yu Yu-Ting |
10880304 | Network verification of wearable devices | Cheng Hong |
10880334 | Apparatus and method for securely connecting to a remote server | Froelicher Jeffree |
10880564 | Transform selection for video coding | Zhao Xin |
10880565 | Use of specific HEVC SEI messages for multi-layer video codecs | Hendry Fnu |
10880570 | Systems and methods of adaptively determining template size for illumination compensation | Chuang Hsiao-Chiang |
10880761 | System and method for selecting resources to transmit a beam failure recovery request | Nagaraja Sumeeth |
10880762 | Link quality monitoring, signaling and procedures for specific service type | Hosseini Seyedkianoush |
10880798 | Techniques for mode selection and cell selection/reselection | Lee Kuo-Chun |
10880806 | Enable a network-trigger change of network slices | Faccin Stefano |
10880807 | Battery efficient routing in mesh networks | Kharvar Chirag Manojkumar |
10880834 | Techniques and apparatuses for wakeup signal design and resource allocation | Liu Le |
10880844 | Transmission power dependent quiet periods for NR-U | Xue Yisheng |
10880864 | Methods and apparatuses for waveform indication in high-frequency bands | Zhang Xiaoxia |
10880867 | Selecting a new radio uplink resource to transmit a random access procedure communication | Islam Muhammad Nazmul |
10880878 | Physical downlink control channel hash function update | Xu Huilin |
10880883 | Low-latency, low-bandwidth and low duty cycle operation in a wireless communication system | Bhushan Naga |
10880887 | Techniques for communicating on an uplink in a shared radio frequency spectrum band | Yerramalli Srinivas |
10880889 | Narrowband user equipment (UE) support with multi-channel listen-before-talk (LBT) | Zhang Xiaoxia |
10880896 | Identifying beams of interest for position estimation | Sadiq Bilal |
10880900 | Noise tracking within transmission time intervals in wireless communications | Gulati Kapil |
10880913 | Efficient data scheduling with supplemental uplink carrier | Lee Heechoon |
10880914 | Grant free uplink transmission techniques | Sundararajan Jay Kumar |
10880927 | Mapping rules between synchronization signal blocks and random access channel resources | Islam Muhammad Nazmul |
10880946 | Method, apparatus, and system for reestablishing radio communication links due to radio link failure | Escott Adrian Edward |
Archiver|手机版|科学网 ( 京ICP备07017567号-12 )
GMT+8, 2024-9-23 13:30
Powered by ScienceNet.cn
Copyright © 2007- 中国科学报社