|
陈立新 张琳 黄颖:中美欧日韩五局专利报告1870.docx
█武汉大学科教管理与评价研究中心 陈立新 张琳 黄颖
2020年,台湾积体电路制造公司获得美国发明专利2757项,比上一年增长了20%,是获得美国专利数量第8多的机构。
相对来讲,台湾积体电路制造公司专利研发的优势领域是:半导体制造、半导体零配件、半导体元件、半导体组件与集成电路、信息存储。在这5个技术领域上,台湾积体电路制造公司的专利份额相对较高,分别占同领域专利数量的15%到3%。
从绝对数量上来看,台湾积体电路制造公司的重点技术领域是:半导体制造、半导体元件、半导体组件与集成电路、半导体零配件、光学和摄影。在这5个领域上获得了数量最多的专利,为1798至232项。
可见,台湾积体电路制造公司的专利技术研发重点主要集中在半导体制造领域。
附表2.4.8-1 2020年台湾积体电路制造公司主要技术领域的专利分布
技术领域 | 专利数量 | 占比(%) | |
1 | 半导体制造 | 1798 | 15.1% |
2 | 半导体零配件 | 945 | 12.9% |
3 | 半导体元件 | 1268 | 7.7% |
4 | 半导体组件与集成电路 | 1226 | 7.4% |
5 | 信息存储 | 193 | 2.8% |
6 | 材料化学与纳米 | 132 | 1.1% |
7 | 光学和摄影 | 232 | 1.1% |
8 | 基本电子电路 | 89 | 1.0% |
9 | 电气元件和结构部件 | 128 | 0.7% |
10 | 计算机一般零部件 | 131 | 0.6% |
11 | 电热与等离子体 | 51 | 0.5% |
12 | 光电辐射测量与核物理 | 65 | 0.5% |
13 | 材料测试 | 32 | 0.3% |
14 | 物理测量 | 32 | 0.2% |
15 | 成型加工作业 | 48 | 0.2% |
16 | 分离和混合加工作业 | 31 | 0.2% |
17 | 计算机应用与软件工程 | 54 | 0.2% |
18 | 发电和输变电 | 26 | 0.2% |
19 | 物理信号和控制 | 26 | 0.1% |
20 | 图像处理 | 19 | 0.1% |
注:占比(%)指其在某领域上的专利数量占该领域的比例。
附图2.4.8-1 2020年台湾积体电路制造公司在20个相对优势领域中的专利占比
感谢河南师范大学梁立明教授、科技部中国科学技术发展战略研究院武夷山研究员、大连理工大学丁堃教授对本报告的大力支持与帮助。同时,向以不同形式对本报告提出意见和建议的专家学者们表示诚挚的感谢。
附表2.4.8-2 2020年台湾积体电路制造公司(Taiwan Semiconductor Manufacturing Company, Ltd.)的在美专利
Patent No. | Title | Inventor |
10526196 | Structure and formation method of semiconductor device structure | Teng Yi-Chuan |
10526199 | High efficiency getter design in vacuum MEMS device | Chen Ting-Jung |
10526703 | Film formation apparatus for forming semiconductor structure having shower head with plural hole patterns and with corresponding different plural hole densities | Huang Chih-Hui |
10526719 | Magnetic structure for metal plating control | Tsai Ming-Chin |
10527788 | Package structure and methods of forming same | Lai Jui Hsieh |
10527791 | Semiconductor device and method of manufacturing | Kuo Ying-Hao |
10527926 | Pressurized tin collection bucket with in-line draining mechanism | Yang Chi |
10527928 | Optical proximity correction methodology using pattern classification for target placement | Wang Hung-Chun |
10527941 | Extreme ultraviolet photoresist and method | Liu Chen-Yu |
10528693 | Layout optimization of a main pattern and a cut pattern | Chang Shih-Ming |
10529414 | SRAM cell having SiGe PMOS fin lines | Liaw Jhon Jhy |
10529415 | Write assist for a memory device and methods of forming the same | Singh Sahil Preet |
10529543 | Etch process with rotatable shower head | Lin Yu-Chi |
10529552 | Method for manufacturing a semiconductor device and a coating material | Chien Yu-Ling Chang |
10529553 | Treatment system and method | Kao Wan-Yi |
10529572 | Semiconductor device and method of manufacture | Lian Jian-Jou |
10529575 | Interconnect structure having a carbon-containing barrier layer | Lin Rueijer |
10529578 | Method of fabricating semiconductor structure | Meng Chin-Han |
10529593 | Semiconductor package comprising molding compound having extended portion and manufacturing method of semiconductor package | Wang Po-Han |
10529617 | Metal routing with flexible space formed using self-aligned spacer patterning | Liu Hsiang-Wei |
10529629 | Methods of forming metal gates | Huang Ju-Li |
10529637 | Integrated circuit package and method of forming same | Yu Chen-Hua |
10529650 | Semiconductor package and method | Chen Wei-Yu |
10529658 | Method for forming a homogeneous bottom electrode via (BEVA) top surface for memory | Chen Hsia-Wei |
10529666 | Semiconductor structure and manufacturing method thereof | Yu Chen-Hua |
10529671 | Package structure and method for forming the same | Lee Hsiao-Wen |
10529673 | Packaged semiconductor devices, methods of packaging semiconductor devices, and PoP devices | Tsai Po-Hao |
10529675 | Info structure and method forming same | Wang Po-Han |
10529679 | 3D packages and methods for forming the same | Hou Shang-Yun |
10529690 | Package structures and methods of forming the same | Shih Ying-Ching |
10529697 | Package structure and method of forming the same | Cheng Hsi-Kuei |
10529698 | Semiconductor packages and methods of forming same | Yu Chen-Hua |
10529711 | Buried channel semiconductor device and method for manufacturing the same | Chen Chia-Chung |
10529725 | Flexible merge scheme for source/drain epitaxy regions | Lee Kai-Hsuan |
10529729 | Non-volatile memory device having nanocrystal floating gate and method of fabricating same | Colinge Jean-Pierre |
10529761 | Image sensor device and manufacturing method for improving shutter efficiency | Tsao Tsun-Kai |
10529803 | Semiconductor device with epitaxial source/drain | Yu Chia-Ta |
10529818 | Semiconductor device with reduced flicker noise | Cheng Hsin-Li |
10529822 | Gate structure having designed profile | Cheng Kai-Li |
10529824 | Semiconductor device and method for fabricating the same | Chiou Yao-De |
10529833 | Integrated circuit with a fin and gate structure and method making the same | Ching Kuo-Cheng |
10529860 | Structure and method for FinFET device with contact over dielectric gate | Chen Fang |
10529861 | FinFET structures and methods of forming the same | Lin Yu-Chang |
10529862 | Semiconductor device and method of forming semiconductor fin thereof | Liang Chia-Ming |
10529863 | Flat STI surface for gate oxide uniformity in Fin FET devices | Wu Cheng-Ta |
10529913 | Techniques for MRAM MTJ top electrode connection | Chen Sheng-Chau |
10529916 | Reversed stack MTJ | Huang Wei-Hang |
10530030 | Semiconductor device having first and second transmission lines with a high-K dielectric material disposed between the first and second transmission lines | Wu Jiun Yi |
10530175 | Hexagonal semiconductor package structure | Huang Tzu-Sung |
10530345 | Flip-flop with delineated layout for reduced footprint | Liu Chi-Lin |
10532925 | Heater design for MEMS chamber pressure control | Cheng Shyh-Wei |
10533252 | Showerhead, semicondcutor processing apparatus having the same and semiconductor process | Chiu Chih-Chiang |
10533852 | Leveling sensor, load port including the same, and method of leveling a load port | Wang Yi-Lin |
10533966 | Digital time domain readout circuit for bioFET sensor cascades | Huang Yu-Jie |
10534256 | Pellicle assembly and method for advanced lithography | Chen Amo |
10534267 | Lithography patterning with flexible solution adjustment | Wang Chung-Cheng |
10534272 | Method of fabricating reticle | Chung Hsueh-Yi |
10534273 | Multi-metal fill with self-aligned patterning and dielectric with voids | Yang Tai-I |
10534279 | Methods and apparatus for removing contamination from lithographic tool | Chen Zi-Wen |
10534353 | System and method to reduce pre-back-grinding process defects | Lu Chen-Fa |
10534386 | Low-dropout voltage regulator circuit | Chang Yen-An |
10534393 | Two-transistor bandgap reference circuit and FinFET device suited for same | Lin Yvonne |
10534892 | Layout checking system and method | Hsieh Yao-Jen |
10535512 | Formation method of semiconductor device with gate spacer | Tu Guan-Yao |
10535520 | Fin patterning methods for increased process margins | Tseng Chin-Yuan |
10535523 | Formation and in-situ etching processes for metal layers | Lin Po-Yu |
10535524 | Tuning threshold voltage through meta stable plasma treatment | Wu Shao-Jyun |
10535525 | Method for forming semiconductor device structure | Lin Chun-An |
10535532 | Multiple patterning method using mask portions to etch semiconductor substrate | Fan Cheng-Li |
10535537 | Packaged semiconductor devices and methods of packaging semiconductor devices | Chen Hsien-Wei |
10535541 | Adaptive inset for wafer cassette system | Chen Chia-Yuan |
10535555 | Contact plugs and methods forming same | Wang Chao-Hsun |
10535556 | Integrated circuit with conductive line having line-ends | Ting Chih-Yuan |
10535557 | Interlayer dielectric film in semiconductor devices | Wang Tsan-Chun |
10535558 | Method of forming trenches | Chang Che-Cheng |
10535559 | Semiconductor interconnect structure having a graphene barrier layer | Yang Shin-Yi |
10535560 | Interconnection structure of semiconductor device | Chu Wei-Chen |
10535566 | Semiconductor device and method of manufacture | Chen Hung-Hao |
10535568 | Method for manufacturing multi-voltage devices using high-K-metal-gate (HKMG) technology | Tsao Chun-Han |
10535569 | Forming transistor by selectively growing gate spacer | Lee Kai-Hsuan |
10535572 | Device arrangement structure assembly and test method | Liao Chun Hao |
10535573 | System and method for test key characterizing wafer processing state | Wann Clement Hsingjen |
10535574 | Cell-like floating-gate test structure | Lin Meng-Han |
10535580 | Thermal dissipation through seal rings in 3DIC structure | Lin Jing-Cheng |
10535586 | Robust through-silicon-via structure | Lin Yung-Chi |
10535591 | Semiconductor device and method of manufacturing the same | Lin Jing-Cheng |
10535593 | Package structure having a plurality of conductive balls with narrow width for ball waist | Chang Pi-Lan |
10535597 | Semiconductor structure and manufacturing method thereof | Chen Shuo-Mao |
10535598 | Semiconductor device extension insulation | Yu Hung-Chih |
10535602 | Reduced area eFuse cell structure | Chang Meng-Sheng |
10535603 | Method of forming interconnection structure | Chang Che-Cheng |
10535609 | Package structure and method for forming the same | Tsai Yi-Da |
10535613 | Semiconductor structure, integrated circuit device, and method of forming semiconductor structure | Ma Shih-Hsien |
10535614 | Package and manufacturing method thereof | Tsai Po-Hao |
10535616 | Warpage control in package-on-package structures | Chen Wei-Yu |
10535627 | Printing module, printing method and system of forming a printed structure | Lin Jing-Cheng |
10535629 | Method of manufacturing semiconductor structure | Kalnitsky Alexander |
10535631 | 3D Chip-on-wager-on-substrate structure with via last process | Yu Chen-Hua |
10535632 | Semiconductor package structure and method of manufacturing the same | Jeng Shin-Puu |
10535633 | Chip package having die structures of different heights and method of forming same | Wei Wen-Hsin |
10535635 | Second semiconductor wafer attached to a first semiconductor wafer with a through hole connected to an inductor | Chen Chih-Lin |
10535636 | Integrating passive devices in package structures | Hu Chih-Chia |
10535638 | Semiconductor device | Yeh Chao-Yang |
10535639 | Semiconductor device and manufacturing method of the same | Lin Jing-Cheng |
10535644 | Manufacturing method of package on package structure | Kuo Hsuan-Ting |
10535646 | Systems and methods for a sequential spacer scheme | Chang Shih-Ming |
10535653 | Semiconductor structure | Chen Yi-Jen |
10535654 | Cut metal gate with slanted sidewalls | Tsai Ya-Yi |
10535655 | Integrated circuits and manufacturing methods thereof | Keshavarzi Ali |
10535656 | Hybrid scheme for improved performance for P-type and N-type FinFETs | Chiang Kuo-Cheng |
10535658 | Memory device with reduced-resistance interconnect | Singh Sahil Preet |
10535667 | Memory array and semiconductor chip | Liaw Jhon-Jhy |
10535668 | Using three or more masks to define contact-line-blocking components in FinFET SRAM fabrication | Huang Shih-Han |
10535670 | Non-volatile memory having an erase gate formed between two floating gates with two word lines formed on other sides and a method for forming the same | Liu Chien-Hsuan |
10535671 | Cell boundary structure for embedded memory | Liu Ming Chyi |
10535675 | High voltage CMOS with co-planar upper gate surfaces for embedded non-volatile memory | Chuang Harry-Hak-Lay |
10535676 | Inter-digitated capacitor in split-gate flash technology | Chen Wan-Chen |
10535680 | Integrated circuit structure and method with hybrid orientation for FinFET | Shen Tzer-Min |
10535686 | Semiconductor device and method of forming the same | Liang Victor Chiang |
10535694 | Support structure for integrated circuitry | Chien Volume |
10535696 | Pad structure exposed in an opening through multiple dielectric layers in BSI image sensor chips | Lin Jeng-Shyan |
10535697 | Structure and method for 3D Image sensor | Kao Min-Feng |
10535698 | Image sensor with pad structure | Cheng Yun-Wei |
10535706 | Interconnect structure for stacked device and method | Chuang Chun-Chieh |
10535727 | Etching process control in forming MIM capacitor | Chen Hung-Hao |
10535730 | High voltage metal-oxide-semiconductor (HVMOS) device integrated with a high voltage junction termination (HVJT) device | Murukesan Karthick |
10535732 | Strained nanowire CMOS device and method of forming | Peng Cheng-Yi |
10535736 | Fully strained channel | More Shahaji B. |
10535737 | Semiconductor device and manufacturing method thereof | Lu Fang-Liang |
10535738 | Semiconductor structure and manufacturing method of the same | Hsiao Meng-Hsuan |
10535746 | Metal gate structure and methods thereof | Lee Tzung-Chi |
10535748 | Method of forming a contact with a silicide region | Cheng Yu-Wen |
10535751 | Selective silicon growth for gapfill improvement | Yu De-Wei |
10535752 | Method and related apparatus for reducing gate-induced drain leakage in semiconductor devices | Thei Kong-Beng |
10535757 | Structure of a fin field effect transistor (FinFET) | Lee Wei-Yang |
10535758 | Gate structure of field effect transistor with footing | Chang Che-Cheng |
10535768 | Semiconductor structure | Tsai Chun-Hsiung |
10535775 | Silicon on insulator semiconductor device with mixed doped regions | Liu Jack |
10535780 | Semiconductor device including an epitaxial layer wrapping around the nanowires | Van Dal Mark |
10535814 | Techniques for MRAM MTJ top electrode connection | Chuang Harry-Hak-Lay |
10535815 | Method of forming a bottom electrode of a magnetoresistive random access memory cell | Huang Wei-Chieh |
10535816 | Via structure, MRAM device using the via structure and method for fabricating the MRAM device | Wu Jung-Tang |
10535913 | Package structure, electronic device and method of fabricating package structure | Hsiao Min-Chien |
10536146 | Edge detector circuit and method | Huang Tsung-Ching (Jim) |
10536799 | Intelligent geo-fencing with tracked and fenced objects | Chow Jerry |
10539617 | Scan architecture for interconnect testing in 3D integrated circuits | Goel Sandeep Kumar |
10539751 | Optical bench on substrate | Lee Wan-Yu |
10539878 | Lithography patterning technique | Chang Lilin |
10540462 | Method and apparatus for speeding up gate-level simulation | Yu Chih-Yuan Stephen |
10540473 | Stacked chip layout and method of making the same | Hsu Ying-Yu |
10540475 | System for manufacturing a semiconductor device | Chang Chi-Wen |
10541007 | Memory device with strap cells | Chang Jonathan Tsung-Yung |
10541132 | Forming semiconductor structures with two-dimensional materials | Lin Shih-Yen |
10541139 | Planarization control in semiconductor manufacturing process | Nien Po-Chin |
10541154 | Thermally conductive structure for heat dissipation in semiconductor packages | Tseng Chun-Hao |
10541164 | 3D IC bump height metrology APC | Cheng Nai-Han |
10541175 | Structure and formation method of semiconductor device with fin structures | Cheng Chung-Liang |
10541185 | Semiconductor devices with bump allocation | Kuo Yung-Hsin |
10541204 | Interconnection structure and method of forming the same | Chang Che-Cheng |
10541213 | Backside redistribution layer (RDL) structure | Tsai Po-Hao |
10541218 | Redistribution layer structure and fabrication method therefor | Cheng Anhao |
10541226 | Package structure and method of forming the same | Yu Chen-Hua |
10541227 | System on integrated chips and methods of forming same | Yeh Sung-Feng |
10541228 | Packages formed using RDL-last process | Chen Ming-Fa |
10541245 | Semiconductor device and manufacturing method thereof | Yang ShihKuang |
10541269 | Magnetic random access memory and manufacturing method thereof | Ying Ji-Feng |
10541297 | Semiconductor structure having integrated inductor therein | Lee Ming-Che |
10541298 | Etching process control in forming MIM capacitor | Chen Hung-Hao |
10541303 | Nanowire FinFET Transistor | Afzalian Aryan |
10541317 | Method of forming a metal gate using monolayers | Huang Ju-Li |
10541319 | Fin structures having varied fin heights for semiconductor device | Ching Kuo-Cheng |
10541361 | Magnetic random access memory and manufacturing method thereof | Yu Chwen |
10541365 | Phase change memory and method of fabricating same | Lin Yu Chao |
10541606 | Serial-parallel switch negative charge pump | Chang Yen-An |
10541627 | MEMS structure and method of forming same | Tsai Yi Heng |
10541685 | Multiplexing latch circuit and method | Hong Hyunsung |
10541718 | Clock and data recovery circuit | Lan Po-Hsiang |
10545894 | Information processor with tightly coupled smart memory unit | Chi Shyh-An |
10546528 | Pixel circuit and method of adjusting brightness of pixel circuit | Huang Tsung-Ching |
10546638 | Resistive random access memory device | Lee Chia-Fu |
10546754 | Semiconductor structure and manufacturing method thereof | Chang Che-Cheng |
10546755 | Semiconductor device and a method for fabricating the same | Lee Chen-Ming |
10546757 | Device and method for controlling intro-die variation | Hung Cheng-Hsiung |
10546784 | Semiconductor device having merged epitaxial features with arc-like bottom surface and method of making the same | Lee Yi-Jing |
10546786 | Method of fabricating a FinFET device | Yin Joanna Chaw Yane |
10546830 | Chip package structure | Jeng Shin-Puu |
10546838 | Integrated circuit package assembly | Chen Hsien-Wei |
10546845 | Package on package structure | Shen Dong-Han |
10546850 | FinFET-based ESD devices and methods for forming the same | Lin Wun-Jie |
10546864 | Two-port SRAM structure | Liaw Jhon Jhy |
10546889 | Method of high-aspect ratio pattern formation with submicron pixel pitch | Chiu Wei-Chao |
10546890 | Protection ring for image sensors | Tsai Tsung-Han |
10546917 | Trench capacitor layout structure and method of forming same background | Tsai Yu-Hsiang |
10546937 | Structures and methods for noise isolation in semiconductor devices | Singh Gulbagh |
10546956 | Fin field effect transistor (FinFET) device and method for forming the same | Zhang Zhe-Hao |
10546964 | Molybdenum selenide sublayers with controlled thickness in solar cells and methods for forming the same | Chen Shih-Wei |
10546996 | Magnetoresistive random access memory (MRAM) structure and method of forming the same | Mo Chun-Chieh |
10552568 | Method of modifying cell and global connection routing method | Chen Sheng-Hsiung |
10553265 | Memory circuit having tracking circuit including series-connected transistors | Hsu Kuoyuan (Peter) |
10553275 | Device having write assist circuit including memory-adapted transistors and method for making the same | Lin Yangsyu |
10553288 | Dynamic reference current sensing using multiple reference cells | Yang Tien-Chun |
10553300 | Method of detecting address decoding error and address decoder error detection system | Fujiwara Hidehiro |
10553411 | Ion collector for use in plasma systems | Chen Otto |
10553428 | Reflection mode photomask and fabrication method therefore | Chen Chun-Lang |
10553431 | Cut last self-aligned litho-etch patterning | Huang Kuan-Wei |
10553474 | Method for forming a semiconductor-on-insulator (SOI) substrate | Wu Cheng-Ta |
10553476 | Semiconductor device including polysilicon structures having differing grain sizes and including a barrier layer therebetween | Lee J. J. |
10553479 | Semiconductor device with contact pad and fabrication method therefore | Yen Chun-Hsu |
10553481 | Vias for cobalt-based interconnects and methods of fabrication thereof | Chang Yu-Jen |
10553489 | Partitioned wafer and semiconductor die | Huang Wei-Hsiang |
10553492 | Selective NFET/PFET recess of source/drain regions | Chang Yun-Min |
10553494 | Breakdown resistant semiconductor apparatus and method of making same | Wang Jhong-Sheng |
10553533 | Integrated fan-out package and manufacturing method thereof | Lu Chun-Lin |
10553561 | Mechanisms of forming connectors for package on package | Chen Yu-Feng |
10553569 | Multi-die structure and method for forming same | Yu Chen-Hua |
10553575 | Semiconductor device having engineering change order (ECO) cells and method of using | Tien Li-Chun |
10553580 | Method of manufacturing semiconductor device | Chuang Harry Hak-Lay |
10553583 | Boundary region for high-k-metal-gate(HKMG) integration technology | Chen Yi-Huan |
10553597 | Memory cell including a plurality of wells | Chen Shih-Hsien |
10553628 | Image sensor with a high absorption layer | Huang Chien-Chang |
10553631 | Color filter uniformity for image sensor devices | Chu Yi-Hsing |
10553672 | Metal insulator metal capacitor | Huang Yan-Jhih |
10553687 | Semiconductor device having conductive feature overlapping an edge of an active region | Chen Po-Yu |
10553699 | Gate structure of a semiconductor device | Zhu Ming |
10553706 | Method for manufacturing semiconductor fin structure with extending gate structure | Chang Che-Cheng |
10553718 | Semiconductor devices with core-shell structures | Diaz Carlos H. |
10553720 | Method of removing an etch mask | Chu Chun-Han |
10553721 | Semiconductor device and method of forming the same | Jou Chewn-Pu |
10553733 | QE approach by double-side, multi absorption structure | Huang Po-Han |
10553785 | Magnetoresistive random access memory device and method of making same | Hsu Chern-Yow |
10554186 | Analog-to-digital converters and methods | Kinyua Martin |
10554190 | Transmitter circuit with power detection | Chu Hong-Lin |
10554255 | Communication system and method of data communications | Kuo Feng Wei |
10555424 | Circuit board, semiconductor device including the same, and manufacturing method thereof | Wu Jiun-Yi |
10556790 | Method for forming multi-depth MEMS package | Tai Wen-Chuan |
10556792 | Wafer level integrated MEMS device enabled by silicon pillar and smart cap | Lee Yi-Chia |
10558120 | System and method for supplying and dispensing bubble-free photolithography chemical solutions | Zhou Wen-Zhan |
10558525 | Method of correcting errors in a memory array and a system for implementing the same | Chih Yu-Der |
10559333 | Memory macro and method of operating the same | Su Chien-Kuo |
10559453 | Techniques for detecting micro-arcing occurring inside a semiconductor processing chamber | Wu Feng-Kuang |
10559492 | Patterning methods for semiconductor devices and structures resulting therefrom | Peng Tai-Yen |
10559517 | Heat transfer structures and methods for IC packages | Hsu Ying-Chih |
10559546 | Package on package structure and method for forming the same | Yu Chen-Hua |
10559558 | Pin modification for standard cells | Chang Fong-yuan |
10559563 | Method for manufacturing monolithic three-dimensional (3D) integrated circuits | Colinge Jean-Pierre |
10562763 | Fence structure to prevent stiction in a MEMS motion sensor | Tseng Lee-Chuan |
10564632 | Systems and methods for sensory automated material handing | Hsu Yung-Lin |
10565341 | Constrained cell placement | Lin Yen-Hung |
10565345 | Semiconductor device having engineering change order (ECO) cells | Tien Li-Chun |
10565348 | System for and method of fabricating an integrated circuit | Lin Wei-Cheng |
10566173 | Nano vacuum tube | Chang Hsien-Yu |
10566232 | Post-etch treatment of an electrically conductive feature | Shen Bo-Jhih |
10566237 | Profile of through via protrusion in 3DIC interconnect | Wu Jiung |
10566242 | Minimization of plasma doping induced fin height loss | Chan Chia-Ling |
10566261 | Integrated fan-out packages with embedded heat dissipation structure | Pei Hao-Jan |
10566278 | Method for layout design and structure with inter-layer vias | Chuang Yi-Lin |
10566288 | Structure for standard logic performance improvement having a back-side through-substrate-via | Kao Min-Feng |
10566361 | Wide channel gate structure and method of forming | Wei Chia-Yu |
10566374 | Via support structure under pad areas for BSI bondability improvement | Huang Sin-Yao |
10566378 | Back side illuminated image sensor with reduced sidewall-induced leakage | Tsai Shuang-Ji |
10566387 | Interconnect landing method for RRAM technology | Chen Hsia-Wei |
10566519 | Method for forming a flat bottom electrode via (BEVA) top surface for memory | Chen Hsia-Wei |
10569520 | Wafer debonding system and method | Tsao Chang-Chen |
10573519 | Method for performing a photolithography process | Ko Tsung-Han |
10573573 | Package and package-on-package structure having elliptical conductive columns | Chiu Sheng-Huan |
10573749 | Fin-type field effect transistor structure and manufacturing method thereof | Tsai Chun Hsiung |
10573751 | Structure and method for providing line end extensions for fin-type active regions | Yu Shao-Ming |
10573811 | Resistive random-access memory (RRAM) cell with recessed bottom electrode sidewalls | Tseng Yuan-Tai |
10574213 | Clock circuit and method of operating the same | Yang Hao-I |
10576606 | Platen rotation system and method | Cho Bruce |
10580693 | Contact conductive feature formation and structure | Chang Ken-Yu |
10586705 | Fluorine doped non-volatile memory cells and methods for forming the same | Chen Hung-Lin |
10586724 | Fan-out interconnect structure and methods forming the same | Hu Yu-Hsiang |
10586763 | Semiconductor device and method of manufacture | Tsou Hsien-Ju |
10593775 | Semiconductor device and manufacturing method thereof | Yeo Yee-Chia |
10599517 | Memory device | Liu Chien-Yin |
10599796 | Metastable flip-flop based true random number generator (TRNG) structure and compiler for same | Zhou Charlie |
10600709 | Bump-on-trace packaging structure and method for forming the same | Chen Meng-Tse |
10605855 | Method, test line and system for detecting semiconductor wafer defects | Wang Jing-Sen |
10607941 | Method of forming semiconductor device | Wang Jhih-Yu |
10608094 | Semiconductor device and method of forming the same | Tsai Fu-Tsun |
10609463 | Integrated microphone device and manufacturing method thereof | Cheng Chun-Wen |
10613444 | Semiconductor apparatus and method of operating the same | Liao Chi-Hung |
10614878 | High speed SRAM device with cross coupled bit line charging circuit and voltage | Yu Hua-Hsin |
10614948 | Method for forming inductor structure with magnetic material | Tseng Yuan-Tai |
10615028 | Method for fabricating oxides/semiconductor interfaces | Vellianitis Georgios |
10615036 | Charged-particle-beam patterning without resist | Tsai Kuen-Yu |
10615820 | Systems and methods for digital excess loop delay compensation in a continuous time delta sigma modulator | Lien Bei-Shing |
10618085 | Apparatus and methods for exhaust cleaning | Cheng Wei Chang |
10618534 | Monitor vehicle for a rail system and method thereof | Chien Shih-Hung |
10618801 | MEMS structure with bilayer stopper and method for forming the same | Tai Wen-Chuan |
10618804 | Manufacturing method of semiconductor structure including heater | Cheng Chun-Wen |
10620530 | Multiple-mask multiple-exposure lithography and masks | Yu Peter |
10622035 | Sense amplifier, sensing method and non-volatile memory using the same | Yu Hung-Chang |
10622039 | Dual rail memory with bist circuitry, memory macro and associated hybrid power supply method | Cheng Chiting |
10622047 | Free layer structure in magnetic random access memory (MRAM) for Mo or W perpendicular magnetic anisotropy (PMA) enhancing layer | Fukuzawa Hideaki |
10622211 | Metal-compound-removing solvent and method in lithography | Zi An-Ren |
10622222 | Integrated fan-out package having multi-band antenna and method of forming the same | Chuang Nan-Chin |
10622236 | Apparatus and method for handling wafer carrier doors | Kuo Tsung-Sheng |
10622240 | Package on-package structure | Lin Chih-Wei |
10622261 | FinFET devices with unique shape and the fabrication thereof | Lee Yi-Jing |
10622278 | Semiconductor structure and associated method for manufacturing the same | Chen Chin-Liang |
10622297 | Semiconductor device and method | Lin Jing-Cheng |
10622300 | Series MIM structures | Tu Kuo-Chi |
10622302 | Via for semiconductor device connection and methods of forming the same | Yu Chen-Hua |
10622306 | Interconnect structure in semiconductor devices | Liaw Jhon Jhy |
10622321 | Semiconductor structures and methods of forming the same | Yu Chen-Hua |
10622327 | Method for manufacturing semiconductor structure | Yu Chen-Hua |
10622336 | Manufacturing method of semiconductor package | Hsu Feng-Cheng |
10622342 | Stacked LED structure and associated manufacturing method | Liu Ping-Yin |
10622351 | Semiconductor device and manufacturing method thereof | Liang Victor Chiang |
10622353 | Semiconductor device | Chang Che-Cheng |
10622356 | Semiconductor device and method of manufacturing the same | Chang Chia-Yuan |
10622394 | Image sensing device | Wu Wei-Chuang |
10622401 | Semiconductor structure, back-side illuminated image sensor and method for manufacturing the same | Chen Sheng-Chau |
10622453 | Vertical MOS transistor | Yang Tai-I |
10622464 | Integrated circuit structure with substrate isolation and un-doped channel | Ching Kuo-Cheng |
10622471 | Manufacturing method of semiconductor structure | Liu Sheng-De |
10622480 | Forming gate stacks of FinFETs through oxidation | Chiang Kuo-Cheng |
10622551 | Manufacturing techniques and devices for magnetic tunnel junction devices | Tien Hsi-Wen |
10622555 | Film scheme to improve peeling in chalcogenide based PCRAM | Trinh Hai-Dang |
10622701 | Antenna apparatus and method | Chih Lai Wei |
10622963 | On-chip diplexer | Tsai Ming-Hsien |
10623928 | Terminal node, method, storage medium for video data transmission | Xu Haibo |
10624196 | Laser source device and extreme ultraviolet lithography device | Tong Henry Yee-Shian |
10626010 | Bonding process for forming semiconductor device structure | Chang Chih-Hang |
10626499 | Deposition device structure | Lo Yen-Chan |
10627718 | Developing method | Chen Yi-Rem |
10627727 | Lens control for lithography tools | Yang Yueh Lin |
10627728 | Method for creating vacuum in load lock chamber | Chang Tung-Jung |
10629476 | Packages with through-vias having tapered ends | Chen Hsien-Wei |
10629477 | Raised via for terminal connections on different planes | Yu Chen-Hua |
10629479 | Structure and method for interconnection | Lu Chih Wei |
10629480 | Method for forming semiconductor device structure | Chen Xi-Zong |
10629481 | Method for forming interconnect structure | Tien Bor-Zen |
10629490 | Fin-type field-effect transistor device and method of fabricating the same | Chen Chun-Hung |
10629491 | Semiconductor manufacturing method | Chang Che-Cheng |
10629492 | Gate structure having a dielectric gate and methods thereof | Lin Ta-Chun |
10629494 | Semiconductor device and method | Chan Chia-Ling |
10629496 | Methods for forming transistor gates with hafnium oxide layers and lanthanum oxide layers | More Shahaji B. |
10629497 | FinFET device structure and method for enlarging gap-fill window | Chung Han-Pin |
10629501 | Gate all-around semiconductor device including a first nanowire structure and a second nanowire structure | Doornbos Gerben |
10629508 | Packaged semiconductor devices and methods of packaging semiconductor devices | Yu Chen-Hua |
10629509 | Redistribution circuit structures and methods of forming the same | Tu Shang-Yun |
10629510 | Package with embedded heat dissipation features | Hung Wensen |
10629527 | Method of manufacturing semiconductor device with multi wire structure | Liu Kuo-Yen |
10629528 | Device-manufacturing scheme for increasing the density of metal patterns in inter-layer dielectrics | Ma Wei Yu |
10629534 | Interconnection structure having air gap | Lin Hsiang-Wei |
10629537 | Conductive vias in semiconductor packages and methods of forming same | Hsieh Cheng-Hsien |
10629539 | Package structure and method of fabricating the same | Liang Fang-Yu |
10629540 | Semiconductor device and method | Yu Chen-Hua |
10629541 | Semiconductor structure and manufacturing method thereof | Yu Chen-Hua |
10629545 | Semiconductor device | Huang Kuan-Yu |
10629555 | Packaging devices and methods of manufacture thereof | Chen Hsien-Wei |
10629560 | Semiconductor structure | Chen Jie |
10629568 | Stacked integrated circuits with redistribution lines | Ho Cheng-Ying |
10629579 | Package-on-package with cavity in interposer | Wu Jiun Yi |
10629580 | Packaging mechanisms for dies with different sizes of connectors | Chen Chih-Hua |
10629588 | ESD hard backend structures in nanometer dimension | Tseng Jen-Chou |
10629592 | Through silicon via design for stacking integrated circuits | Thei Kong-Beng |
10629593 | Formation of semiconductor device with resistors having different resistances | Chen Yu-Jen |
10629596 | Fin-type field effect transistor structure and manufacturing method thereof | Tsai Chun Hsiung |
10629603 | Conductive layers with different thicknesses | Liaw Jhon Jhy |
10629605 | Semiconductor device and method of manufacturing | Lin Josh |
10629672 | Capacitor structure with low capacitance | Chen Tai-Yi |
10629673 | Method for manufacturing semiconductor and structure thereof | Chen Yang-Che |
10629679 | Method of manufacturing a semiconductor device and a semiconductor device | Yang Yu-Lin |
10629684 | Fin-based strap cell structure | Liaw Jhon Jhy |
10629693 | Semiconductor structure with barrier layer and method for forming the same | Huang Shih-Wen |
10629700 | High-K metal gate process and device | Liao Chien-Shun |
10629706 | Fin and gate dimensions for optimizing gate formation | Liaw Jhon Jhy |
10629708 | Semiconductor device structure with barrier layer and method for forming the same | Wu Chia-Yang |
10629735 | Reacted conductive gate electrodes and methods of making the same | Currie Matthew T. |
10629736 | Semiconductor structure and method for semiconductor device fabrication with improved source drain epitaxy | Lee Wei-Yang |
10629737 | Method for fabricating FinFET including forming an oxide layer | Wang Chih-Hao |
10629749 | Method of treating interfacial layer on silicon germanium | Chang Chih-Yu |
10629756 | Semiconductor structure | Yen Hsiao-Tsung |
10629765 | Single photon avalanche diode | Wei Chia-Yu |
10629811 | Resistance variable memory structure and method of forming the same | Sung Fu-Ting |
10631392 | EUV collector contamination prevention | Wu Ming-Fa |
10634717 | Testing apparatus and testing method | Chiu Tang-Jung |
10634972 | Configurable heating device and method of using the same | Lee Hui-Yu |
10636458 | Sense amplifier layout for FinFET technology | Chen Yen-Huei |
10636511 | Memory repair scheme | Shih Yi-Chun |
10636560 | Induction based current sensing | Roth Alan |
10636651 | Semiconductor device and method | Fan Sheng-Ting |
10636652 | Method of forming a semiconductor device using layered etching and repairing of damaged portions | Lin Shih-Yen |
10636661 | Apparatus and method for wafer bonding | Lin Yeong-Jyh |
10636664 | Wrap-around contact plug and method manufacturing same | Wang Sung-Li |
10636667 | Method for manufacturing semiconductor devices and structures thereof | Lin Jiann-Horng |
10636670 | Method of planarizing semiconductor device | Tsai Yi-Jen |
10636673 | Method for forming semiconductor device structure | Wei Yu-Chen |
10636688 | Method for alignment, process tool and method for wafer-level alignment | Wang Ching-Hung |
10636695 | Negatively sloped isolation structures | Singh Gulbagh |
10636697 | Contact formation method and related structure | Wang Chao-Hsun |
10636701 | Methods of forming semiconductor devices using multiple planarization processes | Hsu Chun-Wei |
10636702 | Conductive interconnect structures in integrated circuits | Wu Jung-Tang |
10636713 | Semiconductor packages and manufacturing methods thereof | Chiang Yung-Ping |
10636715 | Semiconductor package and method of fabricating the same | Lin Chun-Cheng |
10636747 | Semiconductor package structure | Hung Jui-Pin |
10636748 | Package structure | Yu Chen-Hua |
10636757 | Integrated circuit component package and method of fabricating the same | Yang Ching-Jung |
10636775 | Package structure and manufacturing method thereof | Chen Wei-Yu |
10636782 | Systems and methods for protecting a semiconductor device | Chou Kuo-Yu |
10636787 | Semiconductor structure and manufacturing method thereof | Chang Che-Cheng |
10636788 | Semiconductor device and manufacturing method thereof | Cheng Tung-Wen |
10636824 | High dielectric constant dielectric layer forming method, image sensor device, and manufacturing method thereof | Tsai Tsung-Han |
10636870 | Isolation regions for reduced junction leakage | Singh Gulbagh |
10636878 | Steep sloped vertical tunnel field-effect transistor | Ramvall Peter |
10636891 | Method of manufacturing a semiconductor device and a semiconductor device | Yang Yu-Lin |
10636904 | Methodology and structure for field plate design | Chou Hsueh-Liang |
10636908 | Method of removing an etch mask | Chu Chun-Han |
10636909 | Formation method of semiconductor device with source/drain structures | More Shahaji B. |
10636910 | Semiconductor device structure and method of forming the same | Ching Kuo-Cheng |
10636930 | SPAD image sensor and associated fabricating method | Yamashita Yuichiro |
10636961 | Semiconductor structure and method of forming the same | Chuang Harry-Hak-Lay |
10636963 | Magnetic tunnel junctions | Dai Pin-Ren |
10636965 | Resistive random access memory device | Hung Tsai-Hao |
10637351 | Regulated voltage systems and methods using intrinsically varied process characteristics | Tai Chia Liang |
10637463 | Voltage level shifting circuits and methods | Wang Wen-Han |
10638078 | Counter, counting method and apparatus for image sensing | Yeh Shang-Fu |
10638543 | Photonic heater | Lee Hui Yu |
10638616 | Circuit carrier and manifacturing method thereof | Wu Jiun-Yi |
10640366 | Bypass structure | Hsieh Eason |
10641819 | Alignment testing for tiered semiconductor structure | Wang Mill-Jer |
10641958 | Methods of forming photonic devices | Liu Tao-Cheng |
10642148 | High durability extreme ultraviolet photomask | Yu Chia-Hao |
10642158 | Method of controlling reticle masking blade positioning to minimize impact on critical dimension uniformity and device for controlling reticle masking blade positioning | Cho Hung-Wen |
10642165 | Automated mask storage and retrieval system | Huang Chun-Jung |
10642227 | Digital-to-time converter, time-to-digital converter, and converting method using the same | Lin Yu-Tso |
10642255 | Component control in semiconductor performance processing with stable product offsets | Nan Ching-Hsi |
10642949 | Cell placement site optimization | Lin Yen-Hung |
10643017 | Rule checking for multiple patterning technology | Hsu Meng-Kai |
10643688 | Static random access memory (SRAM) tracking cells and methods of forming the same | Liaw Jhon Jhy |
10643722 | Memory device | Chih Yu-Der |
10643726 | Memory device with a fuse protection circuit | Chih Yu-Der |
10643836 | Bonded semiconductor structures | Lin Jing-Cheng |
10643853 | Wafer thinning apparatus having feedback control and method of using | Chen Yuan-Hsuan |
10643861 | Methods for making multi-die package with bridge layer | Chang Wei Sen |
10643864 | Fan-out structure and method of fabricating the same | Yu Chen-Hua |
10643892 | Metal loss prevention using implantation | Wu Li-Chieh |
10643902 | Semiconductor device and method for atomic layer deposition of a dielectric over a substrate | Huang Yen-Chun |
10643916 | Conductive line system and process | Huang Yu Yi |
10643943 | Package structure, package-on-package structure and manufacturing method thereof | Wang Chuei-Tang |
10643947 | Semiconductor structure and manufacturing method thereof | Chang Che-Cheng |
10643951 | Mini identification mark in die-less region of semiconductor wafer | Peng Yue-Lin |
10643964 | Structures for bonding a group III-V device to a substrate by stacked conductive bumps | Chen Jhih-Bin |
10643965 | Structure and method of forming a joint assembly | Chen Ying-Ju |
10643986 | Power gating for three dimensional integrated circuits (3DIC) | Chao Chien-Ju |
10643988 | Intelligent diode structures | Chang Yi-Feng |
10644000 | Semiconductor device having deep wells | Lin Meng-Han |
10644013 | Cell boundary structure for embedded memory | Lin Meng-Han |
10644060 | Image sensor with high quantum efficiency surface structure | Cheng Yun-Wei |
10644116 | In-situ straining epitaxial process | Chen Hsiu-Ting |
10644125 | Metal gates and manufacturing methods thereof | Lu Jen-Hsiang |
10644130 | Metal-oxide-semiconductor field-effect transistor with spacer over gate | Yang Chung-Yuan |
10644134 | Gate formation with varying work function layers | Chen Jin-Dah |
10644153 | Semiconductor device and method for fabricating the same | Wei Cheng-Chang |
10644154 | Semiconductor device and manufacturing method thereof | Ching Kuo-Cheng |
10644168 | 2-D material transistor with vertical structure | Colinge Jean-Pierre |
10644229 | Magnetoresistive random access memory cell and fabricating the same | Hsu Chern-Yow |
10644231 | Memory device and fabrication method thereof | Peng Tai-Yen |
10644601 | Dead-time conduction loss reduction for buck power converters | Pao Chia-Cheng |
10644662 | Tunable gain amplifier | Yang Shu-Chun |
10644865 | Read-write data translation technique of asynchronous clock domains | Yang Shu-Chun |
10644869 | Automatic detection of change in PLL locking trend | Tsai Tsung-Hsien |
10648069 | Monolayer-by-monolayer growth of MgO layers using Mg sublimation and oxidation | Patel Sahil |
10648927 | Method and apparatus for monitoring edge bevel removal area in semiconductor apparatus and electroplating system | Wu Chao-Tung |
10649336 | Method and system for fabricating semiconductor device | Yu Jun-Yih |
10649339 | Resist material and method for forming semiconductor structure using resist layer | Chang Ya-Ching |
10649476 | Flipped gate current reference and method of using | Al-Shyoukh Mohammad |
10649482 | Bandgap reference circuit, control circuit, and associated control method | Cheng Nai Chen |
10650882 | Static random access memory with a supplementary driver circuit and method of controlling the same | Lin Chih-Yu |
10651003 | Ion implanting method | Tsai Ming-Ying |
10651030 | Cut metal gate process for reducing transistor spacing | Wen Ming-Chang |
10651041 | Semiconductor structure and manufacturing method thereof | Hsiao Ru-Shang |
10651047 | Double patterning method | Lee Chia-Ying |
10651053 | Embedded metal insulator metal structure | Liao Wen-Shiang |
10651055 | Post-passivation interconnect structure and method of forming the same | Lin Hung-Jen |
10651066 | Metrology method in wafer transportation | Huang Powen |
10651075 | Wafer table with dynamic support pins | Liao Chi-Hung |
10651085 | Semiconductor device and method for manufacturing the same | Chang Chia-Hao |
10651091 | Wrap-around contact on FinFET | Wang Sung-Li |
10651111 | Method of forming conductive bumps for cooling device connection | Chou You-Hua |
10651114 | Apparatus and method of three dimensional conductive lines | Lin Chih-Yu |
10651131 | Supporting InFO packages to reduce warpage | Chen Jie |
10651137 | Manufacturing method of a package structure | Yu Chen-Hua |
10651142 | Micro-connection structure and manufacturing method thereof | Lu Wen-Hsiung |
10651149 | Packages formed using RDL—last process | Chen Ming-Fa |
10651170 | Isolated wells for resistor devices | Tung Yu-Lung |
10651171 | Integrated circuit with a gate structure and method making the same | Ching Kuo-Cheng |
10651178 | Compact electrical connection that can be used to form an SRAM cell and method of making the same | Lin Yu-Kuan |
10651217 | Structure and formation method of light sensing device | Cheng Yun-Wei |
10651220 | Narrow band filter with high transmission | Huang Cheng Yu |
10651225 | Band-pass filter for stacked sensor | Huang Cheng Yu |
10651237 | Resistive random access memory device | Mo Chun-Chieh |
10651279 | Semiconductor interconnect structure having graphene-capped metal interconnects | Yang Shin-Yi |
10651283 | Metal gate structure | Lim Peng-Soon |
10651287 | Method for forming source/drain contacts | More Shahaji B. |
10651289 | Semiconductor device and a method for fabricating the same | Chiou Yao-De |
10651292 | Dual metal via for contact resistance reduction | Cheng Chung-Liang |
10651296 | Methods of fabricating Fin Field Effect Transistor (FinFET) devices with uniform tension using implantations on top and sidewall of Fin | Wu Tsung-Han |
10651300 | Charge storage and sensing devices and methods | Hwu Jenn-Gwo |
10651309 | V-shape recess profile for embedded source/drain epitaxy | Li Chii-Horng |
10651311 | Metal gate with silicon sidewall spacers | Fang Wen-Han |
10651314 | Nanowire stack GAA device with inner spacer and methods for producing the same | Chen I-Sheng |
10651373 | Memory device and fabrication method thereof | Peng Tai-Yen |
10651675 | Packaged semiconductor devices with wireless charging means | Yu Chen-Hua |
10651832 | Level shifter | Chen Chien-Yuan |
10652032 | Device signature generation | Lu Shih-Lien Linus |
10652987 | Three dimensional integrated circuit electrostatic discharge protection and prevention test interface | Wang Mill-Jer |
10654707 | Method of stiction prevention by patterned anti-stiction layer | Chang Kuei-Sung |
10654713 | Method for manufacturing microelectromechanical system structure having a cavity and through-holes of different widths | Meng Chin-Han |
10655019 | Priming material for substrate coating | Cheng Ya-Ling |
10656351 | Package structure for optical fiber and method for forming the same | Huang Sung-Hui |
10656539 | Radiation source for lithography process | Wu Shang-Ying |
10657106 | Method, computing device, and distributed file system for placement of file blocks within a distributed file system | Xu Haibo |
10658010 | Apparatus for high speed ROM cells | Liaw Jhon-Jhy |
10658011 | Voltage generating system, voltage generating circuit and associated method | Chang Yen-An |
10658026 | Word line pulse width control circuit in static random access memory | Singh Anjana |
10658179 | Method and structure of middle layer removal | Chen Nai-Chia |
10658184 | Pattern fidelity enhancement with directional patterning technology | Shen Yu-Tien |
10658186 | Method of forming semiconductor device using titanium-containing layer and device formed | Wu Chia-Yang |
10658191 | Conformal middle layer for a lithography process | Liu Chen-Yu |
10658195 | Metal oxide layered structure and methods of forming the same | Lin Jing-Cheng |
10658199 | Semiconductor device and method | Liao Sih-Hao |
10658208 | Polyimide composition for package structure, package structure and method of fabricating the same | Liao Sih-Hao |
10658215 | Reticle transportation container | Lee Yu-Ching |
10658221 | Semiconductor wafer cleaning apparatus and method for cleaning semiconductor wafer | Lin Wang-Hua |
10658225 | FinFET devices and methods of forming the same | Lin Jih-Jse |
10658234 | Formation method of interconnection structure of semiconductor device | Hung Min-Hsiu |
10658237 | Semiconductor devices | Su Fu-Hsiang |
10658242 | Structure and formation method of semiconductor device with Fin structures | Keng Wen-Chun |
10658245 | Etch profile control of polysilicon structures of semiconductor devices | Ching Kuo-Cheng |
10658247 | FinFET devices and methods of forming | Chiang Kuo-Cheng |
10658252 | Semiconductor structure and method for forming the same | Lin Jia-Ming |
10658258 | Chip package and method of forming the same | Pan Kuo-Lung |
10658263 | Semiconductor package and manufacturing method thereof | Yu Chi-Yang |
10658270 | Semiconductor structure and method making the same | Lin Hsiang-Wei |
10658287 | Semiconductor device having a tapered protruding pillar portion | Liao Sih-Hao |
10658290 | Plurality of different size metal layers for a pad structure | Chen Hsien-Wei |
10658292 | Metal patterning for internal cell routing | Peng Shih-Wei |
10658296 | Dielectric film for semiconductor fabrication | Wu Cheng-Yi |
10658315 | Redistribution layer metallic structure and method | Bih Shih Wei |
10658318 | Film scheme for bumping | Chang Yao-Wen |
10658323 | Package structure with warpage-control element | Pei Hao-Jan |
10658333 | Package structure and method of fabricating the same | Chen Hsien-Wei |
10658334 | Method for forming a package structure including a package layer surrounding first connectors beside an integrated circuit die and second connectors below the integrated circuit die | Cheng Yu-Jen |
10658337 | Packages and packaging methods for semiconductor devices, and packaged semiconductor devices | Yu Chen-Hua |
10658339 | Semiconductor device and method of manufacture | Chen Hsien-Wei |
10658347 | Semiconductor packages and methods of forming the same | Yu Chen-Hua |
10658348 | Semiconductor devices having a plurality of first and second conductive strips | Tai Chih-Hsuan |
10658362 | Semiconductor component and fabricating method thereof | Ching Kuo-Cheng |
10658370 | Semiconductor device and manufacturing method thereof | Ohtou Tetsu |
10658372 | Cutting metal gates in fin field effect transistors | Yin Li-Wei |
10658373 | Method for manufacturing semiconductor device with metal gate memory device and metal gate logic device | Chuang Harry-Hak-Lay |
10658410 | Image sensor having improved full well capacity and related method of formation | Cheng Yu-Hung |
10658455 | Metal insulator metal capacitor structure having high capacitance | Hsu Chen-Yin |
10658456 | Semiconductor device having diode devices with different barrier heights and manufacturing method thereof | Lo Wen-Shun |
10658468 | Epitaxial growth methods and structures thereof | Ueno Tetsuji |
10658470 | Device with doped phosphorene and method for doping phosphorene | Lin Yu-Ming |
10658474 | Method for forming thin semiconductor-on-insulator (SOI) substrates | Wu Cheng-Ta |
10658477 | Junction gate field-effect transistor (JFET) having source/drain and gate isolation regions | Chen Chia-Chung |
10658478 | Semiconductor device | Huang Chi-Feng |
10658479 | Flash memory cell structure with step-shaped floating gate | Chu Yu-Hsien |
10658482 | Plate design to decrease noise in semiconductor devices | Cheng Chih-Chang |
10658485 | Semiconductor device and manufacturing method thereof | Lin Chih-Han |
10658488 | Atomic layer deposition methods and structures thereof | Lee Hsin-Yi |
10658490 | Structure and formation method of isolation feature of semiconductor device structure | Ching Kuo-Cheng |
10658491 | Controlling profiles of replacement gates | Lin Chih-Han |
10658492 | Polysilicon design for replacement gate technology | Chuang Harry-Hak-Lay |
10658508 | Structure and formation method of semiconductor device with low resistance contact | Chen Chia-Cheng |
10658509 | FinFET device | Lin Chia Tai |
10658510 | Source/drain structure | Liu Su-Hao |
10658571 | Semiconductor structure and method for manufacturing the same | Hsu Chern-Yow |
10658577 | Maintaining coercive field after high temperature anneal for magnetic device applications with perpendicular magnetic anisotropy | Liu Huanlong |
10658581 | Semiconductor device structure with multiple resistance variable layers | Trinh Hai-Dang |
10658975 | Semiconductor device and method | Cho Lan-Chou |
10659057 | Compensation technique for the nonlinear behavior of digitally-controlled oscillator (DCO) gain | Li Chao Chieh |
10659092 | Channel loss compensation circuits | Cho Lan-Chou |
10661408 | Platen stopper | Han Yu-Feng |
10663512 | Testing of semiconductor chips with microbumps | Wu Wei-Cheng |
10663633 | Aperture design and methods thereof | Hsieh Hung-Chih |
10663865 | Photoresist recycling apparatus | Lin Chia-Ren |
10663868 | Method and apparatus for dynamic lithographic exposure | Yu Jun-Yih |
10663871 | Reticle stage and method for using the same | Lee Chia-Yu |
10664565 | Method and system of expanding set of standard cells which comprise a library | Liu Chi-Lin |
10664639 | Cell layout and structure | Hsieh Tung-Heng |
10664641 | Integrated device and method of forming the same | Biswas Hiranmay |
10665321 | Method for testing MRAM device and test apparatus thereof | Wang Chia-Yu |
10665380 | Compact vertical inductors extending in vertical planes | Yen Hsiao-Tsung |
10665449 | Integrate rinse module in hybrid bonding platform | Huang Xin-Hua |
10665455 | Method (and related apparatus) that reduces cycle time for forming large field integrated circuits | Lu Wen-Chen |
10665456 | Semiconductor structure | Chou Shih-Pei |
10665457 | Method of forming an integrated circuit using a patterned mask layer | Hsieh Tzu-Yen |
10665466 | Method for forming semiconductor device structure | Liao Keng-Ying |
10665467 | Spacer etching process for integrated circuit design | Liu Ru-Gun |
10665468 | Multi-chip structure and method of forming same | Yu Chen-Hua |
10665473 | Package structure and method of fabricating the same | Lin Chia-Hsiang |
10665474 | 3D packages and methods for forming the same | Chiu Tzu-Wei |
10665489 | Integrated chip die carrier exchanger | Lee Chien-Fa |
10665506 | Semiconductor device with reduced via bridging risk | Tseng Szu-Wei |
10665507 | Automated transfer and drying tool for process chamber | Kuo Tsung-Sheng |
10665510 | Spacer structure and manufacturing method thereof | Kalnitsky Alexander |
10665513 | Fin field-effect transistor device and method | Chang Ming-Ching |
10665520 | Integrated circuit package and method | Chen Chien-Hsun |
10665521 | Planar passivation layers | Wang Po-Shu |
10665536 | 3D IC Decoupling capacitor structure and method for manufacturing the same | Liao Wen-Shiang |
10665537 | Package structure and manufacturing method thereof | Hwang Chien-Ling |
10665539 | Semiconductor device with patterned ground shielding | Yen Hsiao-Tsung |
10665545 | Semiconductor devices, semiconductor packages and methods of forming the same | Liao Sih-Hao |
10665550 | Electromagnetic shielding metal-insulator-metal capacitor structure | Lee Hui Yu |
10665554 | Magnetic structure for transmission lines in a package system | Liao Wen-Shiang |
10665556 | Semiconductor structure having a composite barrier layer | Wu Chun-Yu |
10665559 | Device, semiconductor package and method of manufacturing semiconductor package | Hsu Chia-Kuei |
10665560 | Optical semiconductor package and method for manufacturing the same | Wang Chuei-Tang |
10665565 | Package assembly | Lin Hung-Jen |
10665569 | Vertical transistor device and method for fabricating the same | Yun Wei-Sheng |
10665572 | Semiconductor package and manufacturing method thereof | Chen Jie |
10665582 | Method of manufacturing semiconductor package structure | Chen Yi-Hsiu |
10665585 | Structure and method for alignment marks | Wen Ming-Chang |
10665595 | Metal isolation testing in the context of memory cells | Chiu Te-Hsin |
10665600 | Memory devices and method of fabricating same | Wu Chang-Ming |
10665602 | Method of manufacturing a semiconductor device and a semiconductor device | Wu Yun-Chi |
10665627 | Image sensor device and method for forming the image sensor device having a first lens and a second lens over the first lens | Hsu Shih-Hsun |
10665673 | Integrated circuit structure with non-gated well tap cell | Lin Jiefeng |
10665674 | FinFET semiconductor device with germanium diffusion over silicon fins | Lee Yi-Jing |
10665685 | Semiconductor device and fabrication method thereof | Lin Cheng-Ming |
10665686 | Gate feature in finFET device | Shen Guan-Jie |
10665691 | Semiconductor structure | Ching Kuo-Cheng |
10665693 | Semiconductor structure and manufacturing method thereof | Tsai Chun-Hsiung |
10665696 | Method for non-resist nanolithography | Chen Miin-Jang |
10665697 | Semiconductor device and method | Chan Chia-Ling |
10665700 | Semiconductor device and method | Young Bo-Feng |
10665717 | Semiconductor device and FinFET device | Tsai Chun Hsiung |
10665718 | Wrap Around Silicide for FinFETs | Chiang Kuo-Cheng |
10665719 | FinFET device with asymmetrical drain/source feature | Lo Wei-Yang |
10665726 | Memory device and operation method thereof | Yang Tsung-Yu |
10665727 | Semiconductor structure and manufacturing method of the same | Lo Wen-Shun |
10665773 | Nitride capping layer for spin torque transfer (STT)-magnetoresistive random access memory (MRAM) | Iwata Jodi Mari |
10666267 | Segmentation superposition technique for binary error compensation | Li Chao Chieh |
10666438 | Balanced coupling structure for physically unclonable function (PUF) application | Tsai Jui-Che |
10666578 | Network-on-chip system and a method of generating the same | Venugopalan Ravi |
10668511 | Method of cleaning process chamber | Lee Tsung-Lin |
10668592 | Method of planarizing a wafer | Lee Bo-I |
10669625 | Pumping liner for chemical vapor deposition | Liu Cheng-Hsiung |
10670540 | Photolithography method and photolithography system | Liao Chi-Hung |
10670641 | Semiconductor test device and manufacturing method thereof | Peng Cheng-Yi |
10670654 | Probe card and wafer testing system and wafer testing method | Wu Yuan-Chun |
10670956 | Photomask having a plurality of shielding layers | Tu Chih-Chiang |
10670959 | Pellicle and method of using the same | Yoo Chue San |
10670970 | Lithography system and method thereof | Cheng Wei-Shin |
10671052 | Synchronized parallel tile computation for large area lithography simulation | Beylkin Daniel |
10671786 | Method of modeling a mask by taking into account of mask pattern edge interaction | Lai Chien-Jen |
10671788 | Method, system, and storage medium of resource planning for designing semiconductor device | Lin Yen-Hung |
10671789 | Integrated circuit and layout method | Liu Jack |
10672460 | Dual port SRAM cell with dummy transistors | Liaw Jhon Jhy |
10672494 | Systems and methods to test a memory device | Lu Shih-Lien Linus |
10672610 | Grafting design for pattern post-treatment in semiconductor manufacturing | Wang Siao-Shan |
10672613 | Method of forming semiconductor structure and semiconductor device | Jang Shu-Uei |
10672614 | Etching and structures formed thereby | Huang Kuan-Wei |
10672619 | Material composition and methods thereof | Wang Siao-Shan |
10672631 | Method and system for substrate thinning | Mao Yi-Chao |
10672639 | Method for automatic sending cassette pod | Kuo Tsung-Sheng |
10672647 | Wafer level chip scale packaging intermediate structure apparatus and method | Yu Chen-Hua |
10672651 | Method for forming structure of dual damascene structures having via hole and trench | Peng Tai-Yen |
10672652 | Gradient atomic layer deposition | Kuo Chia-Pang |
10672656 | Method of semiconductor integrated circuit fabrication | Shieh Ming-Feng |
10672665 | Fin field effect transistor device structure and method for forming the same | Chang Shang-Wen |
10672667 | Semiconductor device and method | Cheng Chao-Ching |
10672674 | Method of forming semiconductor device package having testing pads on a topmost die | Yu Chen-Hua |
10672681 | Semiconductor packages | Lin Chien-Chang |
10672704 | Semiconductor device with polygonal inductive device | Chen Wen-Sheng |
10672708 | Standard-cell layout structure with horn power and smart metal cut | Fan Ni-Wan |
10672709 | Power grid, IC and method for placing power grid | Biswas Hiranmay |
10672723 | Semiconductor package | Lin Jing-Cheng |
10672728 | Integrated fan-out package | Wang Chuei-Tang |
10672729 | Package structure and method of forming package structure | Tai Chih-Hsuan |
10672734 | Package structures and methods of forming the same | Huang Li-Hsien |
10672737 | Three-dimensional integrated circuit structure and method of manufacturing the same | Chen Yi-Hsiu |
10672738 | Package structures and methods of forming | Yu Chen-Hua |
10672741 | Semiconductor packages with thermal-electrical-mechanical chips and methods of forming the same | Yu Chen-Hua |
10672742 | Semiconductor device and manufacturing method thereof | Wu Zhi-Qiang |
10672751 | Contoured package-on-package joint | Wu Jiun Yi |
10672752 | Semiconductor package and manufacturing method thereof | Cheng Li-Hui |
10672754 | Semiconductor component, package structure and manufacturing method thereof | Chen Ming-Fa |
10672760 | Semiconductor die | Chuang Harry-Hak-Lay |
10672769 | Method of fabricating a transistor having a drain pad with capping and silicide layers | Chang Chia-Hao |
10672770 | Semiconductor structure | Liaw Jhon-Jhy |
10672775 | Semiconductor device having strap cell | Lo Kuo-Hung |
10672776 | Memory circuit having resistive device coupled with supply voltage line | Chen Yen-Huei |
10672777 | Method of manufacturing semiconductor device having multi-height structure | Su Kuan-Wei |
10672783 | Integrated circuit and method for manufacturing the same | Wu Yun-Chi |
10672795 | Bulk semiconductor substrate configured to exhibit semiconductor-on-insulator behavior | Singh Gulbagh |
10672796 | Mechanisms for forming FINFET device | Chang Che-Cheng |
10672810 | CMOS image sensor with shallow trench edge doping | Lee Yueh-Chuan |
10672812 | Image sensor | Chiang Wei-Chieh |
10672819 | Mechanisms for forming image-sensor device with deep-trench isolation structure | Lin Jeng-Shyan |
10672820 | Hybrid bonded structure | Tsai Bo-Tsung |
10672824 | Image sensor | Tsai Bo-Tsung |
10672832 | Magnetic detection circuit, MRAM and operation method thereof | Ying Ji-Feng |
10672860 | Multi-terminal inductor for integrated circuit | Hsu Ching-Chung |
10672866 | Seamless gap fill | Huang Yen-Chun |
10672867 | Semiconductor structure and manufacturing method thereof | Wang Tai-Yuan |
10672870 | Semiconductor device and manufacturing method thereof | Chen Lung |
10672871 | High surface dopant concentration formation processes and structures formed thereby | Chen Chia-Cheng |
10672873 | Semiconductor device and method of fabricating the same | Chen Chia-Chung |
10672879 | Method for forming FinFET and gate-all-around FET with selective high-K oxide deposition | Tsai Tsung-Han |
10672886 | Structure and method for high-k metal gate | More Shahaji B. |
10672889 | Semiconductor device and manufacturing method thereof | Vellianitis Georgios |
10672892 | Self-aligned epitaxy layer | Ching Kuo-Cheng |
10672893 | Method of making semiconductor device comprising flash memory and resulting device | Lin Chien-Hung |
10672899 | Tunnel field-effect transistor with reduced trap-assisted tunneling leakage | Vasen Timothy |
10672904 | Power MOSFETs and methods for manufacturing the same | Yadav Yogendra |
10672908 | Fin field effect transistor | Chang Che-Cheng |
10672909 | Strained gate semiconductor device having an interlayer dielectric doped with oxygen and a large species material | Wu Cheng-Ta |
10672925 | Thin film solar cell and method of forming same | Chiang Chi-Yu |
10672934 | SPAD image sensor and associated fabricating method | Wang Tzu-Jui |
10672969 | Thermocouple device | Tsai Ming-Hsien |
10672975 | Magnetic tunnel junction with reduced damage | Diaz Carlos H. |
10673394 | Power amplifier | Jin Jun-De |
10673437 | Level shifting circuit and method | Chern Chan-Hong |
10673603 | Integrated circuit with radio frequency interconnect | Chen Huan-Neng |
10675732 | Apparatus and method for CMP pad conditioning | Chen ChunHung |
10676343 | Multi-layer sealing film for high seal yield | Yang Chih-Chien |
10676351 | Nano-electromechanical system (NEMS) device structure and method for forming the same | Chen Hsin-Ping |
10676668 | Wet etch chemistry for selective silicon etch | Yang Neng-Jye |
10678126 | Semiconductor mask blanks with a compatible stop layer | Tu Chih-Chiang |
10678133 | Method for forming photoresist layer | Liao Chi-Hung |
10678138 | Extreme ultraviolet (EUV) radiation source and a method for generating extreme ultraviolet radiation | Yen Wei-Chun |
10678142 | Optical proximity correction and photomasks | Jheng Dong-Yo |
10678146 | Particle removal from wafer table and photomask | Wu Min-Cheng |
10678148 | Lithography system and lithography method | Chang Kai-Chieh |
10678973 | Machine-learning design enablement platform | Chuang Yi-Lin |
10678977 | Semiconductor device having engineering change order (ECO) cells | Chiu Mao-Wei |
10678982 | System, method and associated computer readable medium for designing integrated circuit with pre-layout RC information | Chen Chin-Sheng |
10678987 | Cell layout method and system for creating stacked 3D integrated circuit having two tiers | Chen Sheng-Hsiung |
10678989 | Method and system for sigma-based timing optimization | Chen Yen-Pin |
10678990 | Techniques based on electromigration characteristics of cell interconnect | Yang Kuo-Nan |
10679693 | SRAM array | Liaw Jhon-Jhy |
10679820 | Inspection method for wafer or DUT | Niu Bao-Hua |
10679846 | System and method of forming a porous low-K structure | Lin Bo-Jiun |
10679856 | Fin field effect transistor (FinFET) device structure with insulating structure over fin isolation structure and method for forming the same | Yu Jia-Ni |
10679859 | Atomic layer deposition based process for contact barrier layer | Cheng Chung-Liang |
10679863 | Method for forming semiconductor device structure with fine line pitch and fine end-to-end space | Chen Hung-Hao |
10679866 | Interconnect structure for semiconductor package and method of fabricating the interconnect structure | Hwang Chien-Ling |
10679877 | Carrier tape system and methods of using carrier tape system | Liao Tsung-Jen |
10679889 | Method for manufacturing semiconductor structure | Tsai Yu-Hsiang |
10679891 | Methods of forming interconnect structures using a vacuum environment | Tsai Chia-Ching |
10679895 | Interconnect structure and method of forming the same | Chen Jeng-Shiou |
10679896 | Contact structure for semiconductor device | Hsieh Yun-Yu |
10679900 | Fin spacer protected source and drain regions in FinFETs | Chiang Kuo-Cheng |
10679915 | Package structure and manufacturing method thereof | Hsieh Ping-Yin |
10679936 | MIM structure | Wei Chun huan |
10679947 | Chip package and manufacturing method thereof | Wang Chuei-Tang |
10679950 | Methods of forming recesses in substrates by etching dummy Fins | Kuan Wan-Chun |
10679951 | Chip-on-substrate packaging on carrier | Yu Chen-Hua |
10679953 | Integrated fan-out structure and method of forming | Chen Hsien-Wei |
10679968 | Package with thinned substrate | Yu Chen-Hua |
10679980 | Integrated circuit filler and method thereof | Lo Tseng Chin |
10679981 | Protection circuit | Huang Chien-Yao |
10679987 | Bootstrap metal-oxide-semiconductor (MOS) device integrated with a high voltage MOS (HVMOS) device and a high voltage junction termination (HVJT) device | Murukesan Karthick |
10679988 | Semiconductor device including FinFETS having different channel heights and manufacturing method thereof | Doornbos Gerben |
10679989 | Enlarging spacer thickness by forming a dielectric layer over a recessed interlayer dielectric | Lin Chih-Han |
10679990 | Multi-fin device and method of making same | Liu Chi-Wen |
10679995 | Semiconductor device and method | Wang Chun-Chieh |
10680002 | Method to reduce kink effect in semiconductor devices | Shih Hung-Ling |
10680019 | Selective polysilicon doping for gate induced drain leakage improvement | Chu Chen-Liang |
10680024 | Concave reflector for complementary metal oxide semiconductor image sensor (CIS) | Huang Po-Han |
10680027 | Stacked semiconductor dies with a conductive feature passing through a passivation layer | Kao Min-Feng |
10680038 | RRAM memory cell with multiple filaments | Yang Chin-Chieh |
10680062 | III-V semiconductor layers, III-V semiconductor device and methods of manufacturing thereof | Van Dal Mark |
10680075 | Semiconductor device including source/drain epitaxial layer having facets and manufacturing method thereof | Hsu Chao-Wei |
10680078 | Semiconductor arrangement and formation thereof | Yang Tai-I |
10680084 | Epitaxial structures for fin-like field effect transistors | Yu Chia-Ta |
10680100 | Field structure and methodology | Su Po-Chih |
10680101 | Power metal-oxide-semiconductor field-effect transistor | Syue Wan-Jyun |
10680103 | Method of forming semiconductor device with gate | Jeng Jung-Chi |
10680106 | Method of forming source/drain epitaxial stacks | More Shahaji B. |
10680109 | CMOS semiconductor device having fins and method of fabricating the same | Kuo Shu-Hao |
10680126 | Photovoltaics on silicon | Li Jizhong |
10680166 | Semiconductor structure and associated operating and fabricating method | Yu Chwen |
10680168 | Ion beam etching fabricated sub 30nm vias to reduce conductive material re-deposition for sub 60nm MRAM devices | Yang Yi |
10680172 | Resistive random access memory device | Mo Chun-Chieh |
10680609 | Multiplexer circuit, and method and system for generating layout diagram corresponding to same | Yen Yang-Chi |
10680627 | Phase-locked loop monitor circuit | Goel Sandeep Kumar |
10682523 | Interconnect structure and method of forming same | Tsai Shu-Ting |
10683204 | Semiconductor arrangement and formation thereof | Chou Chung-Yen |
10684009 | Method and apparatus for controlling exhaust pressure for an extreme ultraviolet generation chamber | Tsai Ming-Hsun |
10684325 | Jitter monitoring circuit | Huang Tien-Chien |
10684545 | Method for forming semiconductor structure by patterning assist layer having polymer | Zi An-Ren |
10684552 | Method to mitigate defect printability for ID pattern | Lu Yen-Cheng |
10684556 | Noise reduction for overlay control | Hu Weimin |
10684559 | Apparatus and method for cleaning reticle stage | Lin Yu-Fu |
10684561 | Lithography method | Lan Hao-Yu |
10685157 | Power-aware scan partitioning | Patidar Ankita |
10685161 | Region based shrinking methodology for integrated circuit layout migration | Chang Chi-Wen |
10685162 | Layout for integrated circuit and the integrated circuit | Lei Cheok-Kei |
10685686 | Power switch control for dual power supply | Wu Fu-An |
10685693 | Method for writing to magnetic random access memory | Ying Ji-Feng |
10685704 | Static random access memory circuit | Wu Wei-Cheng |
10685842 | Selective formation of titanium silicide and titanium nitride by hydrogen gas control | Chang Cheng-Wei |
10685846 | Semiconductor integrated circuit fabrication with pattern-reversing process | Chien Ming-Chin |
10685853 | Lid attach processes for semiconductor packages | Chen Chin-Liang |
10685854 | 3DIC package comprising perforated foil sheet | Hung Wensen |
10685867 | Method of semiconductor integrated circuit fabrication | Yu De-Wei |
10685869 | Semiconductor device and method of forming the same | Dai Pin-Ren |
10685870 | Semiconductor device and method of manufacture | Hsu Yao-Wen |
10685873 | Etch stop layer for semiconductor devices | Tung Szu-Ping |
10685880 | Methods for reducing contact depth variation in semiconductor fabrication | Lee Yun |
10685884 | Semiconductor device including a Fin-FET and method of manufacturing the same | Okuno Yasutoshi |
10685885 | Semiconductor device | Hong Long-Jie |
10685896 | Integrated circuit package and method of fabricating the same | Liu Zi-Jheng |
10685908 | Semiconductor structure and method of making the same | Huang Yu-Ting |
10685910 | Packages with Si-substrate-free interposer and method forming same | Yu Chen-Hua |
10685911 | Semiconductor package and manufacturing method of the same | Chen Ming-Fa |
10685920 | Semiconductor device package with warpage control structure | Yew Ming-Chih |
10685935 | Forming metal bonds with recesses | Chen Ming-Fa |
10685936 | Forming recesses in molding compound of wafer to reduce stress | Lin Chun-Hung |
10685937 | Integrated circuit package having dummy structures and method of forming same | Chen Ming-Fa |
10685950 | Photomask design for generating plasmonic effect | Chen Minfeng |
10685952 | Strapping structure of memory circuit | Liaw Jhon Jhy |
10685956 | Semiconductor device comprising deep counter well and manufacturing mehtod thereof | Kuo Hsi-Yu |
10685966 | Integrated circuits with contacting gate structures | Liaw Jhon Jhy |
10685967 | Implantations for forming source/drain regions of different transistors | Yu Dian-Sheg |
10685969 | Read-only memory (ROM) device structure and method for forming the same | Hsieh Chih-Hung |
10685982 | Semiconductor structure | Chou Hsueh-Chih |
10686005 | Semiconductor structure | Wei Chia-Yu |
10686030 | Resistor structure | Yen Hsiu-Jung |
10686032 | High voltage resistor with high voltage junction termination | Su Ru-Yi |
10686036 | Method of making bipolar transistor | Yang Fu-Hsiung |
10686047 | Semiconductor device and method for manufacturing the same | Kung Ta-Yuan |
10686049 | Gate structure and method of fabricating the same | Hsieh Bo-Wen |
10686050 | Method of manufacturing a semiconductor device and a semiconductor device | Vellianitis Georgios |
10686054 | Semiconductor device | You Jheng-Sheng |
10686059 | Structure of semiconductor device structure having fins | Chang Che-Cheng |
10686060 | Structure and formation method of semiconductor device structure | Chang Che-Cheng |
10686065 | Apparatus and method for power MOS transistor | Ng Chun-Wai |
10686072 | Semiconductor device and manufacturing methods thereof | Liao Yu-Hung |
10686074 | Fin field effect transistor (FinFET) device structure with doped region in source/drain structure and method for forming the same | Tsai Chun-Hsiung |
10686075 | Self-aligned gate hard mask and method forming same | Lee Kai-Hsuan |
10686077 | Fin field effect transistor (FinFET) device and method for forming the same | Zhang Zhe-Hao |
10686125 | Memory device | Chuang Harry-Hak-Lay |
10686129 | Resistive random access memory device | Mo Chun-Chieh |
10686428 | Cell of transmission gate free circuit and integrated circuit layout including the same | Guo Ta-Pen |
10686434 | Input/output circuit | Chern Chan-Hong |
10686438 | Glitch preventing input/output circuits | Yu Tsung-Hsin |
10687410 | Extreme ultraviolet radiation source and cleaning method thereof | Yang Chi |
10688623 | Slurry dispersion system with real time control | Chiang I-Chen |
10688786 | MEMS devices and methods of fabrication thereof | Peng Jung-Huei |
10689247 | Method and structure for CMOS-MEMS thin film encapsulation | Liu Yu-Chia |
10691014 | Extreme ultraviolet lithography system, device, and method for printing low pattern density features | Lu Yen-Cheng |
10691017 | Pellicle for advanced lithography | Lee Yu-Ching |
10691020 | Apparatus for dispensing liquid material and method for fabricating semiconductor device | Lee Yung-Yao |
10691023 | Method for performing lithography process with post treatment | Weng Ming-Hui |
10691849 | Metal cut optimization for standard cells | Lei Cheok-Kei |
10691864 | Method of post optical proximity correction (OPC) printing verification by machine learning | Wang Hung-Chun |
10692549 | Memory array structure, in-memory computing apparatus and method thereof | Peng Yung-Chow |
10692701 | Dry etching apparatus | Chen Chang-Yin |
10692720 | Methods for controlling an end-to-end distance in semiconductor device | Huang Yu-Lien |
10692723 | Gate isolation plugs structure and method | Hsieh Wen-Shuo |
10692725 | Directed self-assembly process with size-restricted guiding patterns | Weng Ming-Huei |
10692732 | CMP slurry and CMP method | Hsu Chun-Wei |
10692750 | Method for fabricating a semiconductor device | Chuang Harry-Hak-Lay |
10692754 | Device and method for contact state inspection | Huang Yung-Chang |
10692760 | Semiconductor structure and method for manufacturing the same | Sun Hung-Chang |
10692762 | Semiconductor device with gate stack | Hung Hua-Li |
10692763 | Integrated antenna on interposer substrate | Huang Bo-Jr |
10692764 | Alignment marks in substrate having through-substrate via (TSV) | Chang Hsin |
10692769 | Fin critical dimension loading optimization | Liang Chia Ming |
10692770 | Geometry for threshold voltage tuning on semiconductor device | Wu Chung-Chiang |
10692773 | Forming nitrogen-containing low-K gate spacer | Kao Wan-Yi |
10692788 | Device to decrease flicker noise in conductor-insulator-semiconductor (CIS) devices | Lo Wen-Shun |
10692809 | Manufacturing method for semiconductor structure | Cheng Hsi-Kuei |
10692813 | Semiconductor package with dummy bumps connected to non-solder mask defined pads | Hsu Feng-Cheng |
10692814 | Chemical direct pattern plating method | Liu Wen-Jiun |
10692817 | Semiconductor device with shielding structure for cross-talk reduction | Huang Shih-Ya |
10692826 | Semiconductor structure and method for forming the same | Wei Chia-Yu |
10692828 | Package structure with protrusion structure | Tsao Pei-Haw |
10692832 | Manufacturing method of semiconductor structure | Lu Chun-Lin |
10692838 | Semiconductor packages | Liu Zi-Jheng |
10692848 | Stress reduction apparatus and method | Chuang Yao-Chun |
10692865 | Semiconductor device and fabricating the same | Ching Kuo-Cheng |
10692867 | Method and structure for FinFET device | Ching Kuo-Cheng |
10692911 | Polarizers for image sensor devices | Cheng Yun-Wei |
10692914 | Implant damage free image sensor and method of the same | Kalnitsky Alexander |
10692963 | Systems and methods for shielded inductive devices | Kuo Feng Wei |
10692966 | Deep trench capacitor with scallop profile | Yen Tsui-Ling |
10692983 | Semiconductor device and manufacturing method thereof | Li Chung-Ting |
10693003 | Integrated circuit transistor structure with high germanium concentration SiGe stressor | Chang Chih-Hao |
10693009 | Structure of S/D contact and method of making same | Huang Yu-Lien |
10693018 | Method of manufacturing a semiconductor device including non-volatile memory cells | Shu Cheng-Bo |
10693019 | Film scheme for a high density trench capacitor | Cheng Hsin-Li |
10693060 | Phase change memory structure and the same | Wu Jau-Yi |
10695952 | Cutting apparatus with auto chuck cleaning mechanism | Tseng Chih-Min |
10697084 | High resistance virtual anode for electroplating cell | Wang Po-Wei |
10698018 | Noise detection circuit capable of recognizing noise event occurring in device under test, and related system and method for testing device under test | Yang Chung-Chieh |
10698026 | Testing holders for chip unit and die package | Wang Mill-Jer |
10698313 | Apparatus and method for developing a photoresist coated substrate | Liu Tzung-Shiun |
10698317 | Underlayer material for photoresist | Zi An-Ren |
10698320 | Method for optimized wafer process simulation | Liu Ru-Gun |
10698994 | Fingerprint sensor pixel array and methods of forming same | Huang Yu-Chih |
10699765 | Methods and circuits for programming STT-MRAM cells for reducing back-hopping | Liu Huanlong |
10699766 | Word-line driver and method of operating a word-line driver | Taghvaei Ali |
10699931 | Shiftless wafer blades | Chen Chien-Chih |
10699938 | Shallow trench isolation structure and method of forming the same | Huang Yen-Bin |
10699940 | Gate dielectric preserving gate cut process | Ku Shu-Yuan |
10699941 | MOSFETs with channels on nothing and methods for forming the same | Vellianitis Georgios |
10699943 | Method of forming contacts in a semiconductor device | Huang Yu-Lien |
10699944 | Surface modification layer for conductive feature formation | Lian Jian-Jou |
10699956 | Method of manufacturing a semiconductor device and a semiconductor device | Chiang Hung-Li |
10699960 | Methods for improving interlayer dielectric layer topography | Su Kuan-Wei |
10699963 | Structure and formation method of semiconductor device structure with isolation feature | Tsai Tsung-Han |
10699964 | Silicon and silicon germanium nanowire formation | Ching Kuo-Cheng |
10699966 | Semiconductor device with profiled work-function metal gate electrode and method of making | Lee Da-Yuan |
10699977 | Method of detecting delamination in an integrated circuit package structure | Chen Yang-Che |
10699981 | Non-vertical through-via in package | Huang Cheng-Lin |
10699999 | Mechanisms for forming metal-insulator-metal (MIM) capacitor structure | Jen Chi-Chung |
10700000 | Semiconductor structure and method making the same | Huang Hsin-Yen |
10700001 | Forming bonding structures by using template layer as templates | Lii Mirng-Ji |
10700005 | Interconnect structure with air gaps | Yang Tai-I |
10700008 | Package structure having redistribution layer structures | Chang Chih-Hao |
10700010 | Copper contact plugs with barrier layers | Su Li-Lin |
10700025 | Fan-out interconnect structure and method for forming same | Yu Chen-Hua |
10700026 | Package with UBM and methods of forming | Chen Hsien-Wei |
10700030 | Semiconductor package having varying conductive pad sizes | Lin Chih-Hao |
10700031 | Integrated fan-out package and manufacturing method thereof | Yu Chi-Yang |
10700032 | Package with passive devices and method of forming the same | Chen Shuo-Mao |
10700033 | Packaging device and method of making the same | Huang Chang-Chia |
10700034 | Protrusion bump pads for bond-on-trace processing | Liang Yu-Min |
10700040 | Discrete polymer in fan-out packages | Chen Hsien-Wei |
10700045 | Surface mount device/integrated passive device on package or device structure and methods of forming | Hsieh Cheng-Hsien |
10700066 | Semiconductor device and manufacturing method thereof | Chen Winnie Victoria Wei-Ning |
10700070 | Embedded transistor | Ting Yu-Wei |
10700075 | Structure and method for SRAM FinFET device having an oxide feature | Ching Kuo-Cheng |
10700117 | Method for manufacturing image sensor | Yamashita Yuichiro |
10700125 | Integrated system chip with magnetic module | Chiang Katherine H. |
10700160 | Semiconductor device and a method for fabricating the same | Lin Yu-Chiun |
10700176 | Vertical gate all around (VGAA) devices and methods of manufacturing the same | Chang Chia-Hao |
10700177 | Semiconductor device with low resistivity contact structure and method for forming the same | Hung Min-Hsiu |
10700180 | Semiconductor structure and manufacturing method thereof | Chen Chang-Yin |
10700181 | Fin field effect transistor (finFET) device structure and method for forming the same | Huang Wei-Han |
10700183 | Fin field effect transistor (FinFET) device structure and method for forming the same | Ching Kuo-Cheng |
10700197 | Semiconductor device and method | Chan Chia-Ling |
10700205 | Method for forming semiconductor structure | Cheng Chao-Ching |
10700206 | Semiconductor device and manufacturing method thereof | Chen I-Sheng |
10700207 | Semiconductor device integrating backside power grid and related integrated circuit and fabrication method | Chen Chih-Liang |
10700208 | Semiconductor device and methods of manufacture | Chang Che-Cheng |
10700264 | Memory device and fabrication method thereof | Liao Wei-Hao |
10700269 | Post treatment to reduce shunting devices for physical etching process | Wang Yu-Jen |
10700275 | RRAM cell structure with laterally offset BEVA/TEVA | Chang Chih-Yang |
10702869 | Miniaturized fluid manipulation system | Wen Chin-Hua |
10703563 | Stocker | Li Guan-Cyun |
10704158 | Electrochemical plating | Lien Chen-Kuang |
10705436 | Overlay mark and method of fabricating the same | Wen Cheng-Yu |
10705766 | 3D cross-bar nonvolatile memory | Colinge Jean-Pierre |
10705934 | Scan synchronous-write-through testing architectures for a memory device | Chang Ming-Hung |
10706918 | Memory with symmetric read current profile and read method thereof | Chen Yuhsiang |
10706934 | Failure detection circuitry for address decoder for a data storage device | Fujiwara Hidehiro |
10707072 | Semiconductor structure with etched fin structure | Wang Shiang-Bau |
10707081 | Fine line patterning methods | Huang Shih-Chun |
10707084 | Method of manufacturing wafer level chip scale package | Hu Yu-Hsiang |
10707094 | Semiconductor package and manufacturing process thereof | Chen Yu-Feng |
10707114 | Method of forming isolation layer | Tsai Teng-Chun |
10707123 | Etch profile control of interconnect structures | Ke Allen |
10707131 | Semiconductor device and manufacturing method thereof | Lim Peng-Soon |
10707139 | Method for adjusting etching parameters | Lien Kuo-Sheng |
10707142 | Semiconductor package and method manufacturing the same | Hsu Feng-Cheng |
10707149 | Through-silicon via with low-K dielectric liner | Chen Ming-Fa |
10707165 | Semiconductor device having an extra low-k dielectric layer and method of forming the same | Shih Po-Cheng |
10707173 | Package structure and manufacturing method thereof | Wu Kai-Chiang |
10707177 | Thermal interface material having different thicknesses in packages | Huang Sung-Hui |
10707179 | Semiconductor structure and method for forming the same | Wu Tung-Jiun |
10707199 | Integrated circuit layout and method of configuring the same | Lin Chung-Te |
10707295 | Memory device and fabrication method thereof | Cheng An-Hao |
10707315 | Hybrid doping profile | Kwong Henry |
10707316 | Structure and formation method of semiconductor device structure with gate structure | Huang Yi-Ching |
10707318 | Semiconductor device and method of manufacturing the same | Chien Jui-Fen |
10707320 | Field effect transistors with ferroelectric dielectric materials | Lin Cheng-Ming |
10707328 | Method of forming epitaxial fin structures of finFET | Sung Hsueh-Chang |
10707331 | FinFET device with a reduced width | Fung Ka-Hing |
10707333 | Semiconductor device and manufacturing method thereof | Huang Yao-Sheng |
10707334 | Reduction of fin loss in the formation of FinFETs | Chui Chi On |
10707347 | Transistor with a negative capacitance and a method of creating the same | Yuan Feng |
10707349 | FinFETs with source/drain cladding | Chiang Kuo-Cheng |
10707361 | QE approach by double-side, multi absorption structure | Huang Po-Han |
10707853 | Integrated circuit and method of testing | Chien Jinn-Yeh |
10710871 | MEMS devices including MEMS dies and connectors thereto | Cheng Chun-Wen |
10710872 | MEMS package with roughend interface | Chen Yu-Jui |
10712333 | Semiconductor device for determining a biomolecule characteristic | Chen Kun-Lung |
10712500 | Semiconductor device and manufacturing method of the same | Chang Kai-Fung |
10712651 | Method and apparatus for collecting information used in image-error compensation | Cho Hung-Wen |
10712676 | Radiation source apparatus, EUV lithography system, and method for decreasing debris in EUV lithography system | Yang Chi |
10713407 | Standard cell and semiconductor device including anchor nodes | Tsai Nien-Yu |
10713410 | Method for legalizing mixed-cell height standard cells of IC | Wang Chao-Hung |
10714168 | Strap cell design for static random access memory (SRAM) array | Pao Chia-Hao |
10714181 | Memory cell | Fujiwara Hidehiro |
10714329 | Pre-clean for contacts | Lin Yu-Ting |
10714334 | Conductive feature formation and structure | Chang Cheng-Wei |
10714342 | Semiconductor device and method of forming the same | Chiang Hsin-Che |
10714344 | Mask formation by selectively removing portions of a layer that have not been implanted | Chang Tien-Shun |
10714347 | Cut metal gate processes | Jang Shu-Uei |
10714348 | Semiconductor device having hydrogen in a dielectric layer | Luan Hongfa |
10714349 | Semiconductor device and manufacturing method thereof | Colinge Jean-Pierre |
10714357 | Methods for improved critical dimension uniformity in a semiconductor device fabrication process | Hung Chi-Cheng |
10714359 | Substrate design for semiconductor packages and method of forming same | Cheng Jung Wei |
10714364 | Apparatus and method for inspecting wafer carriers | Hu Cheng-Kang |
10714371 | Method and apparatus for lithography in semiconductor fabrication | Kuo Chueh-Chi |
10714383 | Interconnect structure and method of forming the same | Chen Jeng-Shiou |
10714384 | Semiconductor device and manufacturing method thereof | Yang Ming-Hui |
10714394 | Fin isolation structures of semiconductor devices | Ching Kuo-Cheng |
10714395 | Fin isolation structure for FinFET and method of forming the same | Lee Chu-An |
10714414 | Planarizing RDLS in RDL—First Processes Through CMP Process | Wang Po-Han |
10714421 | Structure and formation method of semiconductor device with self-aligned conductive features | Yang Tai-I |
10714423 | Through via structure and method | Lin Yung-Chi |
10714424 | Method of forming metal interconnection | Yang Shin-Yi |
10714426 | Semiconductor package and method of forming the same | Hsieh Cheng-Hsien |
10714432 | Layout to reduce noise in semiconductor devices | Cheng Chih-Chang |
10714433 | Semiconductor structure and method for manufacturing the same | Chen Pu-Fang |
10714441 | Filter and capacitor using redistribution layer and micro bump layer | Yen Hsiao-Tsung |
10714442 | Interconnect structures and methods of forming same | Lu Wen-Hsiung |
10714457 | Semiconductor packages and methods of forming same | Yu Chen-Hua |
10714463 | Method of forming semicondcutor device package | Lin Po-Yao |
10714475 | Semiconductor device and manufacturing method thereof | Wang Sung-Li |
10714484 | SRAM structure | Chang Feng-Ming |
10714485 | Semiconductor device which includes Fins | Chen Chih-Liang |
10714487 | Semiconductor device and manufacturing method of a semiconductor device | Lee Yi-Jing |
10714488 | Using three or more masks to define contact-line-blocking components in FinFET SRAM fabrication | Huang Shih-Han |
10714516 | Image sensor with shallow trench edge doping | Lee Yueh-Chuan |
10714523 | Isolation structure and image sensor | Cheng Yun-Wei |
10714525 | Methods and apparatus for sensor module | Yee Kuo-Chung |
10714535 | Resistive memory array and fabricating method thereof | Lin Ku-Feng |
10714536 | Method to form memory cells separated by a void-free dielectric structure | Chen Hsia-Wei |
10714575 | Semiconductor device having interfacial layer and high K dielectric layer | Chuang Kuo-Sheng |
10714576 | Semiconductor device and method for manufacturing the same | Hung Chi-Cheng |
10714578 | Methods for forming recesses in source/drain regions and devices formed thereof | Huang Yu-Lien |
10714581 | Semiconductor device and manufacturing method thereof | Chang Che-Cheng |
10714586 | Semiconductor device and a method for fabricating the same | Hsu Chia-Ming |
10714587 | Method for fabricating metal gate devices and resulting structures | Wu Po-Chi |
10714588 | Metal gate process for FinFET device improvement | Chang Che-Cheng |
10714592 | Method of manufacturing a semiconductor device and a semiconductor device | Cheng Chao-Ching |
10714597 | FinFETs with low source/drain contact resistance | Huang Yu-Lien |
10714598 | Method of manufacturing semiconductor device | Wang Tsan-Chun |
10714600 | Bipolar junction transistor (BJT) base conductor pullback | Shyu Lih-Tien |
10714615 | Method and structure for FinFET devices | Lin Kuo-Yin |
10714619 | PMOS FinFET | Lee Wei-Yang |
10714620 | FinFETs and methods of forming FinFETs | Lin Chin-Hsiang |
10714621 | Semiconductor device and method of forming doped channel thereof | Wang Tai-Yuan |
10714679 | CMP stop layer and sacrifice layer for high yield small size MRAM devices | Yang Yi |
10714680 | Large height tree-like sub 30nm vias to reduce conductive material re-deposition for sub 60nm MRAM devices | Yang Yi |
10715137 | Generating high dynamic voltage boost | Chern Chan-Hong |
10715718 | Phase detect auto-focus three dimensional image capture system | Liu Chih-Min |
10715924 | MEMS microphone having diaphragm | Cheng Chun-Wen |
10717114 | Cup wash disk with shims | Teng Hua-Kuang |
10718048 | Target structure of physical vapor deposition | Chen Ping-Yuan |
10718718 | EUV vessel inspection method and related system | Chang Chun-Lin Louis |
10718790 | Devices for high-density probing techniques and method of implementing the same | Wang Mill-Jer |
10719020 | Droplet generator and method of servicing extreme ultraviolet radiation source apparatus | Chen Yen-Hsun |
10719067 | System and method for dispatching lot | You Ren-Chyi |
10719648 | System and method for system-level parameter estimation | Huang Tze-Chiang |
10719652 | Electromigration sign-off tool | Hsien Yu-Tseng |
10720206 | Serialized SRAM access to reduce congestion | Chen Hsin-Cheng |
10720214 | Non-volatile memory device and method for controlling the non-volatile memory device | Tseng Yu-Wen |
10720344 | Semiconductor fabrication with electrochemical apparatus | Kelly Andrew Joseph |
10720358 | Semiconductor device having a liner layer with a configured profile and method of fabricating thereof | Yin Joanna Chaw Yane |
10720360 | Semiconductor die singulation and structures formed thereby | Chang Fu-Chen |
10720361 | Methods and apparatus for MOS capacitors in replacement gate process | Wang Pai-Chieh |
10720362 | Semiconductor device and manufacturing method thereof | Chang Kuei-Ming |
10720385 | Semiconductor structure | Chen Ying-Ju |
10720386 | Etch stop layer in integrated circuits | JangJian Shiu-Ko |
10720387 | Tank circuit structure and method of making the same | Yen Hsiao-Tsung |
10720388 | Wireless charging package with chip integrated in coil center | Yu Chen-Hua |
10720399 | Semicondcutor package and manufacturing method of semicondcutor package | Liang Fang-Yu |
10720401 | Interconnect chips | Ting Kuo-Chiang |
10720403 | Integrated fan-out package structures with recesses in molding compound | Tsai Po-Hao |
10720409 | Semiconductor packages with thermal-electrical-mechanical chips and methods of forming the same | Yu Chen-Hua |
10720416 | Semiconductor package including thermal relaxation block and manufacturing method thereof | Chen Shih-Wei |
10720419 | Layout modification method for exposure manufacturing process | Cho Hung-Wen |
10720430 | Forming doped regions in semiconductor strips | Huang Shih-Wen |
10720431 | Methods of fabricating semiconductor devices having gate-all-around structure with oxygen blocking layers | Cheng Chung-Liang |
10720436 | SRAM cell and logic cell design | Chen Fang |
10720460 | High dielectric constant dielectric layer forming method, image sensor device, and manufacturing method thereof | Tsai Tsung-Han |
10720487 | Structure and formation method of semiconductor device with magnetic element | Ku Chin-Yu |
10720495 | Semiconductor device and manufacturing method thereof | Yu Tsung-Yuan |
10720496 | FinFET structures and methods of forming the same | Peng Cheng-Yi |
10720503 | Method for manufacturing semiconductor device | Ching Kuo-Cheng |
10720507 | FinFET device and method of manufacture | Lin Hsiang-Wei |
10720514 | Semiconductor device and manufacturing method thereof | Wang Chih-Hao |
10720516 | Gate stack structure and method for forming the same | Huang Ming-Chi |
10720526 | Stress modulation for dielectric layers | Ko Chung-Ting |
10720529 | Source/drain junction formation | Tsai Chun Hsiung |
10720530 | Semiconductor device and methods of forming same | Ma Chih-Yu |
10720568 | Semiconductor structure and method of manufacturing the same | Sung Fu-Ting |
10720571 | Magnetic memory structure and method of forming the same | Chuang Harry-Hak-Lay |
10720581 | Barrier layer for resistive random access memory | Tsai Tzu Chung |
10720788 | Wireless charging devices having wireless charging coils and methods of manufacture thereof | Yu Chen-Hua |
10724140 | Thermal chemical vapor deposition system and operating method thereof | Lo Yen-Chan |
10725090 | Test circuit and method | Wang Mill-Jer |
10725384 | Communication control method | Chang Chao-Chen |
10726174 | System and method for simulating reliability of circuit design | Lin Chin-Shen |
10726191 | Method and system for manufacturing a semiconductor device | Huang Hsuan-Ming |
10726916 | Resistive memory device with trimmable driver and sinker and method of operations thereof | Chou Chung-Cheng |
10726918 | Resistive random access memory device | Lee Chia-Fu |
10727045 | Method for manufacturing a semiconductor device | Tsai Wan-Lin |
10727061 | Method for integrated circuit patterning | Yang Tsung-Lin |
10727064 | Post UV cure for gapfill improvement | Yu De-Wei |
10727066 | Semiconductor device and methods of manufacture | Tsai Jung-Shiung |
10727068 | Method for manufacturing semiconductor structure with mask structure | Lin Hsin-Ying |
10727069 | Self-aligned contact and manufacturing method thereof | Tang Bang-Tai |
10727074 | Method and system for thinning wafer thereof | Hwang Chien Ling |
10727076 | Slurry and manufacturing semiconductor using the slurry | Liao Chun-Hung |
10727077 | Structure and method to expose memory cells with different sizes | Chen Sheng-Chau |
10727082 | Semiconductor device and manufacturing method thereof | Chang Shou Zen |
10727094 | Thermal reflector device for semiconductor fabrication tool | Hung Shih-Wei |
10727097 | Mechanisms for cleaning substrate surface for hybrid bonding | Chen Sheng-Chau |
10727110 | Semiconductor device and method of manufacturing the same | Hsiao Meng-Hsuan |
10727111 | Interconnect structure | Yu Hung-Chih |
10727113 | Methods of forming metal layer structures in semiconductor devices | Hsiao Ethan |
10727117 | Method for manufacturing semiconductor structure | Liao Yu-Hsiang |
10727118 | Method for manufacturing semiconductor device and pre-clean apparatus for semiconductor device | Wu Jung-Tang |
10727131 | Source and drain epitaxy re-shaping | Li Kun-Mu |
10727132 | Fin field effect transistor, semiconductor device and method for fabricating the same | Chang Che-Cheng |
10727134 | Methods of fabricating semiconductor devices with gate-all-around structure | Wang Pei-Hsun |
10727135 | FinFET with sloped surface at interface between isolation structures and manufacturing method thereof | Li Chih-Sheng |
10727137 | Structure and formation method of fin-like field effect transistor | Jangjian Shiu-Ko |
10727147 | Semiconductor device and method of manufacture | Yeh Shu-Shen |
10727164 | Semiconductor structure and manufacturing method for the same | Kao Min-Feng |
10727177 | Semiconductor device and layout design thereof | Lin Chung-Te |
10727178 | Via structure and methods thereof | Chang Che-Cheng |
10727191 | Semiconductor device with post passivation structure | Singh Gulbagh |
10727198 | Semiconductor package and method manufacturing the same | Hsu Feng-Cheng |
10727201 | Packages formed using RDL-last process | Chen Ming-Fa |
10727205 | Hybrid bonding technology for stacking integrated circuits | Wu Kuo-Ming |
10727211 | Package structure with dummy die | Chen Hsien-Wei |
10727217 | Method of manufacturing semiconductor device that uses bonding layer to join semiconductor substrates together | Chen Ming-Fa |
10727218 | Seal ring structures and methods of forming same | Wu Kuo-Ming |
10727222 | Memory system and memory cell having dense layouts | Lu Hau-Yan |
10727223 | Thin film resistor | Yu Hung-Chih |
10727226 | Semiconductor structure and method for forming the same | Chen Chia-Cheng |
10727227 | Fin-type field effect transistor structure and manufacturing method thereof | Chang Che-Cheng |
10727229 | Structure and method for semiconductor device | Lee Yi-Jing |
10727230 | Integrated semiconductor device with 2D material layer | Peng Cheng-Yi |
10727237 | Semiconductor structure | Liaw Jhon-Jhy |
10727238 | Integrated circuit chip and manufacturing method thereof | Liaw Jhon-Jhy |
10727265 | Multiple deep trench isolation (MDTI) structure for CMOS image sensor | Wu Wei Chuang |
10727272 | Semiconductor structure and manufacturing method of the same | Chuang Harry-Hak-Lay |
10727274 | Techniques for MRAM top electrode via connection | Chen Sheng-Chang |
10727275 | Memory layout for reduced line loading | Chang Chih-Yang |
10727294 | Semiconductor devices, methods of manufacture thereof, and capacitors | Chiou Wen-Chih |
10727298 | Strained nanowire CMOS device and method of forming | Peng Cheng-Yi |
10727301 | Semiconductor liner of semiconductor device | Chiang Kuo-Cheng |
10727314 | FinFET with a semiconductor strip as a base | Chiang Kuo-Cheng |
10727319 | Dislocation SMT for FinFET device | Lo Wen-Cheng |
10727321 | Structure and formation method of semiconductor device structure with a dummy fin structure | Chen Lung |
10727328 | Semiconductor device and manufacturing method thereof | Wu Chao-Hsin |
10727329 | Semiconductor device | Lin Yu-Syuan |
10727334 | Lateral DMOS device with dummy gate | Ng Chun-Wai |
10727337 | Semiconductor device and manufacturing method thereof | Tu Kuo-Chi |
10727340 | Strained structure of a semiconductor device | Cheng Chun-Fai |
10727342 | Source and drain stressors with recessed top surfaces | Li Kun-Mu |
10727343 | Semiconductor device having fin structures | Lin Yu-Kuan |
10727344 | Method of manufacturing a semiconductor device with multilayered channel structure | Cheng Chao-Ching |
10727346 | FinFET device and method of forming | Chen Xi-Zong |
10727347 | Semiconductor device and a method for fabricating the same | Huang Yu-Lien |
10727350 | Multi-layer film device and method | Chang Yao-Jen |
10727351 | Semiconductor structures and methods with high mobility and high energy bandgap materials | Wu Cheng-Hsien |
10727399 | Top electrode cap structure for embedded memory | Chang Yao-Wen |
10727401 | Magnetic random access memory | Niu Baohua |
10727427 | Method of manufacturing a field effect transistor using carbon nanotubes and a field effect transistor | Vasen Timothy |
10727831 | Multi-voltage input output device | Pan Lei |
10731552 | Oscillating assembly, exhaust system including the same and method of using | Huang Po-Hsuan |
10732120 | Method of evaluating characteristics of ion implanted sample | Chuang Kuo-Sheng |
10732209 | Semiconductor test device and manufacturing method thereof | Peng Cheng-Yi |
10732210 | Sensor and method of sensing a value of a parameter | Tai Chia Liang |
10733327 | Method and apparatus for protecting a PUF generator | Lu Shih-Lien Linus |
10733352 | Integrated circuit and layout method for standard cell structures | Chen Sheng-Hsiung |
10733353 | System and method for forming integrated device | Yang Yu-Tao |
10734066 | Static random access memory with write assist circuit | Fujiwara Hidehiro |
10734206 | Techniques for detecting micro-arcing occurring inside a semiconductor processing chamber | Wu Feng-Kuang |
10734227 | Semiconductor device and method | Li Dong-Sheng |
10734231 | Systems and methods for a tunable electromagnetic field apparatus to improve doping uniformity | Lai Chien-An |
10734240 | Method and equipment for performing CMP process | Liu Wen-Kuei |
10734246 | Heat shield for chamber door and devices manufactured using same | Chuang Meng-Je |
10734254 | Brush cleaning apparatus, chemical-mechanical polishing (CMP) system and wafer processing method | Lin Chang-Sheng |
10734263 | Semiconductor processing boat design with pressure sensor | Ang Ai-Tee |
10734275 | Metal routing with flexible space formed using self-aligned spacer patterning | Liu Hsiang-Wei |
10734279 | Semiconductor package device with integrated antenna and manufacturing method thereof | Liao Wen-Shiang |
10734283 | Semiconductor device and a method for fabricating the same | Chen Hui-Chi |
10734285 | Bonding support structure (and related process) for wafer stacking | Li Sheng-Chan |
10734292 | Method for testing bridging in adjacent semiconductor devices and test structure | Lin Meng-Han |
10734294 | System and method for measuring and improving overlay using electronic microscopic imaging and digital processing | Ho Cheng-Ming |
10734295 | Interposer test structures and methods | Liu Tzuan-Horng |
10734299 | Package with tilted interface between device die and encapsulating material | Chiu Ming-Yen |
10734321 | Integrated circuit and method of manufacturing same | Wang Pochun |
10734323 | Package structures | Lin Chun-Wen |
10734325 | Method for forming semiconductor device structure with overlay grating | Chen Long-Yi |
10734328 | Semiconductor package and manufacturing method thereof | Teng Po-Yuan |
10734330 | Semiconductor devices having an electro-static discharge protection structure | Chang Yi-Feng |
10734339 | Bond pad structure for bonding improvement | Chen Chia-Chan |
10734341 | Via structure for packaging and a method of forming | Ho Ming-Che |
10734345 | Packaging through pre-formed metal pins | Yu Chen-Hua |
10734347 | Dummy flip chip bumps for reducing stress | Wu Sheng-Yu |
10734348 | Bonded semiconductor devices and methods of forming the same | Yu Chen-Hua |
10734357 | Chip package structure with molding layer | Chen Wei-Yu |
10734377 | Integrated circuit, system for and method of forming an integrated circuit | Sio Kam-Tou |
10734394 | Cell boundary structure for embedded memory | Liu Ming Chyi |
10734398 | Flash memory structure with enhanced floating gate | Huang Hung-Shu |
10734411 | Systems and methods for a semiconductor structure having multiple semiconductor-device layers | Lin Yi-Tang |
10734419 | Imaging device with uniform photosensitive region array | Takahashi Seiji |
10734423 | Semiconductor switching device separated by device isolation | Kao Min-Feng |
10734427 | Method for forming image sensor device | Fang Chun-Chieh |
10734428 | Image sensor device | Chen Szu-Ying |
10734429 | Pad structure for backside illuminated (BSI) image sensors | Cheng Ching-Hung |
10734436 | Method of using a surfactant-containing shrinkage material to prevent photoresist pattern collapse caused by capillary forces | Chiu Wei-Chao |
10734472 | Negative capacitance FET with improved reliability performance | Lu Chun-Chieh |
10734474 | Metal-insulator-metal structure and methods of fabrication thereof | Huang Chih-Fan |
10734478 | Semiconductor device and manufacturing method thereof | Liaw Jhon-Jhy |
10734489 | Method for forming semiconductor device structure with metal silicide layer | Singh Gulbagh |
10734500 | Horizontal gate all-around device having wrapped-around source and drain | Lin Chun-Hsiung |
10734503 | Asymmetric semiconductor device | Colinge Jean-Pierre |
10734517 | Integrated circuits having source/drain structure | Huang Shih-Hsien |
10734519 | Structure and method for FinFET device with asymmetric contact | Liaw Jhon Jhy |
10734520 | MOS devices having epitaxy regions with reduced facets | Sung Hsueh-Chang |
10734522 | Structure and formation method of semiconductor device structure with gate stacks | Yang Ya-Wen |
10734524 | Semiconductor device with multi-layered source/drain regions having different dopant concentrations and manufacturing method thereof | Ma Chih-Yu |
10734580 | Memory device and fabrication method thereof | Peng Tai-Yen |
10735001 | Level shifter circuit and method of operating the same | Ou Yu-Lun |
10737931 | Semiconductor structure and manufacturing method thereof | Chang Yi-Hsien |
10737936 | Semiconductor structure and method for fabricating the same | Shen Wei-Cheng |
10738935 | Apparatus for storing and transporting semiconductor elements, and method of making the same | Hsu Tse-Lun |
10739295 | Integrated reference electrode and fluid dispenser | Wen Chin-Hua |
10739671 | Method of manufacturing phase shift photo masks | Tien Chun-Chieh |
10739673 | Preparing patterned neutral layers and structures prepared using the same | Lo Kuan-Hsin |
10739682 | Edge-exposure tool with an ultraviolet (UV) light emitting diode (LED) | Wang Ying-Hao |
10740174 | Memory address protection circuit and method | Adham Saman M. I. |
10741366 | Process chamber and wafer processing method | Huang Chien Kuo |
10741381 | CMP cleaning system and method | Kuo Kaw-Wei |
10741391 | Method for forming semiconductor structure by patterning resist layer having inorganic material | Zi An-Ren |
10741392 | Method for forming semiconductor structure | Su Chia-Wei |
10741400 | Gate replacement structures in semiconductor devices | Tsau Hsueh Wen |
10741404 | Package structure and method of manufacturing the same | Hsu Feng-Cheng |
10741408 | Fin field effect transistor (FinFET) device structure and method for forming the same | Chen Chang-Yin |
10741410 | Material composition and methods thereof | Zi An-Ren |
10741412 | Gate structure of semiconductor device | Wang Tsan-Chun |
10741417 | Method for forming interconnect structure | Tien Hsi-Wen |
10741426 | Method for controlling temperature of furnace in semiconductor fabrication process | Lo Jian-Lun |
10741433 | Systems and methods for wafer pod alignment | Liu Chao-Hsiang |
10741438 | Low-resistance contact plugs and method forming the same | Koh Shao-Ming |
10741442 | Barrier layer formation for conductive feature | Kuo Chia-Pang |
10741450 | Semiconductor device having a metal gate and formation method thereof | Chang Chang-Yun |
10741467 | Die-on-interposer assembly with dam structure and method of manufacturing the same | Wu Chih-Wei |
10741477 | Semiconductor devices and methods of forming the same | Yang Cheng-Lung |
10741488 | Semiconductor device with integrated capacitor and manufacturing method thereof | Chou Chung-Yen |
10741490 | Device and package structure | Chen Hsien-Wei |
10741493 | Interconnection structure and method for forming the same | Chan Yu-Chen |
10741506 | Seal ring for hybrid-bond | Hu Chih-Chia |
10741508 | Semiconductor device having antenna and manufacturing method thereof | Wan Albert |
10741511 | Fan-out package and methods of forming thereof | Shih Wan-Ting |
10741512 | Semiconductor package and method of forming the same | Wu Chi-Hsi |
10741513 | Conductive external connector structure and method of forming | Shih Meng-Fu |
10741520 | Method of controlling bump height variation | Lin Jing-Cheng |
10741539 | Standard cells and variations thereof within a standard cell library | Chen Sheng-Hsiung |
10741543 | Device including integrated electrostatic discharge protection component | Chang Tzu-Heng |
10741553 | Method of making a high speed semiconductor device | Fu Shu Fang |
10741555 | Metal gate modulation to improve kink effect | Lin Meng-Han |
10741558 | Nanosheet CMOS device and method of forming | Chiang Hsin-Che |
10741569 | Semiconductor device and manufacturing method thereof | Liu Chen-Chin |
10741601 | Image sensing device and manufacturing method thereof | Huang Chih-Chang |
10741642 | Formation of dislocations in source and drain regions of finFET devices | Tsai Chun Hsiung |
10741646 | Field-effect transistors having contacts to 2D material active region | Yeh Ling-Yen |
10741654 | Semiconductor device and forming method thereof | Li Chung-Ting |
10741662 | Gate spacer and method of forming | Tsai Chun Hsiung |
10741665 | Method of forming a high electron mobility transistor | Hsu Chun-Wei |
10741667 | Method of manufacturing a protective stack on a semiconductor fin | Chen Yi-Lun |
10741671 | Method for manufacturing semiconductor device | Lo Yi-Chen |
10741672 | Gate structure for semiconductor device | Ching Kuo-Cheng |
10741674 | Selective silicon growth for gapfill improvement | Yu De-Wei |
10741678 | Semiconductor device and manufacturing method thereof | Lu Chun-Chieh |
10741688 | Structure and method for integrated circuit | Kuang Shin-Jiun |
10745268 | Method of stiction prevention by patterned anti-stiction layer | Chang Kuei-Sung |
10745271 | Method for integrating complementary metal-oxide-semiconductor (CMOS) devices with microelectromechanical systems (MEMS) devices using a flat surface above a sacrificial layer | Cheng Chun-Wen |
10746542 | Line edge roughness analysis using atomic force microscopy | Hu Wei-Shan |
10746635 | Method and apparatus for inspecting process solution, and sample preparation apparatus in inspection | Chuang Tzu-Sou |
10746693 | Biosensor device and related method | Huang Jui-Cheng |
10746923 | Photonic semiconductor device and method | Yu Chen-Hua |
10747097 | Mask with multilayer structure and manufacturing method by using the same | Shih Chih-Tsung |
10747103 | Pellicle fabrication methods and structures thereof | Lin Yun-Yue |
10747105 | Cleaning module, cleaning apparatus and method of cleaning photomask | Pan Yi-Hsun |
10747114 | Blocking layer material composition and methods thereof in semiconductor manufacturing | Wang Siao-Shan |
10747119 | Apparatus and method for monitoring reflectivity of the collector for extreme ultraviolet radiation source | Huang Yu-Chih |
10747128 | Exposure method and exposure apparatus | Lee Yung-Yao |
10747924 | Method for manufacturing integrated circuit with aid of pattern based timing database indicating aging effect | Pittu Ravi Babu |
10747938 | Method for integrated circuit manufacturing | Wang Hung-Chun |
10748760 | Varying temperature anneal for film and structures formed thereby | Liao Shu Ling |
10748765 | Multi-layer mask and method of forming same | Liou Joung-Wei |
10748767 | Method for forming conductive lines | Yen Yung-Sung |
10748768 | Method for mandrel and spacer patterning | Lin Yu Chao |
10748774 | Semiconductor device and manufacturing method thereof | Hong Ming-Hwei |
10748775 | Semiconductor device structure with silicide and method for forming the same | Lin Chun-Hsiung |
10748785 | Substrate pad structure | Liu Hao-Juin |
10748798 | Wireless camera wafer for vacuum chamber diagnostics | Tsai Tzu-Chung |
10748803 | Method and apparatus for bonding semiconductor devices | Tsai Yan-Zuo |
10748806 | Apparatus and system for preventing backside peeling defects on semiconductor wafers | Chen Yen-Yu |
10748808 | Dielectric gap-filling process for semiconductor device | Lin Cheng-I |
10748809 | Semiconductor structure including inter-layer dielectric | Sung Ta-wei |
10748810 | Method of manufacturing an integrated inductor with protections caps on conductive lines | Yang Ting-Li |
10748813 | Fin-like field effect transistor device | Wang Shih-Wei |
10748820 | Source/drain features with an etch stop layer | Chu Feng-Ching |
10748825 | Package and method for integration of heterogeneous integrated circuits | Lee Wan-Yu |
10748831 | Semiconductor packages having thermal through vias (TTV) | Hsu Sen-Kuei |
10748849 | Tapering discrete interconnection for an integrated circuit (IC) | Bindra Jaskirat |
10748861 | Package structure and manufacturing method thereof | Wu Kai-Chiang |
10748869 | Protective layer for contact pads in fan-out interconnect structure and method of forming same | Chang Chin-Chuan |
10748870 | Tri-layer COWOS structure | Yu Chen-Hua |
10748876 | Input output for an integrated circuit | Fu Chin-Ming |
10748882 | Structure and formation method for chip package | Hung Jui-Pin |
10748895 | Semiconductor arrangement and formation thereof | Chuang Harry-Hak-Lay |
10748896 | Method for fabricating semiconductor device including contact bars having narrower portions | Chang Chih-Hao |
10748898 | Metal gate structure and methods thereof | Huang Ming-Chi |
10748899 | Epitaxial source and drain structures for high voltage devices | Chen Yi-Huan |
10748902 | Fin-based device having an isolation gate comprising a conformal dielectric layer and a metal gate | Liaw Jhon Jhy |
10748907 | Embedded transistor | Ting Yu-Wei |
10748911 | Integrated circuit for low power SRAM | Singh Gulbagh |
10748912 | Method for semiconductor device fabrication with improved source drain proximity | Lin Chih-Han |
10748935 | Stacked vertically isolated MOSFET structure and method of forming the same | Huang Yu-Shiang |
10748948 | Image sensor device and method | Chu Yen-Chang |
10748967 | Image sensors with organic photodiodes and methods for forming the same | Liang Chin-Wei |
10748986 | Structure and formation method of semiconductor device with capacitors | Luo Guo-Jyun |
10749004 | Semiconductor device having a multi-layer diffusion barrier | Lin Jyh-nan |
10749007 | Gate structure with desired profile for semiconductor devices | Wang Ricky |
10749008 | Gate structure, semiconductor device and the method of forming semiconductor device | Tsai Chun-Hsiung |
10749010 | Method for manufacturing finFET structure with doped region | More Shahaji B. |
10749013 | Semiconductor device and method for fabricating the same | Lee Yen-Ru |
10749014 | Method and structure for FinFET comprising patterned oxide and dielectric layer under spacer features | Chang Che-Cheng |
10749029 | Semiconductor device and manufacturing method thereof | Li Kun-Mu |
10749108 | Logic compatible RRAM structure and process | Chang Chih-Yang |
10749278 | Method of electroplating metal into recessed feature and electroplating layer in recessed feature | Nian Jun-Nan |
10749537 | Hybrid phase lock loop | Tsai Tsung-Hsien |
10752497 | Semiconductor structure for MEMS device | Liu Yu-Chia |
10752995 | Material delivery system and method | Liu Ke-Chih |
10753990 | Method and apparatus for measuring magnetic field strength | Niu Baohua |
10754000 | Multi-probe ferromagnetic resonance (FMR) apparatus for wafer level characterization of magnetic films | Guisan Santiago Serrano |
10755405 | Method and system for diagnosing a semiconductor wafer | Chen Yen-Liang |
10755768 | Semiconductor device including distributed write driving arrangement and method of operating same | Fujiwara Hidehiro |
10755770 | Circuit and method for writing to a bit cell | Aggarwal Pankaj |
10755780 | Memory sense amplifier with precharge | Lin Zheng-Jun |
10755917 | Treatment for adhesion improvement | Chen Ching-Yi |
10755924 | Material having single crystal perovskite, device including the same, and manufacturing method thereof | Yang Bo-Yu |
10755927 | Anti-reflective gap filling materials and methods | Su Yu-Chung |
10755934 | Systems and methods for chemical mechanical polish and clean | Suen Shich-Chang |
10755936 | Loading effect reduction through multiple coat-etch processes | Chen Jin-Dah |
10755938 | Metal gate and manufacturing method thereof | Hung Chi-Cheng |
10755943 | Method for manufacturing semiconductor device | Chang Jung-Hao |
10755945 | Metal contacts on metal gates and methods thereof | Chang Pang-Sheng |
10755953 | Cluster tool techniques with improved efficiency | Lin Su-Horng |
10755961 | Semiconductor tool with a shield | Wu Tzung-Chen |
10755964 | Source/drain isolation structure and methods thereof | Huang Lin-Yu |
10755968 | Method of forming semiconductor structure having layer with re-entrant profile | Chen Yi-Shan |
10755970 | Semiconductor device structures | Chiang Hsin-Che |
10755972 | Semiconductor device and method | Chen Nai-Chia |
10755974 | Interconnect structure and method of forming same | Chu Ming-Hui |
10755977 | Semiconductor device and method for manufacturing the same | You Jia-Chuan |
10755978 | Shared contact structure and methods for forming the same | Hsu Leo |
10755983 | Fin isolation structures of semiconductor devices | Chiang Kuo-Cheng |
10755995 | Warpage control of semiconductor die | Wang Yun-Ting |
10756007 | Pad design for reliability enhancement in packages | Chen Jie |
10756010 | Semiconductor device packaging structure having through interposer vias and through substrate vias | Yu Chen-Hua |
10756016 | Interconnection structure and methods of fabrication the same | Chang Shih-Ming |
10756017 | Contact structure and method of forming | Lin Yu-Hung |
10756037 | Package structure and fabricating method thereof | Huang Li-Hsien |
10756038 | Semiconductor package and manufacturing method thereof | Chiu Ming-Yen |
10756052 | Method of manufacturing integrated fan-out package | Wan Albert |
10756058 | Semiconductor package and manufacturing method thereof | Shih Ying-Ching |
10756064 | Manufacturing method of semiconductor package | Hsu Feng-Cheng |
10756079 | Methods for forming integrated circuit having guard rings | Sheu Ming-Song |
10756082 | Method of forming electrostatic discharge (ESD) testing structure | Chang Tzu-Heng |
10756083 | Device with a high efficiency voltage multiplier | Lin Yu-Tso |
10756086 | Method for manufacturing semiconductor and structure and operation of the same | Huang Fu-Chun |
10756087 | Semiconductor device and method | Wu Chung-Chiang |
10756089 | Hybrid semiconductor transistor structure and manufacturing method for the same | Chiang Hung-Li |
10756094 | Three-dimensional static random access memory device structures | Huang Chien-Yu |
10756114 | Semiconductor circuit with metal structure and manufacturing method | Liaw Jhon Jhy |
10756162 | Structure and formation method of semiconductor device with magnetic element | Chen Chi-Cheng |
10756171 | Integrated circuit device with source/drain barrier | Chu Feng-Ching |
10756174 | Multiple-stacked semiconductor nanowires and source/drain spacers | Van Dal Mark |
10756192 | Semiconductor device and method for manufacturing the same | Cheng Kai-Yu |
10756196 | Semiconductor device and method of manufacturing the same | Lin Chun-Hsiung |
10756197 | Semiconductor device and method of manufacturing the same | Lin Chun-Hsiung |
10756199 | Fin field effect transistors having conformal oxide layers and methods of forming same | Chen Chia-Cheng |
10756208 | Integrated chip and method of forming the same | Lu Hui-Ting |
10756222 | Backside illuminated photo-sensitive device with gradated buffer layer | Cheng Yu-Hung |
10756255 | Semiconductor device with asymmetrical pinned magnets, and method of manufacture | Song Mingyuan |
10756258 | Memory device and fabrication method thereof | Liao Wei-Hao |
10756271 | Methods of graphene growth and related structures | Chen Miin-Jang |
10756735 | Temperature instability-aware circuit | Chen Chia-Hui |
10759654 | Rough anti-stiction layer for MEMS device | Chen Yu-Jui |
10760896 | Ellipsometer and method for estimating thickness of film | Hsu Feng Yuan |
10761154 | Ferromagnetic resonance (FMR) electrical testing apparatus for spintronic devices | Jan Guenole |
10761423 | Chemical composition for tri-layer removal | Chen Li-Min |
10761427 | Photoresist and method of formation and use | Lin Keng-Chu |
10761927 | Detection and correction of data bit errors using error correction codes | Lu Shih-Lien Linus |
10762269 | Method of fabricating a semiconductor device | Lum Annie |
10762319 | Fingerprint sensor and manufacturing method thereof | Tai Chih-Hsuan |
10762621 | Semiconductor wafer measurement method and system | Chen Peng-Ren |
10762931 | Memory power management | Jain Sanjeev Kumar |
10762934 | Leakage pathway prevention in a memory storage device | Wu Shang-Chi |
10762952 | Memory circuit configuration | Lu Shih-Lien Linus |
10762960 | Resistive random access memory device | Chih Yu-Der |
10763082 | Chamber of plasma system, liner for plasma system and method for installing liner to plasma system | Liao Chung-Hsien |
10763104 | Method of forming differential etch stop layer using directional plasma to activate surface on device structure | Ko Chung-Ting |
10763113 | Lithographic technique for feature cut by line-end shrink | Yen Yung-Sung |
10763114 | Method of fabricating gate oxide of semiconductor device | Kelly Andrew Joseph |
10763116 | Contact structure | Lin Hong-Ying |
10763117 | Semiconductor manufacturing apparatus and method thereof | Cheng Nai-Han |
10763132 | Release film as isolation film in package | Lin Jing-Cheng |
10763140 | Semiconductor processing station | Lu Chia-Wei |
10763162 | Interconnect structure of semiconductor device | Tsai Chia-Ching |
10763164 | Package structure with inductor and method of forming thereof | Chen Chih-Lin |
10763165 | Conductive powder formation method, device for forming conductive powder, and method of forming semiconductor device | Chou You-Hua |
10763168 | Semiconductor structure with doped via plug and method for forming the same | Hsieh Tung-Po |
10763178 | Semiconductor device structure | Tsai Ming-Heng |
10763198 | Monolithic 3D integration inter-tier vias insertion scheme and associated layout structure | Guo Ta-Pen |
10763206 | Method of fabricating integrated fan-out packages | Wang Po-Han |
10763208 | Semiconductor device and manufacturing method thereof | Liaw Jhon-Jhy |
10763211 | Semiconductor device and manufacturing method thereof | Lee Ming-Han |
10763229 | Semiconductor structure | Chen Vincent |
10763239 | Multi-chip wafer level packages and methods of forming the same | Chen Shuo-Mao |
10763253 | Structure and method for cooling three-dimensional integrated circuits | Lee Hui-Yu |
10763255 | Semiconductor device and manufacturing method thereof | Ching Kuo-Cheng |
10763258 | Integrated circuit and manufacturing method thereof | Chang Che-Cheng |
10763266 | Method for manufacturing static random access memory device | Liaw Jhon Jhy |
10763269 | Anti-fuse cell and chip having anti-fuse cells | Liaw Jhon-Jhy |
10763270 | Method for forming an integrated circuit and an integrated circuit | Chen Tzu-Yu |
10763280 | Hybrid FinFET structure | Liu Chien-Chen |
10763292 | Interconnect apparatus and method for a stacked semiconductor device | Lin Jeng-Shyan |
10763296 | Biometric sensor and methods thereof | Lin Chin-Min |
10763304 | Semiconductor structure and method of forming the same | Hsu Chern-Yow |
10763305 | Semiconductor structure with data storage structure | Hsiao Woan-Yun |
10763325 | Capacitor structure and method for manufacturing the same | Kao Chih-Kuang |
10763329 | Semiconductor device | Lo Wen-Shun |
10763337 | Fabrication of gate all around device | Wang Yung-Chih |
10763338 | Silicide implants | Wu Chia-Yang |
10763341 | Semiconductor device structure and method for forming the same | Fang Wen-Han |
10763362 | Fin field effect transistor (FinFET) device structure with stop layer and method for forming the same | Chang Che-Cheng |
10763363 | Gradient doped region of recessed fin forming a FinFET device | Lin Jyun-Hao |
10763365 | Metal rail conductors for non-planar semiconductor devices | Chen Chih-Liang |
10763366 | V-shape recess profile for embedded source/drain epitaxy | Li Chii-Horng |
10763368 | Stacked gate-all-around FinFET and method forming the same | Chiang Kuo-Cheng |
10763426 | Method for forming a flat bottom electrode via (BEVA) top surface for memory | Chen Hsia-Wei |
10763428 | Magnetic tunnel junction with low defect rate after high temperature anneal for magnetic device applications | Liu Huanlong |
10763863 | Semiconductor device for logic and memory co-optimization | Liaw Jhon Jhy |
10763876 | Apparatus, circuits and methods for calibrating a time to digital converter | Lin Yu-Tso |
10766763 | Sidewall stopper for MEMS device | Lin Shih-Wei |
10768527 | Resist solvents for photolithography applications | Su Yu-Chung |
10768534 | Photolithography apparatus and method and method for handling wafer | Shih Po-Ming |
10769342 | Pin access hybrid cell height design | Sio Kam-Tou |
10770122 | Memory input hold time adjustment | Katoch Atul |
10770131 | SRAM cell for interleaved wordline scheme | Fujiwara Hidehiro |
10770134 | SRAM based authentication circuit | Lin Chien-Chen |
10770135 | Memory macro which changes operational modes | Aggarwal Pankaj |
10770136 | Write assist circuit of memory device | Shah Jaspal Singh |
10770146 | Method and apparatus for PUF generator characterization | Lu Shih-Lien Linus |
10770288 | Selective capping processes and structures formed thereby | Chi Chih-Chien |
10770290 | Method for forming stacked nanowire transistors | Lee Tung Ying |
10770293 | Method for manufacturing a semiconductor device | Su Yu-Chung |
10770299 | Semiconductor device and method of forming the same | Liu Li-Jung |
10770302 | Semiconductor FinFET device and method | Wang Chih-Yu |
10770303 | Mechanisms for forming patterns using multiple lithography processes | Chang Shih-Ming |
10770304 | Hybrid double patterning method for semiconductor manufacture | Hsieh Ken-Hsien |
10770313 | Integrated fan-out package and manufacturing method thereof | Wu Kai-Chiang |
10770314 | Semiconductor device, tool, and method of manufacturing | Li Meng-Hsien |
10770327 | System and method for correcting non-ideal wafer topography | Lin Cheng-Mu |
10770331 | Semiconductor wafer device and manufacturing method thereof | Jang Bor-Ping |
10770345 | Integrated circuit and fabrication method thereof | Peng Tai-Yen |
10770354 | Method of forming integrated circuit with low-k sidewall spacers for gate stacks | Chen Yen-Ting |
10770356 | Contact structure and method of fabricating the same | Hsu Wan Hsuan |
10770358 | Semiconductor device and manufacturing method thereof | Van Dal Mark |
10770359 | Semiconductor device and method | Lee Yi-Jing |
10770365 | Package structures and methods of forming the same | Yu Chen-Hua |
10770366 | Integrated circuit packages and methods for forming the same | Tu Chia-Wei |
10770401 | Method for forming semiconductor device structure with conductive line | Jeng Chi-Cherng |
10770402 | Integrated fan-out package | Chiu Ming-Yen |
10770404 | Shielding for through-silicon-via noise coupling | Horng Jaw-Juinn |
10770405 | Thermal interface material having different thicknesses in packages | Huang Sung-Hui |
10770414 | Semiconductor structure having multiple dielectric waveguide channels and method for forming semiconductor structure | Liao Wen-Shiang |
10770427 | Chip package structure and method for forming the same | Li Ling-Wei |
10770428 | Semiconductor device and method | Yu Chen-Hua |
10770437 | Semiconductor package and manufacturing method of the same | Hung Jui-Pin |
10770448 | Methods of manufacturing semiconductor devices | Liaw Jhon Jhy |
10770449 | Integrated standard cell structure | Chen Fang |
10770469 | Semiconductor device and method of manufacturing the same | Lin Meng-Han |
10770501 | Back side illuminated image sensor with deep trench isolation structures and self-aligned color filters | Lee Kuo-Cheng |
10770502 | Semiconductor image sensor device having back side illuminated image sensors with embedded color filters | Chen Chiu-Jung |
10770559 | Gate structure and methods of forming metal gate isolation | Liang Chun-Sheng |
10770563 | Gate structure and patterning method for multiple threshold voltages | Cheng Chung-Liang |
10770569 | Semiconductor device | Lo Wei-Yang |
10770570 | FinFET device and methods of forming | Lin Yu-Chang |
10770571 | FinFET with dummy fins and methods of making the same | Hsu Chun-Hao |
10770588 | (110) surface orientation for reducing fermi-level-pinning between high-K dielectric and group III-V compound semiconductor device | Cheng Chao-Ching |
10770592 | Multi-gate semiconductor device and method for forming the same | Chen I-Sheng |
10770598 | Memory devices and methods of manufacture thereof | Kalnitsky Alexander |
10770654 | Multiple spacer assisted physical etching of sub 60nm MRAM devices | Yang Yi |
10770655 | CHIP package | Yu Chen-Hua |
10770795 | Antenna device and method for manufacturing antenna device | Wang Chuei-Tang |
10771072 | Frequency generator and associated method | Liao Chia-Chun |
10772205 | Circuit board, semiconductor device including the same, and manufacturing method thereof | Wu Jiun-Yi |
10774241 | CMP slurry solution for hardened fluid material | Lin Kuo-Yin |
10775694 | Apparatus for mounting a pellicle to a photomask and method for mounting a pellicle to a photomask | Liu Tzu Han |
10775700 | Lithography system and method for exposing wafer | Liao Chi-Hung |
10775706 | Lithography apparatus and method using the same | Liao Chi-Hung |
10776538 | Function safety and fault management modeling at electrical system level (ESL) | Ting Kai-Yuan |
10776545 | Method of determing a worst case in timing analysis | Pittu Ravi Babu |
10776551 | Method and system of revising a layout diagram | Hsu Meng-Kai |
10776557 | Integrated circuit structure | Huang Po-Hsiang |
10777244 | Three-dimensional (3-D) write assist scheme for memory cells | Chiu Chih-Chieh |
10777261 | Bi-directional input/output enable signal propagation structure and method | Hong Hyunsung |
10777419 | Semiconductor device with fin isolation and method of forming the same | Chen Chang-Yin |
10777423 | Chemical mechanical polishing method | Chen Tung-Kai |
10777426 | Semiconductor device and formation thereof | Chen Yen-Ting |
10777430 | Photonic integrated package and method forming same | Yu Chen-Hua |
10777431 | Post-passivation interconnect structure and method of forming the same | Lin Hung-Jen |
10777452 | Interconnection structure having top and bottom vias with a barrier layer therebetween and a dielectric spacer at the bottom via | Ho Po-Kuan |
10777455 | Multi-etching process for forming via opening in semiconductor device structure | Huang Chun-Jui |
10777466 | Semiconductor Fin cutting process and structures formed thereby | Huang Shih-Wen |
10777467 | Semiconductor structure and manufacturing method thereof | Yu Chi-Yang |
10777480 | Systems and methods to enhance passivation integrity | Liao Ying-Chieh |
10777502 | Semiconductor chip, package structure, and pacakge-on-package structure | Wang Chuei-Tang |
10777504 | Interconnect structure for semiconductor device and methods of fabrication thereof | Yu Chia-Ta |
10777505 | Method of fabricating integrated circuit having staggered conductive features | Chang Fong-Yuan |
10777510 | Semiconductor device including dummy via anchored to dummy metal layer | Lin Jian-Hong |
10777518 | Package structure and method of manufacturing the same | Wang Chuei-Tang |
10777531 | Package contact structure, semiconductor package and manufacturing method thereof | Chang Jung-Hua |
10777534 | Three-dimensional stacking structure | Huang Peter Yu Fei |
10777539 | Seal-ring structure for stacking integrated circuits | Chu Yi-Shin |
10777546 | Planar and non-planar FET-based electrostatic discharge protection devices | Peng Po-Lin |
10777547 | ESD protection device | Su Shu-Yu |
10777554 | Semiconductor device and fabricating the same | Ching Kuo-Cheng |
10777590 | Method for forming image sensor device structure with doping layer in light-sensing region | Chiang Yen-Ting |
10777591 | Image sensor device | Hung Chen-Hsiang |
10777592 | Image sensor and method for manufacturing thereof | Cheng Yun-Wei |
10777641 | LDD-free semiconductor structure and manufacturing method of the same | Tsai Chun Hsiung |
10777649 | Silicon nano-tip thin film for flash memory cells | Su Tsu-Hui |
10777663 | Semiconductor device having boron-doped germanium tin epitaxy structure and method for forming the same | Tsai Chung-En |
10777664 | Epitaxy source/drain regions of FinFETs and method forming same | Hung Tung-Husan |
10777681 | Multi-layer photoresist | Zi An-Ren |
10777733 | Method and apparatus for manufacturing semiconductor device | Gilda Neena Avinash |
10778197 | Level conversion device and method | Ou Yu-Lun |
10778198 | Level shifter | Chen Chien-Yuan |
10778203 | Clock generation circuit and charge pumping system | Yang Tien-Chun |
10778237 | Method and circuit for noise shaping SAR analog-to-digital converter | Kinyua Martin |
10779100 | Method for manufacturing a microphone | Peng Jung-Huei |
10779387 | Extreme ultraviolet photolithography system and method | Tsai Ming-Hsun |
10780461 | Methods for processing substrate in semiconductor fabrication | Jhuan Chun-Syuan |
10781098 | Method of manufacturing semiconductor structure | Lin Hung-Hua |
10782318 | Test probing structure | Wang Mill-Jer |
10783290 | IC manufacturing recipe similarity evaluation methods and systems | Ma Kang-Heng |
10783313 | Method for improved cut metal patterning | Chang Kuang-Ching |
10783938 | SRAM with local bit line, input/output circuit, and global bit line | Katoch Atul |
10783954 | Semiconductor memory with respective power voltages for memory cells | Wu Wei-Cheng |
10783955 | Memory circuit having shared word line | Fujiwara Hidehiro |
10784079 | Ion implantation system and source bushing thereof | Meng Ying-Chieh |
10784087 | Aluminum apparatus with aluminum oxide layer and method for forming the same | Chiu Ru-Chien |
10784091 | Process and related device for removing by-product on semiconductor processing chamber sidewalls | Liao Jing-Cheng |
10784106 | Selective film growth for bottom-up gap filling | Huang Yu-Lien |
10784114 | Methods of enhancing surface topography on a substrate for inspection | Liao Han-Wen |
10784123 | Integrated circuit packages and methods of forming same | Lin Jing-Cheng |
10784150 | Semiconductor structure and manufacturing method thereof | Su Ching-Chung |
10784151 | Interconnect structure and manufacturing method for the same | Liu Hsiang-Wei |
10784155 | Multi-metal fill with self-align patterning | Chu Wei-Chen |
10784160 | Semiconductor device having voids and method of forming same | Wu Yung-Hsu |
10784162 | Method of making a semiconductor component having through-silicon vias | Yu Chen-Hua |
10784168 | Dummy MOL removal for performance enhancement | Yang Hui-Ting |
10784196 | Semiconductor structure and manufacturing method thereof | Lu Chi-Ta |
10784203 | Semiconductor package and method | Huang Tzu-Sung |
10784207 | Multi-stacked package-on-package structures | Yu Chen-Hua |
10784219 | Semiconductor device and method of manufacturing | Hu Chih-Chia |
10784220 | Plurality of semiconductor devices encapsulated by a molding material attached to a redistribution layer | Jeng Shin-Puu |
10784221 | Method of processing solder bump by vacuum annealing | Lin Hsiu-Jen |
10784222 | Metal-bump sidewall protection | Chang Jung-Hua |
10784223 | Elongated bump structures in package structure | Chuang Yao-Chun |
10784227 | Thermally conductive molding compound structure for heat dissipation in semiconductor packages | Tseng Chun-Hao |
10784247 | Process control for package formation | Chen Ming-Fa |
10784248 | Multi-stack package-on-package structures | Yu Chen-Hua |
10784270 | Method to improve fill-in window for embedded memory | Lin Meng-Han |
10784276 | Non-volatile memory and method of manufacturing same | Lu Hau-Yan |
10784278 | Memory device and manufacturing method thereof | Huang Yong-Sheng |
10784310 | Cooling for PMA (perpendicular magnetic anisotropy) enhancement of STT-MRAM (spin torque transfer-magnetic random access memory) devices | Liu Huanlong |
10784351 | 2D crystal hetero-structures and manufacturing methods thereof | Lin Shih-Yen |
10784359 | Non-conformal oxide liner and manufacturing methods thereof | Lin Ming-Ho |
10784362 | Semiconductor device and manufacturing method thereof | Lu Chun-Chieh |
10784375 | Source/drain recess in a semiconductor device | Peng Eric |
10784377 | FinFET device and method of forming same | Chan Chia-Ling |
10784440 | Magnetic random access memory with various size magnetic tunneling junction film stacks | Tseng Huang-Wen |
10784781 | Transistor having asymmetric threshold voltage, buck converter and method of forming semiconductor device | Chen Chu Fu |
10784869 | Integrated circuit and method of manufacturing the same | Peng Shih-Wei |
10784872 | Fractional realignment techniques for PLLs | Tsai Tsung-Hsien |
10785865 | Interconnect structure and method of manufacturing the same | Wu Jiun-Yi |
10787360 | Semiconductor MEMS structure | Hsieh Yuan-Chih |
10787742 | Control system for plasma chamber having controllable valve and method of using the same | Su Yen-Shuo |
10788561 | Method for measuring saturation magnetization of magnetic films and multilayer stacks | Guisan Santiago Serrano |
10788764 | Apparatus and a method of forming a particle shield | Cheng Wen-Hao |
10789994 | Memory architecture having first and second voltages | Katoch Atul |
10790015 | Flying and twisted bit line architecture for dual-port static random-access memory (DP SRAM) | Singh Sahil Preet |
10790124 | Methods for removing particles from etching chamber | Lin Yu Chao |
10790142 | Selective capping processes and structures formed thereby | Chi Chih-Chien |
10790155 | Method of manufacturing semiconductor devices | Liu Ru-Gun |
10790162 | Integrated circuit package and method | Tsai Po-Hao |
10790164 | Method for forming package structure | Lin Wen-Yi |
10790177 | Systems, devices, and methods for using a real time environment sensor in a FOUP | Lin Po Shun |
10790184 | Isolation with multi-step structure for FinFET device and method of forming the same | Lin Ta-Chun |
10790189 | 3D integrated circuit and methods of forming the same | Kuang Hsun-Chung |
10790194 | Inductor structure for integrated circuit | Huang Shih-Han |
10790195 | Elongated pattern and formation thereof | Chang Po-Chin |
10790196 | Threshold voltage tuning for fin-based integrated circuit device | Cheng Chung-Liang |
10790205 | Overlay structure and method of fabricating the same | Chen Feng-Pin |
10790210 | Semiconductor package and manufacturing method thereof | Yu Chi-Yang |
10790212 | Method of manufacturing package structure | Wang Po-Han |
10790235 | Integrated fan-out package and method of fabricating the same | Chiu Ming-Yen |
10790240 | Metal line design for hybrid-bonding application | Wu Kuo-Ming |
10790244 | Semiconductor device and method | Huang Tzu-Sung |
10790252 | Semiconductor devices, methods of manufacture thereof, and packaged semiconductor devices | Lei Yi-Yang |
10790254 | Chip package structure | Huang Kuan-Yu |
10790261 | Bonding through multi-shot laser reflow | Chen Wei-Yu |
10790265 | Semiconductor device structure with back-side layer to reduce leakage | Kao Min-Feng |
10790269 | Semiconductor devices and semiconductor structures | Lai Chi-Hui |
10790274 | SCRs with checker board layouts | Su Yu-Ti |
10790279 | High voltage integration for HKMG technology | Thei Kong-Beng |
10790280 | Multi-gate device and method of fabrication thereof | Ching Kuo-Cheng |
10790283 | Semiconductor device and manufacturing method thereof | Liao Chia-Chun |
10790321 | CMOS image sensor having indented photodiode structure | Wei Chia-Yu |
10790326 | Pixel device on deep trench isolation (DTI) structure for image sensor | Takahashi Seiji |
10790327 | Semiconductor device structure with a conductive feature passing through a passivation layer | Kao Min-Feng |
10790352 | High density capacitor implemented using FinFET | Lin Jiefeng |
10790362 | Semiconductor structure and manufacturing method of the same | Chang Yao-Wen |
10790370 | Wrap around contact | Yang Chan Syun David |
10790375 | High electron mobility transistor | Yao Fu-Wei |
10790381 | Semiconductor device and manufacturing method thereof | Ohtou Tetsu |
10790391 | Source/drain epitaxial layer profile | Singh Gulbagh |
10790394 | Semiconductor device structure and method for forming the same | Wu Po-Chi |
10790439 | Memory cell with top electrode via | Ku Ming-Che |
10790444 | Method for forming a phase change memory (PCM) cell with a low deviation contact area between a heater and a phase change element | Tsai Yi Jen |
10790707 | Composite integrated circuits and methods for wireless interactions therewith | Wang Min-Jer |
10791616 | Radiation source apparatus | Chen Ssu-Yu |
10792697 | Drippage prevention system and method of operating same | Wang Chien-Hung |
10792783 | System, control method and apparatus for chemical mechanical polishing | Hu Hsiang-Chu |
10794872 | Acoustic measurement of fabrication equipment clearance | Deng Jun-Hao |
10795264 | Light source for lithography exposure process | Chen Hsin-Feng |
10795268 | Method and apparatus for measuring overlay errors using overlay measurement patterns | Chen Yen-Liang |
10795270 | Methods of defect inspection | Yu Ta-Ching |
10796055 | Method for coloring circuit layout and system for performing the same | Chiang Chia-Ping |
10796059 | Integrated circuit layout generation method and system | Su Ke-Ying |
10796060 | Method and system for pin layout | Chang Fong-Yuan |
10796759 | Method and apparatus for reading RRAM cell | Yang Chin-Chieh |
10796760 | Devices and methods for writing to a memory cell of a memory | Chou Chung-Cheng |
10796898 | Treatment system and method | Kao Wan-Yi |
10796910 | Method for performing a photolithography process | Ko Tsung-Han |
10796924 | Semiconductor device and manufacturing method thereof by forming thin uniform silicide on epitaxial source/drain structure | Chao Yuan-Shun |
10796927 | Integrated circuit package pad and methods of forming | Chen Hsien-Wei |
10796947 | Method of manufacturing a semiconductor device | Liu Jack |
10796954 | Semiconductor structure and method for forming the same | Chang Gung-Pei |
10796955 | Fin field effect transistor (FinFET) device structure with interconnect structure | Chang Che-Cheng |
10796976 | Semiconductor device and method of forming the same | Pan Chih-Chien |
10796990 | Semiconductor structure, package structure, and manufacturing method thereof | Chen Wei-Ting |
10796996 | Semiconductor device and method of forming the same | Lu Chi-Ming |
10797001 | Three-dimensional integrated circuit structures | Chen Jie |
10797005 | Semiconductor package and method for manufacturing the same | Chen Chen-Shien |
10797006 | Structure and formation method of chip package with lid | Yeh Shu-Shen |
10797007 | Semiconductor structure and manufacturing method thereof | Lee Hsiao-Wen |
10797008 | Semiconductor package and manufacturing method thereof | Teng Po-Yuan |
10797015 | Method of manufacturing 3DIC structure | Yeh Sung-Feng |
10797023 | Integrated fan-out package and method of fabricating an integrated fan-out package | Kuo Hung-Jui |
10797025 | Advanced INFO POP and method of forming thereof | Tsai Yi-Da |
10797031 | Semiconductor package | Liao Yu-Kuang |
10797038 | Semiconductor package and rework process for the same | Yu Chen-Hua |
10797041 | Integrated circuit, system for and method of forming an integrated circuit | Chang Fong-Yuan |
10797048 | Semiconductor device and a method for fabricating the same | Shen Hsiang-Ku |
10797050 | Fin field effect transistor (finFET) device structure with capping layer and method for forming the same | Chen Chun-Han |
10797052 | Method and structure for FinFET devices | Lu Yong-Yan |
10797058 | Conductive feature formation | Huang Yu-Lien |
10797078 | Hybrid fin field-effect transistor cell structures and related methods | Lai Wei-An |
10797091 | Semiconductor imaging device having improved dark current performance | Takahashi Seiji |
10797094 | Mechanisms for forming image sensor device | Chien Volume |
10797096 | Semiconductor image sensor | Chou Keng-Yu |
10797107 | Semiconductor memory device including phase change material layers and method for manufacturing thereof | Wu Jau-Yi |
10797137 | Method for reducing Schottky barrier height and semiconductor device with reduced Schottky barrier height | Cheng Hung-Hsiang |
10797140 | Semiconductor device and method | Chang Che-Cheng |
10797148 | III-V semiconductor layers, III-V semiconductor devices and methods of manufacturing thereof | Van Dal Mark |
10797151 | Metal gate structures for field effect transistors | Wang Chih-Wei |
10797156 | Method of forming the gate electrode of field effect transistor | Chen Neng-Kuo |
10797161 | Method for manufacturing semiconductor structure using selective forming process | Khaderbad Mrunal A. |
10797162 | FinFET device having a channel defined in a diamond-like shape semiconductor structure | Lin You-Ru |
10797164 | FinFETs having epitaxial capping layer on fin and methods for forming the same | Yu Ming-Hua |
10797173 | MOS devices with non-uniform p-type impurity profile | Sung Hsueh-Chang |
10797174 | Semiconductor device with fin end spacer dummy gate and method of manufacturing the same | Chang Kai-Tai |
10797175 | Fin field-effect transistor device and method | Lin Wei-Ken |
10797176 | Selective growth for high-aspect ratio metal fill | Wu Chih-Nan |
10797225 | Dual magnetic tunnel junction (DMTJ) stack design | Sundar Vignesh |
10797230 | Techniques for MRAM MTJ top electrode to metal layer interface including spacer | Chuang Harry-Hak-Lay |
10797232 | Low resistance MgO capping layer for perpendicularly magnetized magnetic tunnel junctions | Patel Sahil |
10797655 | Wireless receiver | Lo An-Hsun |
10797714 | Pipelined SAR with TDC converter | Kinyua Martin |
10798320 | Image sensor, comparator circuit and method thereof | Chou Po-Sheng |
10798328 | Image sensor including pixel circuits | Liu Chih-Min |
10800004 | System and method of chemical mechanical polishing | Liu Chih-Wen |
10802394 | Method for discharging static charges on reticle | Chang Hsiao-Lun |
10802395 | Lithographic mask, a pellicle therein and method of forming the same | Chen Ching-Yueh |
10802402 | Material composition and process for substrate modification | Lai Wei-Han |
10802405 | Radiation source for lithography exposure process | Hsieh Chieh |
10802406 | Apparatus and method for generating extreme ultraviolet radiation | Hsieh Chieh |
10803227 | Integrated circuit layouts with line-end extensions | Liao Hsien-Huang |
10803928 | Low voltage memory device | Sinangil Mahmut |
10803967 | Memory device with a fuse protection circuit | Chih Yu-Der |
10804066 | Data processing of electron beam lithography system | Yang Jensen |
10804083 | Cathode assembly, physical vapor deposition system, and method for physical vapor deposition | Wang Yi-Chieh |
10804093 | Dishing prevention columns for bipolar junction transistors | Chen Yi-Huan |
10804097 | Conductive feature formation and structure | Chang Cheng-Wei |
10804101 | Semiconductor structure having sets of III-V compound layers and method of forming | Chen Chi-Ming |
10804124 | Wafer processing tool capable of detecting wafer warpage and method for detecting wafer warpage | Yeh Chao-Hsiung |
10804133 | Article transferring method in semiconductor fabrication | Su Jheng-Si |
10804140 | Interconnect formation and structure | Chen Pin-Wen |
10804142 | Semiconductor device and manufacturing method thereof | Huang Yan-Jhi |
10804143 | Semiconductor structure and method for manufacturing the same | Yang Tai-I |
10804149 | Self-aligned spacers and method forming same | Hsieh Yi-Tsang |
10804155 | Inductor structure for integrated circuit | Huang Shih-Han |
10804161 | CMOS FinFET structures including work-function materials having different proportions of crystalline orientations and methods of forming the same | Chiu Ya-Wen |
10804162 | Dual channel gate all around transistor device and fabrication methods thereof | Wang Chih-Hao |
10804163 | Method of metal gate formation and structures formed by the same | Lee Yi-Jing |
10804178 | Integrated circuit package and method of forming same | Yu Chen-Hua |
10804180 | Semiconductor device and method for manufacturing the same | Yan Jhih-Yang |
10804187 | Fan-out wafer level package structure | Lin Jing-Cheng |
10804192 | Protrusion bump pads for bond-on-trace processing | Liang Yu-Min |
10804200 | Integrated circuit having a high cell density | Chen Sheng-Hsiung |
10804206 | Deep trench protection | Kuo Fu-Chiang |
10804211 | Semiconductor structure and fabricating method thereof | Lee Kuo-Hung |
10804220 | Dishing prevention columns for bipolar junction transistors | Chen Yi-Huan |
10804228 | RF switch on high resistive substrate | Chen Chia-Chung |
10804230 | Semiconductor package and method of manufacturing the same | Wu Tung-Jiun |
10804231 | Passivation scheme for pad openings and trenches | Chang Ming-Hong |
10804234 | Semiconductor device having a boundary structure, a package on package structure, and a method of making | Hwang Chien Ling |
10804242 | Methods of forming multi-die package structures including redistribution layers | Yu Chen-Hua |
10804244 | Semiconductor package structure and method of manufacturing the same | Jeng Shin-Puu |
10804245 | Semiconductor structure and manufacturing method thereof | Yu Chi-Yang |
10804247 | Chip package structure with conductive shielding film | Yu Chen-Hua |
10804254 | Fan-out package with cavity substrate | Tsai Po-Hao |
10804267 | Embedded semiconductor region for latch-up susceptibility improvement | Huang Chien Yao |
10804268 | Vertical gate semiconductor device with steep subthreshold slope | Chiang Hung-Li |
10804271 | Semiconductor structure and device each having differential etch stop layer over gate spacer | Ko Chung-Ting |
10804281 | Anti-dishing structure for embedded memory | Lin Meng-Han |
10804307 | Increased optical path for long wavelength light by grating structure | Cheng Yun-Wei |
10804315 | Absorption enhancement structure for image sensor | Su Ching-Chung |
10804367 | Gate stacks for stack-fin channel I/O devices and nanowire channel core devices | Cheng Chao-Ching |
10804370 | Semiconductor device, method, and tool of manufacture | Chen Chih Hung |
10804371 | Structure and formation method of semiconductor device with gate stack | Chang Che-Cheng |
10804373 | Method for forming a low-k spacer | Kang Hsiu-Yu |
10804374 | Spacer structure with high plasma resistance for semiconductor devices | Kao Wan-Yi |
10804375 | Semiconductor device and method for manufacturing the same | Yun Wei-Sheng |
10804378 | Method for semiconductor device fabrication with improved epitaxial source/drain proximity control | Tsai Fu-Tsun |
10804381 | Structure and method for FinFET device with buried sige oxide | Ching Kuo-Cheng |
10804389 | LDMOS transistor | Wu Chun-Ching |
10804395 | Metal-insensitive epitaxy formation | Tsai Chun Hsiung |
10804396 | Embedded source or drain region of transistor with downward tapered region under facet region | Chang Che-Cheng |
10804401 | Structure and method for FinFET device with contact over dielectric gate | Chen Fang |
10804402 | Metal rail conductors for non-planar semiconductor devices | Chen Chih-Liang |
10804411 | Semiconductor device and method of forming the same | Chang Yu-Hsing |
10804414 | Semiconductor device with nanostructures and methods of forming the same | Tseng Hsin-Hsiang |
10804464 | Method of forming memory device with diffusion barrier and capping layer | Trinh Hai-Dang |
10804895 | Low static current semiconductor device | Chern Chan-Hong |
10804953 | Method of using integrated transmitter and receiver front end module | Yeh En-Hsiang |
10807213 | Chemical mechanical polishing apparatus and method | Huang Chun-Hsi |
10809613 | Mask for EUV lithography and method of manufacturing the same | Lin Yun-Yue |
10809629 | Method and apparatus for computing feature kernels for optical model simulation | Ho Kenneth Lik Kin |
10809635 | Defect inspection method and defect inspection system | Chen Chien-Huei |
10810346 | Static voltage drop (SIR) violation prediction systems and methods | Chuang Yi-Lin |
10811085 | Dual rail device with power detector | Lin Yangsyu |
10811225 | Method of fabricating an integrated circuit with a pattern density-outlier-treatment for optimized pattern density uniformity | Lin Jyuh-Fuh |
10811253 | Methods of fabricating semiconductor devices having crystalline high-K gate dielectric layer | Cheng Chung-Liang |
10811255 | Methods of forming semiconductor devices | Lee Pei-Wei |
10811261 | Manufacturing method for high-electron-mobility transistor | Tsai Ming-Wei |
10811262 | Semiconductor device having a uniform and thin silicide layer on an epitaxial source/ drain structure and manufacturing method thereof | Lee Kai-Hsuan |
10811263 | Method for forming semiconductor device structure with etch stop layer | Lee Ya-Ling |
10811270 | Ultra narrow trench patterning using plasma etching | Chen Chao-Hsuan |
10811285 | Vapor shield replacement system and method | Lin Ping-Tse |
10811290 | Systems and methods for inspection stations | Liu Yan-Hong |
10811291 | Wafer container and method for holding wafer | Liu Wei-Kang |
10811300 | Wafer table with dynamic support pins | Liao Chi-Hung |
10811314 | Method of forming semiconductor device having a dual material redistribution line | Cheng Anhao |
10811316 | Method and system of forming integrated circuit | Chang Ka Fai |
10811317 | Method for manufacturing nanostructure with various widths | Ching Kuo-Cheng |
10811318 | FIN field effect transistor (FinFET) device structure with dummy FIN structure | Tsai Tzung-Yi |
10811320 | Footing removal in cut-metal process | Huang Ming-Chi |
10811321 | Semiconductor device with contracted isolation feature | Yu Dian-Sheg |
10811338 | Surface treatment method and apparatus for semiconductor packaging | Chang Chih-Horng |
10811369 | Packaging devices and methods of manufacture thereof | Chen Hsien-Wei |
10811374 | Interconnect structure and method of forming same | Lo Hsiao Yun |
10811377 | Package structure with a barrier layer and method for forming the same | Chen Cheng-Hung |
10811384 | Semiconductor package and method of manufacturing the same | Chen Shih-Wei |
10811389 | Semiconductor package for thermal dissipation | Yu Chen-Hua |
10811390 | Die stack structure and method of fabricating the same and package | Chen Jie |
10811394 | Devices employing thermal and mechanical enhanced layers and methods of forming same | Yu Chen-Hua |
10811398 | Semiconductor structure and method for manufacturing the same | Kao Min-Feng |
10811404 | Package structure and method of manufacturing the same | Huang Shih-Ya |
10811412 | Method of fabricating semiconductor device | Chang Che-Cheng |
10811423 | Method of fabricating semiconductor structure | Liao Keng-Ying |
10811426 | NVM memory HKMG integration technology | Wu Wei Cheng |
10811504 | Semiconductor structure for flash memory cells and method of making same | Liu Ming Chyi |
10811509 | Multi-gate device and method of fabrication thereof | Ching Kuo-Cheng |
10811515 | Methods of fabricating semiconductor devices having air-gap spacers | Lin Chun-Hsiung |
10811516 | Structure and formation method of semiconductor device structure with gate stack | Young Bo-Feng |
10811517 | Gate spacer structure of finFET device | Li Chung-Ting |
10811518 | Method of manufacturing a semiconductor device and a semiconductor device | Cheng Chao-Ching |
10811519 | Semiconductor device gate spacer structures and methods thereof | Huang Kuo-Chang |
10811536 | FinFET device and method of forming and monitoring quality of the same | Chen Chang-Yin |
10811537 | Semiconductor device having fins | Lin Che-Yu |
10811538 | Semiconductor device with gate stack | Chang Che-Cheng |
10811600 | Switching layer scheme to enhance RRAM performance | Trinh Hai-Dang |
10812277 | Method and system for secure key exchange using physically unclonable function (PUF)-based keys | Lu Shih-Lien Linus |
10814455 | Slurry feed system and method of providing slurry to chemical mechanical planarization station | Chen Tsung-Huang |
10816891 | Photomask and fabrication method therefor | Chang Hao-Ming |
10816892 | Method of manufacturing photo masks | Chen Chien-Cheng |
10817635 | Multiple patterning method for semiconductor devices | Hsieh Ken-Hsien |
10817643 | Method of designing semiconductor device and system for implementing the method | Wang Shao-Huan |
10818327 | Memory circuit and method of operating same | Tsai Jui-Che |
10818349 | Programming method and reading method for memory device | Wu Jau-Yi |
10818473 | Implanter calibration | Lin Yi-Hsiung |
10818479 | Grounding cap module, gas injection device and etching apparatus | Liu Li-Shi |
10818488 | Wafer structure and trimming method thereof | Chiang Hao-Ning |
10818505 | Self-aligned double patterning process and semiconductor structure formed using thereof | Wang Yu-Wen |
10818509 | Method of fabricating semiconductor device with reduced trench distortions | Yen Yung-Sung |
10818543 | Source/drain contact spacers and methods of forming same | Wu Xusheng |
10818544 | Method to enhance electrode adhesion stability | Lin Hsing-Lien |
10818555 | Semiconductor device having planar transistor and FinFET | Chen Wei-Barn |
10818558 | Semiconductor structure having trench and manufacturing method thereof | Chou Chen-Cheng |
10818562 | Semiconductor structure and testing method thereof | Lin Ming-Shiang |
10818563 | Method for calibrating temperature in chemical vapor deposition | Chen Chih-Fen |
10818583 | Semiconductor devices, methods of manufacture thereof, and semiconductor device packages | Chen I-Ting |
10818588 | Semiconductor device, package structure and method of fabricating the same | Hsu Sen-Kuei |
10818595 | Semiconductor structure, testing and fabricating methods thereof | Ho Yen-Hsung |
10818596 | Method for forming semiconductor device structure with graphene layer | Yang Tai-I |
10818597 | Hybrid copper structure for advance interconnect usage | Liu Hsiang-Wei |
10818598 | Methods for reducing dual damascene distortion | Wang Chao-Chun |
10818600 | Structure and method for a low-k dielectric with pillar-type air-gaps | Lu Chih Wei |
10818607 | Semiconductor device and method of manufacture | Lin Jing-Cheng |
10818609 | Package structure and method for fabricating the same | Chuang Harry-Hak-Lay |
10818612 | Manufacturing method of semiconductor structure | Wang Rung-De |
10818614 | Package structure | Chen Meng-Tse |
10818615 | Semiconductor structure | Chen Ying-Ju |
10818624 | Semiconductor structure and method for manufacturing the same | Chen Ming-Fa |
10818640 | Die stacks and methods forming same | Yu Chen-Hua |
10818651 | Package structure | Hsu Sen-Kuei |
10818658 | Integrated circuit with a gate structure and method making the same | Ching Kuo-Cheng |
10818661 | Fin-like field effect transistor (FinFET) device and method of manufacturing same | Chang Chih-Hao |
10818675 | SRAM structure and method for manufacturing SRAM structure | Hsieh Chih-Hung |
10818676 | FinFET SRAM having discontinuous PMOS fin lines | Liaw Jhon Jhy |
10818677 | Layout of static random access memory periphery circuit | Lin Yangsyu |
10818716 | Image sensor device and fabricating method thereof | Jangjian Shiu-Ko |
10818719 | Semiconductor device with a radiation sensing region and method for forming the same | Tsai Tsung-Han |
10818720 | Stacked image sensor having a barrier layer | Chen U-Ting |
10818752 | Semiconductor device with cap element | Wu Shing-Huang |
10818754 | Semiconductor device with silicided source/drain region | Huang Chien-Chao |
10818767 | Semiconductor device having a metal gate electrode stack | Tsau Hsueh Wen |
10818768 | Method for forming metal cap layers to improve performance of semiconductor structure | Cheng Chung-Liang |
10818777 | Method of manufacturing a semiconductor device and a semiconductor device | Chiang Kuo-Cheng |
10818778 | Heterogeneous semiconductor device substrates with high quality epitaxy | Diaz Carlos H. |
10818779 | Method and structure for mandrel and spacer patterning | Tseng Chi-Che |
10818780 | Devices having a semiconductor material that is semimetal in bulk and methods of forming the same | Colinge Jean-Pierre |
10818790 | Semiconductor device | Chen Chih-Fen |
10818794 | Semiconductor structure and fabricating method thereof | Chang Che-Cheng |
10818804 | Floating gate isolation and method for manufacturing the same | Hsu Shih-Lu |
10818857 | Organic photosensitive device with an electron-blocking and hole-transport layer | Liang Chin-Wei |
10819316 | Circuits and methods for reducing kickback noise in a comparator | Chang Chin-Ho |
10819325 | Selectable delay buffers and logic cells for dynamic voltage scaling in ultra low voltage designs | Bindra Jaskirat |
10821871 | Method for transferring container | Huang Yi-Tang |
10822181 | Systems and methods for die transfer | Kuo Tsung-Sheng |
10823696 | Method of fabricating a biological field-effect transistor (BioFET) with increased sensing area | Cheng Chun-Wen |
10823765 | Low power comparator and self-regulated device | Peng Yung-Chow |
10823921 | Photonics package integration | Islam Rabiul |
10824080 | Method to reduce native defect printability | Shih Chih-Tsung |
10824083 | Light source, EUV lithography system, and method for generating EUV radiation | Yang Chi |
10824784 | System and method of timing characterization for semiconductor circuit | Tu Chia Hao |
10825498 | Magnetic random access memory structure and manufacturing method of the same | Chuang Harry-Hak-Lay |
10825499 | Magnetic random access memory structure and manufacturing method of the same | Chuang Harry-Hak-Lay |
10825602 | Stacked coil for wireless charging structure on InFO package | Yu Chen-Hua |
10825650 | Machine learning on wafer defect review | Chou Chung-Pin |
10825684 | Material composition and methods thereof | Chang Shu-Hao |
10825693 | Carrier warpage control for three dimensional integrated circuit (3DIC) stacking | Lin Jing-Cheng |
10825696 | Cross-wafer RDLs in constructed wafers | Yu Chen-Hua |
10825721 | Insulating cap on contact structure and method for forming the same | Tsai Kuo-Chiang |
10825724 | Metal contact structure and method of forming the same in a semiconductor device | Lin Yu-Hung |
10825727 | Metal gates of transistors having reduced resistivity | Tsai Chia-Ching |
10825737 | Prevention of contact bottom void in semiconductor fabrication | Lee Yun |
10825739 | FinFET devices and methods of forming the same | Kuan Wan-Chun |
10825765 | Semiconductor structure and manufacturing method thereof | Wu Tung-Jiun |
10825773 | Package structure with reinforcement structures in a redistribution circuit structure and method of manufacturing the same | Yu Chen-Hua |
10825780 | Semiconductor device with electromagnetic interference protection and method of manufacture | Wu Chi-Hsi |
10825797 | Modular voltage regulators | Samra Nick |
10825798 | Packages with stacked dies and methods of forming the same | Lee Chien-Hsun |
10825804 | Hollow metal pillar packaging scheme | Huang Chang-Pin |
10825813 | Semiconductor device and a method for fabricating the same | Liao Shun-Jang |
10825825 | Flash memory structure | Sung Fu-Ting |
10825835 | IC including standard cells and SRAM cells | Liaw Jhon-Jhy |
10825853 | Semiconductor image sensor device with deep trench isolations and method for manufacturing the same | Chiang Yen-Ting |
10825892 | MIM capacitor with top electrode having footing profile and method forming same | Chen Hung-Hao |
10825894 | MIM capacitor and method of manufacturing the same | Wu Tung-Jiun |
10825899 | Semiconductor device and fabricating method thereof | Lu Chun-Chieh |
10825905 | Thin poly field plate design | Kuo Chien-Li |
10825907 | Self-aligned contact and manufacturing method thereof | Lee Tung Ying |
10825914 | Manufacturing method of semiconductor device | Lin Yi-Chuan |
10825915 | Spacers for nanowire-based integrated circuit device and method of fabricating same | Lee Tung Ying |
10825918 | Semiconductor device structure and method for forming the same | Ching Kuo-Cheng |
10825919 | Methods of fabricating semiconductor devices having gate-all-around structure with inner spacer last process | Lin Chun-Hsiung |
10825933 | Gate-all-around structure and manufacturing method for the same | Hsiao Meng-Hsuan |
10829364 | MEMS transducer and method for manufacturing the same | Cheng Chun-Ren |
10831094 | Pellicle for EUV mask and fabrication thereof | Shih Chih-Tsung |
10831104 | Critical dimension (CD) uniformity of photoresist island patterns using alternating phase shifting mask | Haq Jesmin |
10831110 | Lithographic overlay correction and lithographic process | Hung Ai-Jen |
10831978 | Method of regulating integrated circuit timing and power consumption | Ou Hung-Chih |
10832744 | Differential read-only memory (ROM) device | Hsu Kuoyuan |
10832765 | Variation tolerant read assist circuit for SRAM | Fujiwara Hidehiro |
10832913 | Method and apparatus for forming semiconductor structure | Wang Tsan-Chun |
10832957 | Method for direct forming stressor, semiconductor device having stressor, and method for forming the same | Yang Che-Wei |
10832958 | Leakage reduction methods and structures thereof | Fan Chia-Sheng |
10832959 | FinFET gate structure and method for fabricating the same | Jangjian Shiu-Ko |
10832974 | FinFET gate structure and method for fabricating the same | JangJian Shiu-Ko |
10832985 | Sensor package and method | Chiang Tsung-Hsien |
10832999 | Packaging methods for semiconductor devices comprising forming trenches in separation regions between adjacent packaging substrates | Huang Kuei-Wei |
10833003 | Integrated circuits with backside power rails | Chou Chih-Chao |
10833026 | Integrated circuit with backside structures to reduce substrate warp | Chen Chih-Ming |
10833030 | Semiconductor device and method of manufacture | Hsieh Cheng-Hsien |
10833031 | Pad design for reliability enhancement in packages | Chen Hsien-Wei |
10833033 | Bump structure having a side recess and semiconductor structure including the same | Chang Chih-Horng |
10833034 | Semiconductor package | Chang Shih-Cheng |
10833039 | Multi-chip fan out package and methods of forming the same | Yu Chen-Hua |
10833053 | Semiconductor package and method of forming the same | Chen Wei-Chih |
10833061 | Semiconductor device including source/drain contact having height below gate stack | Young Charles Chew-Yuen |
10833074 | Semiconductor device and method | Chang Shih-Chieh |
10833077 | Semiconductor structure cutting process and structures formed thereby | Hung Chih-Chang |
10833082 | HVMOS reliability evaluation using bulk resistances as indices | Chen Chia-Chung |
10833084 | Semiconductor device and fabricating the same | Ching Kuo-Cheng |
10833090 | Methods, structures and devices for intra-connection structures | Chang Feng-Ming |
10833115 | Concave reflector for complementary metal oxide semiconductor image sensor (CIS) | Huang Po-Han |
10833119 | Pad structure for front side illuminated image sensor | Hsu Kai-Chun |
10833152 | Semiconductor device and manufacturing method thereof | Lin Tien-Lu |
10833167 | Fin field effect transistor (finFET) device structure and method for forming the same | Yeong Sai-Hooi |
10833170 | Low-k gate spacer and methods for forming the same | Lin Wen-Kai |
10833196 | FinFET structures and methods of forming the same | Wang Yu-Sheng |
10833660 | Ring oscillator, controlling circuit and methods for realignment | Tsai Tsung-Hsien |
10837993 | Circuit and method for bandwidth measurement | Peng Yung-Chow |
10838001 | Bump ball testing system and method | Jou Chewn-Pu |
10838295 | Photomask and fabrication method therefor | Chou You-Hua |
10838296 | Mask optimization process | Beylkin Daniel |
10838304 | Priming material for organometallic resist | Ho Chun-Chih |
10838305 | Lithographic mask correction using volume correction techniques | Yu Zhiru |
10838809 | Memory array and measuring and testing methods for inter-hamming differences of memory array | Lu Shih-Lien Linus |
10839507 | Defect offset correction | Liao Chien-Ko |
10839879 | Read techniques for a magnetic tunnel junction (MTJ) memory device with a current mirror | Gupta Gaurav |
10839894 | Memory computation circuit and method | Chen Yen-Huei |
10840066 | Adjustable fastening device for plasma gas injectors | Hsu Yung-Shun |
10840080 | Methods of forming SOI substrates | Usenko Alex |
10840097 | Semiconductor methods and devices | Su Yi-Nien |
10840102 | Integrated system, integrated system operation method and film treatment method | Yu Weibo |
10840105 | Gate structure with insulating structure and method for manufacturing the same | Lin Yu-Hung |
10840111 | Chip package with fan-out structure | Chen Shing-Chao |
10840121 | Method and apparatus for unpacking semiconductor wafer container | Li Fu-Hsien |
10840126 | FinFET structure with different fin heights and method for forming the same | Huang Yu-Lien |
10840129 | Semiconductor package and method | Kuo Hung-Jui |
10840131 | Patterning methods for semiconductor devices and structures resulting therefrom | Peng Tai-Yen |
10840133 | Semiconductor structure with staggered selective growth | Lin Zhi-Chang |
10840134 | Interconnect structure and method | Chou Chia-Cheng |
10840143 | Methods for forming a semiconductor arrangement of fins having multiple heights and an alignment mark | Chiang Tsung-Yu |
10840144 | Structure and formation method of semiconductor device structure | Chang Che-Cheng |
10840149 | Fabrication method of a metal gate structure | Zhu Ming |
10840152 | Semiconductor device and manufacturing method thereof | Lee Pei-Wei |
10840153 | Notched gate structure fabrication | Chen Chang-Yin |
10840181 | Semiconductor device and a method for fabricating the same | Hu Chia-Hsin |
10840184 | Formation of copper layer structure with self anneal strain improvement | Nian Jun-Nan |
10840189 | Integrated circuit devices having raised via contacts and methods of fabricating the same | Tsai Kuo-Chiang |
10840190 | Semiconductor structure and manufacturing method thereof | Yang Ching-Jung |
10840197 | Package structure and manufacturing method thereof | Hsu Sen-Kuei |
10840198 | Pad structure and manufacturing method thereof in semiconductor device | Huang Hung-Shu |
10840199 | Methods of forming connector pad structures, interconnect structures, and structures thereof | Chang Chia-Lun |
10840212 | Bonding package components through plating | Lim Zheng-Yi |
10840215 | Sawing underfill in packaging processes | Lu Szu-Wei |
10840217 | Stacked chip package and methods of manufacture thereof | Yu Chen-Hua |
10840218 | Semiconductor device and method of manufacture | Lin Jing-Cheng |
10840224 | Interposer frame and method of manufacturing the same | Wu Jiun Yi |
10840227 | Under-bump-metallization structure and redistribution layer design for integrated fan-out package with integrated passive device | Huang Yu-Chih |
10840231 | Semiconductor device and method of manufacturing | Lai Jui Hsieh |
10840237 | Electrostatic discharge protection circuit | Tsai Ming-Fu |
10840242 | Fin structure and method of forming same through two-step etching processes | Chang Che-Cheng |
10840243 | Semiconductor device and manufacturing method thereof | Lu Wei-Yuan |
10840246 | Integrated circuit having a vertical power MOS transistor | Ng Chun-Wai |
10840251 | Memory device and manufacturing method | Lo Kuo-Hung |
10840281 | Imaging device | Chou Kuo-Yu |
10840287 | 3DIC interconnect apparatus and method | Chou Shih Pei |
10840324 | Semiconductor structure and manufacturing method for the same | Wu Tung-Jiun |
10840330 | Block layer in the metal gate of MOS devices | Tsao Jung-Chih |
10840333 | Semiconductor arrangement and method of manufacture | Wu Yun-Chi |
10840342 | Methods of forming source/drain contacts in field-effect transistors | Wang Sheng-Tsung |
10840346 | Raised epitaxial LDD in MuGFETs and methods for forming the same | Lu Yong-Yan |
10840350 | Nanolaminate structure, semiconductor device and method of forming nanolaminate structure | Fang Zi-Wei |
10840355 | Increasing source/drain dopant concentration to reduced resistance | Lee Yi-Jing |
10840356 | FinFET device and method of forming same | Hsu Yu-Rung |
10840357 | FinFET device and method of forming same | Ko Chung-Ting |
10840358 | Method for manufacturing semiconductor structure with source/drain structure having modified shape | More Shahaji B. |
10840371 | Ultra high voltage semiconductor device with electrostatic discharge capabilities | Yang Tsai-Feng |
10840375 | Integrated circuits with channel-strain liner | Wu Xusheng |
10840376 | Gate structure and method with enhanced gate contact and threshold voltage | Liu Max |
10840378 | Fin field effect transistor (FinFET) device and method for forming the same | Zhang Zhe-Hao |
10840379 | Semiconductor device | Chang Che-Cheng |
10840400 | Photovoltaic device with back reflector | Wu Jyh-Lih |
10840438 | Reversed stack MTJ | Huang Wei-Hang |
10840440 | Metal/dielectric/metal hybrid hard mask to define ultra-large height top electrode for sub 60nm MRAM devices | Yang Yi |
10842009 | System and method for extreme ultraviolet source control | Hsu Chun-Chia |
10843307 | Vacuum assembly for chemical mechanical polishing | Wei Yu-Chen |
10844477 | Electromagnetic module for physical vapor deposition | Chu Hsuan-Chih |
10845342 | Acoustic measurement of film thickness | Deng Jun-Hao |
10845404 | Jitter noise detector | Huang Tien-Chien |
10845670 | Folded waveguide phase shifters | Chen Huan-Neng |
10845698 | Mask, method of forming the same and method of manufacturing a semiconductor device using the same | Tu Chih-Chiang |
10845699 | Method for forming photomask and photolithography method | Chang Shih-Ming |
10845704 | Extreme ultraviolet photolithography method with infiltration for enhanced sensitivity and etch resistance | Ouyang Christine Y |
10845717 | Lens control for lithography tools | Yang Yueh Lin |
10846456 | Integrated circuit modeling methods and systems | Su Ke-Ying |
10846458 | Engineering change order cell structure having always-on transistor | Chen Shun Li |
10847210 | Memory device with fly word line | Lin Yangsyu |
10847214 | Low voltage bit-cell | Sinangil Mahmut |
10847217 | Pre-charging bit lines through charge-sharing | Sinangil Mahmut |
10847221 | Memory device and method thereof | Wu Jau-Yi |
10847304 | InFO coil on metal plate with slot | Wang Chuei-Tang |
10847316 | MIM device with laminated dielectric layers | Lee Cheng-Te |
10847349 | Moving focus ring for plasma etcher | Lin Yu-Chi |
10847359 | Method for metal gate surface clean | Suen Shich-Chang |
10847373 | Methods of forming silicide contact in field-effect transistors | Lin Chun-Hsiung |
10847383 | Stacked semiconductor devices and methods of forming same | Chen Hsien-Wei |
10847389 | Systems and methods for annealing semiconductor structures | Tsai Chun-Hsiung |
10847409 | Semiconductor device and method | Wang Shiang-Bau |
10847410 | Ruthenium-containing semiconductor structure and method of manufacturing the same | Lee Shen-Nan |
10847411 | Conductive feature formation and structure | Chen Pin-Wen |
10847413 | Method of forming contact plugs for semiconductor device | Khaderbad Mrunal A |
10847414 | Embedded 3D interposer structure | Shih Ying-Ching |
10847417 | Methods of forming interconnect structures in semiconductor fabrication | Yao Hsin-Chieh |
10847418 | Formation method of damascene structure | Peng Tai-Yen |
10847426 | FinFET devices and methods of forming the same | Wang Chih-Hao |
10847429 | Method of detecting photoresist scum, method of forming semiconductor package and photoresist scum detection apparatus | Kuo Hung-Jui |
10847431 | Ion implantation methods and structures thereof | Wang Tsan-Chun |
10847443 | Front-to-back bonding with through-substrate via (TSV) | Lin Jing-Cheng |
10847457 | Semiconductor device and method | Yu Dian-Sheg |
10847459 | Semiconductor die contact structure and method | Liu Chung-Shi |
10847460 | Advanced metal connection with metal cut | Chen Chih-Liang |
10847485 | Chip package structure and method for forming the same | Huang Kuan-Yu |
10847490 | Bonding alignment tool | Shih Yun-Tai |
10847492 | Semiconductor structure and manufacturing method for the same | Wu Jyun-Lin |
10847493 | Bump-on-trace interconnect | Yu Chen-Hua |
10847505 | Multi-chip semiconductor package | Lai Yu-Chia |
10847513 | Buried interconnect conductor | Ching Kuo-Cheng |
10847530 | Nitride-free spacer or oxide spacer for embedded flash memory | Wu Wei Cheng |
10847560 | BSI image sensor and method of forming same | Hsu Hung-Wen |
10847564 | Charge release layer to remove charge carriers from dielectric grid structures in image sensors | Su Ching-Chung |
10847575 | Method and related apparatus for improving memory cell performance in semiconductor-on-insulator technology | Liu Jack |
10847606 | Capacitor and method for making same | Tu Kuo-Chi |
10847607 | Method of making a trench capacitor | Tsai Yu-Hsiang |
10847622 | Method of forming source/drain structure with first and second epitaxial layers | Holland Martin Christopher |
10847623 | Semiconductor device with ferroelectric aluminum nitride | Chen Miin-Jang |
10847633 | Method for forming semiconductor device | Jhan Yi-Ruei |
10847634 | Field effect transistor and method of forming the same | Cheng Te-En |
10847636 | Methods for forming semiconductor structure | Tsai Chun Hsiung |
10847637 | Semiconductor device and method | Chiu Shih-Hang |
10847638 | Increasing source/drain dopant concentration to reduced resistance | Lee Yi-Jing |
10847650 | Semiconductor structure and associated fabricating method | Lee Jia-Rui |
10847652 | Semiconductor structure and associated fabricating method | Chu Chen-Liang |
10847716 | Method for manufacturing a phase change memory device having a second opening above a first opening in the dielectric layer | Lee Tung-Ying |
10847718 | Multi-layer structure to increase crystalline temperature of a selector device | Trinh Hai-Dang |
10847736 | Method of manufacturing a semiconductor device and a semiconductor device | Lu Chun-Chieh |
10847949 | Techniques for vertical cavity surface emitting laser oxidation | Chen Chen Yu |
10848138 | Method and apparatus for precision phase skew generation | Chou Mao-Hsuan |
10849214 | Method of operating semiconductor apparatus and semiconductor apparatus | Shih Po-Ming |
10850976 | Method of making ohmic contact on low doped bulk silicon for optical alignment | Chang Kuei-Sung |
10852191 | Light source system and polarization angle adjusting method | Yeh Jen-Hao |
10852476 | Semiconductor package, integrated optical communication system and manufacturing method of integrated optical communication system | Chen Chih-Hao |
10852634 | Phase shifter mask | Chen Chun-Lang |
10852649 | Methods and apparatus for removing contamination from lithographic tool | Chen Zi-Wen |
10852704 | Semiconductor equipment management method, electronic device, and non-transitory computer readable storage medium | Li Sing-Tsung |
10853534 | Fault related FDC feature extraction | Mi Hsin-Chao |
10853552 | Method for improving circuit layout for manufacturability | Wu Yun-Lin |
10853616 | Fingerprint sensor device and method | Yu Chen-Hua |
10854259 | Asynchronous read circuit using delay sensing in magnetoresistive random access memory (MRAM) | Liu Jack |
10854278 | SRAM structure with reduced capacitance and resistance | Liaw Jhon Jhy |
10854279 | Strap cell design for static random access memory (SRAM) array | Pao Chia-Hao |
10854282 | Memory read stability enhancement with short segmented bit line architecture | Sinangil Mahmut |
10854283 | Memory device with enhanced access capability and associated method | Lu Shih-Lien Linus |
10854442 | Orientation chamber of substrate processing system with purging function | Houng Wei-Hua |
10854446 | Epitaxies of a chemical compound semiconductor | Yu Hung-Wei |
10854458 | Method and structure for semiconductor device having gate spacer protection layer | Lu Chih Wei |
10854459 | Gate structure passivating species drive-in method and structure formed thereby | Wei Hsiao-Kuan |
10854468 | Method and equipment for performing CMP process | Liu Wen-Kuei |
10854471 | Process for making multi-gate transistors and resulting structures | Liu Su-Hao |
10854490 | Wafer carrier handling apparatus and method thereof | Kuo Tsung-Sheng |
10854499 | Integrated circuit, system for and method of forming an integrated circuit | Yang Jung-Chan |
10854501 | Structure and method for enhancing robustness of ESD device | Kalnitsky Alexander |
10854503 | Semiconductor structure with air gap and method sealing the air gap | Sun Hung-Chang |
10854504 | Semiconductor structure and manufacturing method thereof | Chang Che-Cheng |
10854505 | Removing polymer through treatment | Chen Hung-Hao |
10854506 | Semiconductor device and manufacturing method thereof | Liang Chun-Sheng |
10854507 | Method of forming trenches | Chang Che-Cheng |
10854508 | Interconnection structure and manufacturing method thereof | Wu Chung-Wen |
10854512 | Method and IC design with non-linear power rails | Wang Sheng-Hsiung |
10854518 | Configuring different via sizes for bridging risk reduction and performance improvement | Liaw Jhon Jhy |
10854519 | Fin field effect transistor (FinFET) device structure and method for forming the same | Chen Chang-Yin |
10854521 | Low-k gate spacer and formation thereof | Lu Bo-Cyuan |
10854530 | Heat dissipation structures | Cheng Yun-Wei |
10854542 | Via structure and methods thereof | Chang Che-Cheng |
10854552 | Semiconductor device and method of manufacture | Wu Jiun Yi |
10854563 | Device, semiconductor package and method of manufacturing semiconductor package | Hsu Chia-Kuei |
10854564 | Semiconductor device and manufacturing method thereof | Shao Tung-Liang |
10854565 | Chip package structure with bump | Chen Wei-Yu |
10854567 | 3D packages and methods for forming the same | Hou Shang-Yun |
10854568 | Packages with Si-substrate-free interposer and method forming same | Chen Ming-Fa |
10854569 | Package structure, semiconductor device and method of fabricating the same | Chen Wei-Chih |
10854570 | Integrated fan-out package and method of fabricating the same | Tsai Hui-Jung |
10854574 | Forming metal bonds with recesses | Chen Ming-Fa |
10854577 | 3D die stacking structure with fine pitches | Yu Chen-Hua |
10854579 | Semiconductor package structure | Hsu Feng-Cheng |
10854580 | Semiconductor structure along with multiple chips bonded through microbump and manufacturing method thereof | Lin Wei-Heng |
10854593 | Semiconductor device and layout thereof | Huang Cheng-I |
10854595 | Electrostatic discharge device | Lin Wun-Jie |
10854599 | Semiconductor device and manufacturing method thereof | Huang Chung-Pin |
10854602 | FinFET device and method for fabricating the same | Li Chii-Horng |
10854603 | Semiconductor device and method | Hsueh Jen-Chih |
10854605 | Replacement gate process for FinFET | Chiang Hung-Li |
10854615 | FinFET having non-merging epitaxially grown source/drains | Chang Chun Po |
10854618 | Memory device and method of forming the same | Liu Chien Hung |
10854635 | Semiconductor circuit with metal structure having different pitches | Liaw Jhon Jhy |
10854647 | Photo diode with dual backside deep trench isolation depth | Huang Yimin |
10854658 | Image sensor with sidewall protection and method of making same | Wang Chiao-Chi |
10854668 | Complementary metal-oxide-semiconductor image sensor | Tsai Bo-Tsung |
10854708 | Capacitor having multiple graphene structures | Jou Chewn-Pu |
10854711 | Isolation structure for active devices | Yao Fu-Wei |
10854713 | Method for forming trench structure of semiconductor device | Lin Jia-Ming |
10854714 | Germanium containing nanowires and methods for forming the same | Holland Martin Christopher |
10854715 | Supportive layer in source/drains of FinFET devices | Tai Jung-Chi |
10854716 | Semiconductor device with source/drain contact formed using bottom-up deposition | Wang Sung-Li |
10854721 | Semiconductor device with silicide | Colinge Jean-Pierre |
10854723 | Semiconductor device and method of forming vertical structure | Wang Chih-Hao |
10854724 | One-dimensional nanostructure growth on graphene and devices thereof | Yang Che-Wei |
10854725 | Atomic layer deposition methods and structures thereof | Lee Hsin-Yi |
10854726 | Integrated circuit with doped low-k sidewall spacers for gate stacks | Chen Yen-Ting |
10854728 | Vertical device having a protrusion structure | Chen De-Fang |
10854729 | Method to reduce etch variation using ion implantation | Wang Tsan-Chun |
10854735 | Method of forming transistor | Colinge Jean-Pierre |
10854736 | Method for forming semiconductor structure with contact over source/drain structure | Kelly Andrew Joseph |
10854742 | Metal gate electrode of a semiconductor device | Lin Jr-Jung |
10854748 | Semiconductor device having first and second epitaxial materials | SU Lilly |
10854749 | Source/drain structure having multi-facet surfaces | Huang Yu-Lien |
10854809 | STT-MRAM heat sink and magnetic shield structure design for more robust read/write performance | Zhong Tom |
10855177 | Negative charge pump and method of voltage conversion | Chang Yen-An |
10855280 | Input/output circuit and method | Chern Chan-Hong |
10855291 | Delay estimation device and delay estimation method | Lin Yu-Tso |
10855292 | Phase locked loop | Kuan Ting-Kuei |
10855478 | Method and apparatus for protecting embedded software | Lu Shih-Lien Linus |
10857649 | Method and apparatus for performing a polishing process in semiconductor fabrication | Lee Bo-I |
10857651 | Apparatus of chemical mechanical polishing and operating method thereof | Lan Chun-Kai |
10857676 | Photoresist bottle replacement system | Yu Oliver |
10858544 | Chemical mechanical polishing slurry and chemical mechanical polishing process using the same | Liang Wei-Wei |
10858736 | Atomic layer deposition method | Chuang Chia-Yi |
10859860 | Electro-optic modulator device, optical device and method of making an optical device | Lee Wan-Yu |
10859902 | Lithography mask and method | Wang Shiang-Bau |
10859905 | Photomask and method for forming the same | Wang Hsuan-Wen |
10859906 | Extreme ultraviolet alignment marks | Hsieh Yi-Fu |
10859908 | Method to fabricate mask-pellicle system | Tseng Chun-Hao |
10859915 | Adhesion layer for multi-layer photoresist | Liu Chen-Yu |
10859918 | Semiconductor apparatus and method of operating the same | Chen Kuan-Hung |
10859922 | Lithography system and method | Yu Chen-Hua |
10859924 | Method for manufacturing semiconductor device and system for performing the same | Wang Wen-Yun |
10859928 | EUV light source and apparatus for lithography | Chen Yu-Chih |
10860008 | Method and system for lot-tool assignment | You Ren-Chyi |
10860769 | Method and system for integrated circuit design with on-chip variation and spatial correlation | Chiang Katherine |
10860774 | Methodology for pattern density optimization | Wang Hung-Chun |
10860777 | Method and system for fabricating integrated circuit with aid of programmable circuit synthesis | Chuang Yung-Hsu |
10861547 | Multi-step reset technique to enlarge memory window | Chen Chao-Yang |
10861553 | Device-region layout for embedded flash | Yang Shih Kuang |
10861572 | Memory device | Chih Yu-Der |
10861692 | Substrate carrier deterioration detection and repair | Wang Jen-Ti |
10861698 | Pattern fidelity enhancement | Shen Yu-Tien |
10861700 | Diode array for connecting to phase change memory and method forming same | Lai Fang-Shi Jordan |
10861701 | Semiconductor device and manufacturing method thereof | Lin Jia-Ming |
10861705 | Reduction of line wiggling | Lin Jiann-Horng |
10861706 | Etch selectivity improved by laser beam | Ouyang Christine Y |
10861710 | Methods of manufacturing semiconductor devices | Kuo Hung-Jui |
10861721 | Apparatus and method for processing wafer | Chou You-Hua |
10861723 | EFEM robot auto teaching methodology | Lee Chien-Fa |
10861738 | Thermal sensor arrangement and method of making the same | Horng Jaw-Juinn |
10861740 | Method of forming trenches with different depths | Wang Chao-Hsun |
10861742 | Interconnect structure having an etch stop layer over conductive lines | Tsai Cheng-Hsiung |
10861745 | Semiconductor device and method of manufacture | Yang Chan Syun David |
10861746 | Method of manufacturing a semiconductor device | Jang Shu-Uei |
10861749 | Using a metal-containing layer as an etching stop layer and to pattern source/drain regions of a FinFET | Chen Yen-Ting |
10861750 | Method of manufacturing a semiconductor device and a semiconductor device | Chiang Hung-Li |
10861751 | Method of semiconductor integrated circuit fabrication | Yu De-Wei |
10861752 | Methods of cutting metal gates and structures formed thereof | Perng Tsu-Hsiu |
10861753 | Air gap formation between gate spacer and epitaxy structure | Lai Bo-Yu |
10861761 | Semiconductor packaged wafer and method for forming the same | Chang Fu-Chen |
10861773 | Semiconductor package and manufacturing method thereof | Yu Chen-Hua |
10861788 | Patterning approach for improved via landing profile | Ting Chih-Yuan |
10861790 | Power strap structure for high performance and low current density | Chen Chih-Liang |
10861791 | Interconnection structure, fabricating method thereof, and semiconductor device using the same | Lin Yu-Hung |
10861799 | Dummy die placement without backside chipping | Wu Chih-Wei |
10861801 | Wafer level package (WLP) and method for forming the same | Jeng Shin-Puu |
10861804 | Devices and methods for enhancing insertion loss performance of an antenna switch | Jin Jun-De |
10861807 | Integrated circuit features with obtuse angles and method forming same | Chung Shu-Wei |
10861808 | Bonding structure of dies with dangling bonds | Chen Hsien-Wei |
10861809 | Semiconductor structure and method of forming | Yu Chen-Hua |
10861810 | Shielding structures | Huang Chih-Fan |
10861811 | Connector structure and method of forming same | Chen Chen-Shien |
10861814 | Integrated fan-out packages and methods of forming the same | Lee Tzung-Hui |
10861817 | Thermally conductive molding compound structure for heat dissipation in semiconductor packages | Tseng Chun-Hao |
10861823 | Dual-sided integrated fan-out package | Pan Kuo Lung |
10861827 | 3D package structure and methods of forming same | Chen Meng-Tse |
10861830 | Semiconductor device | Yeh Chao-Yang |
10861835 | Solution for reducing poor contact in InFO package | Lin Jing-Cheng |
10861836 | Interposer frame and method of manufacturing the same | Wu Jiun Yi |
10861841 | Semiconductor device with multiple polarity groups | Tseng Ying-Cheng |
10861849 | Trimmable resistor circuit and method for operating the trimmable resistor circuit | Liu Szu-Lin |
10861859 | Memory cells with butted contacts and method of forming same | Chuang You Che |
10861894 | Multiple deep trench isolation (MDTI) structure for CMOS image sensor | Wu Wei Chuang |
10861896 | Capping structure to reduce dark current in image sensors | Liu Po-Chun |
10861899 | Interconnect structure for stacked device and method | Chuang Chun-Chieh |
10861928 | Integrated circuits with capacitors | Liu Hsiao-Han |
10861929 | Electronic device including a capacitor | Chang Kai-Fung |
10861935 | Semiconductor device source/drain region with arsenic-containing barrier region | Kuo Chien-I |
10861936 | Fin-like field effect transistors having high mobility strained channels and methods of fabrication thereof | Liaw Jhon Jhy |
10861937 | Integrated circuit structure and method with solid phase diffusion | Peng Cheng-Yi |
10861946 | Field plate structure for high voltage device | Ho Chia-Cheng |
10861951 | Transistor layout to reduce kink effect | Lin Meng-Han |
10861952 | Methods of manufacturing gate-all-around (GAA) FETs through partial replacement of gate spacers | Ching Kuo-Cheng |
10861953 | Air spacers in transistors and methods forming same | Chen Yi-Lun |
10861954 | High-K film apparatus and method | Chang Che-Cheng |
10861957 | FinFET devices and methods of forming | Chen Chia-Cheng |
10861958 | Integrated circuits with gate stacks | Cheng Kuan-Lun |
10861959 | Deposition selectivity enhancement and manufacturing method thereof | Chui Chi On |
10861960 | FinFET device and method | Wu Chung-Shu |
10861962 | Semiconductor device and method of fabricating the same | Afzalian Aryan |
10861968 | Semiconductor device with negative capacitance structure and method for forming the same | Young Bo-Feng |
10861969 | Method of forming FinFET structure with reduced Fin buckling | Lai Wei-Jen |
10861971 | Doping profile for strained source/drain region | Sung Hsueh-Chang |
10861972 | Channel strain inducing architecture and doping technique at replacement poly gate (RPG) stage | Wu Zhiqiang |
10861973 | Negative capacitance transistor with a diffusion blocking layer | Hsu Chi-Hsing |
10861975 | FinFET with rounded source/drain profile | Yu Ming-Hua |
10861977 | FinFET isolation structure | Chang Che-Cheng |
10861988 | Image sensor with an absorption enhancement semiconductor layer | Wu Ming-Chi |
10861989 | Image sensor with an absorption enhancement semiconductor layer | Wu Ming-Chi |
10862023 | Semiconductor structure and manufacturing method of the same | Peng Tai-Yen |
10862026 | Memory device | Wu Jung-Tang |
10862029 | Top electrode for device structures in interconnect | Chen Hsia-Wei |
10862031 | Method to effectively suppress heat dissipation in PCRAM devices | Lin Yu Chao |
10862426 | Clock generator | Li Chao-Chieh |
10862464 | Comparing device and method of controlling comparing device | Chuang Mei-Chen |
10863630 | Material composition and methods thereof | Wang Siao-Shan |
10864530 | Coating apparatus and method of forming coating film | Wang Lan-Hai |
10864533 | Integrated circuit, system for and method of forming an integrated circuit | Chan Channing |
10864557 | System for cleaning wafer in CMP process of semiconductor manufacturing fabrication | Tien Chia-Ying |
10864612 | Polishing pad and method of using | Chen ChunHung |
10865099 | MEMS device and method for forming the same | Yang Chen Hsiung |
10865100 | Method for forming micro-electro-mechanical system (MEMS) structure | Chang Kai-Fung |
10865102 | Multi-depth MEMS package | Tai Wen-Chuan |
10865103 | Packaging method and associated packaging structure | Chen Chih-Ming |
10865443 | Optical detection for bio-entities | Chang Allen Timothy |
10865479 | Mechanism for creating vacuum in processing apparatus | Lan Yung-Syuan |
10865496 | Plating apparatus and plating method | Hung Tuan-Yu |
10866266 | Probe head receiver and probe card assembly having the same | Hsu Ming-Cheng |
10866276 | Method and system for aligning probe card in semiconductor device testing | Chuang Kai-Di |
10866281 | System and method to diagnose integrated circuit | Changchien Wei-Pin |
10866361 | Method of making a metal grating in a waveguide and device formed | Lai Jui Hsieh |
10866362 | Etchant and etching process for substrate of a semiconductor device | Lee Wan-Yu |
10866373 | Optical transceiver and manufacturing method thereof | Yu Chen-Hua |
10866374 | Optical bench on substrate and method of making the same | Kuo Ying-Hao |
10866397 | Microscope apparatus | Chu Fu-Sheng |
10866440 | Optical modulator and package | Cho Lan-Chou |
10866504 | Lithography mask with a black border region and method of fabricating the same | Lin Chin-Hsiang |
10866505 | Mask process correction | Huang Hsu-Ting |
10866506 | Photo mask data correction method | Tien Fu An |
10866508 | Method for manufacturing photomask and semiconductor manufacturing method thereof | Hu Wei-Chung |
10866511 | Extreme ultraviolet photolithography method with developer composition | Zi An-Ren |
10866515 | Lithography process using photoresist material with photosensitive functional group | Weng Ming-Hui |
10866516 | Metal-compound-removing solvent and method in lithography | Zi An-Ren |
10866517 | Lithography techniques for reducing resist swelling | Weng Ming-Hui |
10866519 | Reticle-masking structure, extreme ultraviolet apparatus, and method of forming the same | Hsu Ching-Hsiang |
10866524 | Method and system for overlay control | Chang Yang-Hung |
10866525 | Method of manufacturing a semiconductor device and apparatus for manufacturing the semiconductor device | Yu Shinn-Sheng |
10866653 | Converter and conversion method for converting click position of display into light pen simulated signal for semiconductor manufacturing machine | Chen Ming-Sze |
10867089 | Electrical system level (ESL) battery discharge simulation | Zhou Charlie |
10867098 | System and method for ESL modeling of machine learning | Ting Kai-Yuan |
10867099 | System for designing integrated circuit layout and method of making the integrated circuit layout | Hsieh Shang-Chih |
10867100 | Integrated circuit designing system | Hsieh Shang-Chih |
10867101 | Leakage reduction between two transistor devices on a same continuous fin | Lin Chun-Yen |
10867102 | Inverted pitch IC structure, layout method, and system | Peng Shih-Wei |
10867103 | Method and system for forming conductive grid of integrated circuit | Biswas Hiranmay |
10867108 | Optical mode optimization for wafer inspection | Chao Bing-Siang |
10867109 | Electromigration evaluation methodology with consideration of both self-heating and heat sink thermal effects | Yu Tseng Hsien |
10867112 | Methods of making mask using transmission cross coefficient (TCC) matrix of lithography process optical system | Huang Hsu-Ting |
10867113 | Transmission gate structure, layout, methods, and system | Chien Shao-Lun |
10867114 | Integrated circuit and method of forming an integrated circuit | Zhuang Hui-Zhong |
10867115 | System and method for calculating cell edge leakage | Peng Shih-Wei |
10867116 | Forecasting wafer defects using frequency domain analysis | Chang Yang-Hung |
10867642 | Active random access memory | Chen Hsin-Cheng |
10867646 | Bit line logic circuits and methods | Wu Shang-Chi |
10867651 | Initialization process for magnetic random access memory (MRAM) production | Lee Yuan-Jen |
10867652 | Read circuit for magnetic tunnel junction (MTJ) memory | Gupta Gaurav |
10867669 | Serialized SRAM access to reduce congestion | Chen Hsin-Cheng |
10867681 | SRAM memory having subarrays with common IO block | Clinton Michael |
10867785 | Structure and formation method of semiconductor device with gate spacer | Tu Guan-Yao |
10867787 | Method for controlling plasma in semiconductor fabrication | Wu Cheng-Tsung |
10867789 | Treatment to control deposition rate | Kao Wan-Yi |
10867792 | High electron mobility transistor (HEMT) having an indium-containing layer and method of manufacturing the same | Liu Po-Chun |
10867793 | Semiconductor package and method of fabricating the same | Liu Zi-Jheng |
10867794 | Patterning method for semiconductor devices and structures resulting therefrom | Chang Ching-Yu |
10867799 | FinFET device and methods of forming same | Wang Chun Chieh |
10867800 | Method of forming an interconnect structure having a carbon-containing barrier layer | Lin Rueijer |
10867803 | Semiconductor device and method | Hsu Yao-Wen |
10867804 | Patterning method for semiconductor device and structures resulting therefrom | Su Yi-Nien |
10867805 | Selective removal of an etching stop layer for improving overlay shift tolerance | Huang Chien-Hua |
10867806 | Semiconductor device gate structure and method of fabricating thereof | Chiang Hsin-Che |
10867807 | Semiconductor device and method | Huang Ming-Jie |
10867809 | Laser anneal process | Lu Chun-Ti |
10867810 | Substrate pad structure | Liu Hao-Juin |
10867811 | Semiconductor device | Liu Zi-Jheng |
10867812 | Semiconductor manufacturing system and control method | Lin Su-Horng |
10867816 | Method and apparatus for wafer backside cooling | Cheng Chung-Hsiu |
10867823 | Fault detection method in semiconductor fabrication | Huang Chun-Jung |
10867824 | Substrate detecting system in a substrate storage container | Wu Min-Cheng |
10867827 | Alignment holder, testing apparatus and method for manufacturing a semiconductor package | Wang Chih |
10867831 | Method and apparatus for bonding semiconductor devices | Tsai Yan-Zuo |
10867832 | Apparatus for holding semiconductor wafers | Yu Chen-Hua |
10867833 | Buried metal for FinFET device and method | Chou Lei-Chun |
10867835 | Semiconductor packaging structure and process | Ho Kuan-Lin |
10867836 | Wafer stack and fabrication method thereof | Chang Kuei-Sung |
10867838 | Semiconductor device having a shallow trench isolation structure and methods of forming the same | Lin Chun-Li |
10867839 | Patterning methods for semiconductor devices | Wang Wei-Ren |
10867840 | Method of forming a semiconductor device | Su Yi-Nien |
10867841 | Semiconductor device and method of manufacturing the same | Hsiao Meng-Hsuan |
10867842 | Method for shrinking openings in forming integrated circuits | Wang Peng |
10867843 | Method and system for fabrication semiconductor device | Lee Shao-Kuan |
10867844 | Wet cleaning with tunable metal recess for VIA plugs | Wang Yu Shih |
10867845 | Semiconductor device and method | Wang Yu-Sheng |
10867846 | Fin field effect transistor (finFET) device structure with protection layer and method for forming the same | Chen Chien-Yuan |
10867847 | Semiconductor device and manufacturing method thereof | Huang Hsin-Yen |
10867848 | Semiconductor device and method | Wu Chung-Chiang |
10867849 | Package-on-package structure | Cheng Li-Hui |
10867850 | Selective deposition method for forming semiconductor structure | Lee Cheng-Chin |
10867851 | Contact structure and semiconductor device and method of forming the same | Lee Ying |
10867852 | Semiconductor device and manufacturing method thereof | Yeh Chi-Ruei |
10867859 | Methods of fabricating semiconductor devices having isolation structures with liners | Chen Hsueh-Ju |
10867860 | Methods of forming FinFET device | Kao Wan-Yi |
10867861 | Fin field-effect transistor device and method of forming the same | Lin Tzu-Ching |
10867862 | Semiconductor method and device | Yen Cheng-Hsiung |
10867863 | Semiconductor device structure and method for forming the same | Huang Lin-Yu |
10867864 | Semiconductor device and method of manufacture | Wu Chung-Chiang |
10867865 | Method and structure for FinFET isolation | Chang Che-Cheng |
10867866 | Semiconductor device and manufacturing method thereof | Van Dal Mark |
10867867 | Methods of fabricating semiconductor devices with mixed threshold voltages boundary isolation of multiple gates and structures formed thereby | Chiang Kuo-Cheng |
10867869 | Method for patterning a lanthanum containing layer | Lee Kun-Yu |
10867870 | Semiconductor device with funnel shape spacer and methods of forming the same | Yang Cheng-Yu |
10867871 | Interconnect structure for fin-like field effect transistor | Liaw Jhon Jhy |
10867872 | Method and apparatus for manufacturing semiconductor structure | Lee Yung-Yao |
10867874 | Semiconductor device and method | Yu Chen-Hua |
10867878 | Dam for three-dimensional integrated circuit | Wang Tsung-Ding |
10867879 | Integrated circuit package and method | Yu Chen-Hua |
10867881 | Package and printed circuit board attachment | Tsao Pei-Haw |
10867882 | Semiconductor package, semiconductor device and method for packaging semiconductor device | Wan Albert |
10867884 | Heat spreading device and method | Yu Chen-Hua |
10867885 | Heat spreading device and method | Yu Chen-Hua |
10867889 | Method of manufacturing semiconductor structure | Fang Li-Yen |
10867890 | Mutli-chip package with encapsulated conductor via | Hwang Chien-Ling |
10867891 | Ion through-substrate via | Shen Yu-Yang |
10867892 | Semiconductor structure and manufacturing method thereof | Chang Chih-Horng |
10867896 | Molding compound structure | Chen Hsien-Wei |
10867897 | PoP device | Chang Chin-Chuan |
10867900 | Dummy metal with zigzagged edges | Hsieh Cheng-Hsien |
10867903 | Semiconductor package and method of forming the same | Kao Chih-Kuang |
10867904 | Integrated circuit structure of capacitive device | Chen Tai-Yi |
10867905 | Interconnect structures and methods of forming the same | Wang Sung-Li |
10867906 | Conductive structures in semiconductor devices | Yang Tai-I |
10867910 | Semiconductor device with damascene structure | Peng Tai-Yen |
10867911 | InFO coil structure and methods of manufacturing same | Yu Chen-Hua |
10867913 | Method and apparatus for forming self-aligned via with selectively deposited etching stop layer | Wu Yung-Hsu |
10867916 | Via sizing for IR drop reduction | Biswas Hiranmay |
10867917 | Semiconductor device, associated method and layout | Peng Shih-Wei |
10867919 | Electronic device and manufacturing method thereof | Tsai Tsung-Fu |
10867920 | Electro-migration barrier for Cu interconnect | Sung Su-Jen |
10867921 | Semiconductor structure with tapered conductor | Chen Wei Ting |
10867922 | Porogen bonded gap filling material in semiconductor manufacturing | Lin Bo-Jiun |
10867924 | Semiconductor package with redistribution structure and pre-made substrate on opposing sides for dual-side metal routing | Jeng Shin-Puu |
10867925 | Method for forming chip package structure | Jeng Shin-Puu |
10867928 | Semiconductor device and manufacturing method thereof | Lu Kuan-Chung |
10867929 | Semiconductor structures and methods of forming the same | Yu Chen-Hua |
10867930 | Integrated fan-out packaging | Pu Han-Ping |
10867932 | Method for manufacturing package structure | Tsai Yi-Da |
10867933 | Method for forming semiconductor device structure with overlay grating | Chen Long-Yi |
10867936 | Semiconductor device with shield for electromagnetic interference | Wang Chuei-Tang |
10867938 | Package structure | Tang Tzu-Chun |
10867939 | Package structure and method of fabricating the same | Kuo Cheng-Yu |
10867940 | Package structure and manufacturing method thereof | Wan Albert |
10867941 | Semiconductor device and method | Yu Chen-Hua |
10867943 | Die structure, die stack structure and method of fabricating the same | Chen Yi-Hsiu |
10867944 | Semiconductor structure and manufacturing method thereof | Wu Tung-Jiun |
10867947 | Semiconductor packages and methods of manufacturing the same | Wu Jiun-Yi |
10867949 | Substrate design for semiconductor packages and method of forming same | Cheng Jung Wei |
10867951 | Semiconductor device | Huang Kuan-Yu |
10867952 | Semiconductor structure and manufacturing method thereof | Lu Chun-Lin |
10867953 | Manufacturing method of integrated fan-out package | Ang Ai-Tee |
10867954 | Interconnect chips | Ting Kuo-Chiang |
10867955 | Package structure having adhesive layer surrounded dam structure | Cheng Li-Hui |
10867957 | Mechanisms for forming hybrid bonding structures with elongated bumps | Lu Chun-Lin |
10867958 | Integrated circuit with a thermally conductive underfill | Yu Chen-Hua |
10867960 | Device package including molding compound having non-planar top surface around a die and method of forming same | Yu Chen-Hua |
10867962 | Packaging process and manufacturing method | Chen Jiun-Ting |
10867963 | Die stack structure and method of fabricating the same | Hsu Chia-Hao |
10867965 | Package structures and methods of forming the same | Shih Ying-Ching |
10867966 | Package structure, package-on-package structure and method of fabricating the same | Chen Hsien-Wei |
10867967 | Chip package with redistribution layers | Chen Jie |
10867968 | 3DIC structure with protective structure and method of fabricating the same | Chen Hsien-Wei |
10867973 | Package structure and method of forming the same | Cheng Hsi-Kuei |
10867975 | Interconnect structures, packaged semiconductor devices, and methods of packaging semiconductor devices | Tu Chia-Wei |
10867976 | Semiconductor packages having dummy connectors and methods of forming same | Chen Chen-Shien |
10867982 | Hybrid integrated circuit package and method | Yu Chen-Hua |
10867985 | Method and structure of three-dimensional chip stacking | Yu Chen-Hua |
10867986 | Semiconductor device having fin structure | Chen Shun-Li |
10867988 | Integrated ESD enhancement circuit for SOI device | Hsu Sheng-Fu |
10867990 | Series resistor over drain region in high voltage device | Huo Ker Hsiao |
10867996 | ROM chip manufacturing structures having shared gate electrodes | Liaw Jhon-Jhy |
10867998 | Semiconductor structure cutting process and structures formed thereby | Hung Chih-Chang |
10868000 | Semiconductor device structure with epitaxial structure and method for forming the same | Yu Li-Zhen |
10868001 | Semiconductor device and manufacturing method thereof | Ching Kuo-Cheng |
10868003 | Creating devices with multiple threshold voltages by cut-metal-gate process | Wen Ming-Chang |
10868004 | Semiconductor devices having Fin Field Effect Transistor (FinFET) structures and manufacturing and design methods thereof | Lee Tung Ying |
10868005 | FinFETs and methods of forming finFETs | Cheng Tung-Wen |
10868006 | FinFET transistor with fin back biasing | Wen Tsung-Yao |
10868009 | Semiconductor device and manufacturing method thereof | Chen Winnie Victoria Wei-Ning |
10868013 | Semiconductor device and method | Tsai Cheng-Yen |
10868014 | Hybrid scheme for improved performance for P-type and N-type FinFETs | Chiang Kuo-Cheng |
10868015 | Hybrid scheme for improved performance for P-type and N-type FinFETs | Chiang Kuo-Cheng |
10868018 | SRAM structure and connection | Liaw Jhon Jhy |
10868019 | Semiconductor device having strap cell | Lo Kuo-Hung |
10868020 | Well strap structures and methods of forming the same | Liaw Jhon Jhy |
10868024 | Method of forming embedded nonvolatile memory | Wu Chang-Ming |
10868026 | Method to improve fill-in window for embedded memory | Lin Meng-Han |
10868027 | Structure and method for preventing silicide contamination during the manufacture of micro-processors with embedded flash memory | Lin Meng-Han |
10868028 | Flash memory structure with reduced dimension of gate structure and methods of forming thereof | Chen Sheng-Chieh |
10868050 | Backside illuminated image sensor with negatively charged layer | Ting Shyh-Fann |
10868053 | Image sensor with a high absorption layer | Huang Chien-Chang |
10868058 | Photodiode gate dielectric protection layer | Chou Cheng-Hsien |
10868063 | Surface treatment for BSI image sensors | JangJian Shiu-Ko |
10868065 | Front side illuminated image sensor device structure and method for forming the same | Tsao Tsun-Kai |
10868067 | Image sensor device and manufacturing method for improving shutter efficiency | Tsao Tsun-Kai |
10868071 | Method for forming semiconductor image sensor | Sze Jhy-Jyi |
10868072 | Semiconductor structure and image sensor | Tsao Chang-Sheng |
10868079 | Magnetic detection circuit, MRAM and operation method thereof | Ying Ji-Feng |
10868106 | Semiconductor structure and method | Huang Wei-Li |
10868107 | Trench capacitor and method of forming the same | Liu Tao-Cheng |
10868108 | Semiconductor device having high voltage lateral capacitor and manufacturing method thereof | Lin Meng-Han |
10868110 | Low warpage high density trench capacitor | Lin Jyun-Ying |
10868112 | Circuit device including guard ring and method of forming guard ring | Lin Wan-Yen |
10868116 | Circuit structure and method for reducing electronic noises | Kao Ching-Hung |
10868117 | Systems and methods for forming nanowires using anodic oxidation | Hwu Jenn-Gwo |
10868118 | Methods of forming epitaxial source/drain features in semiconductor devices | Wang Jia-Heng |
10868126 | Semiconductor device | Liaw Jhon-Jhy |
10868127 | Gate-all-around structure and manufacturing method for the same | Cheng Chao-Ching |
10868128 | Ohmic contact structure, semiconductor device including an ohmic contact structure, and method for forming the same | Chyi Jen-Inn |
10868129 | Gate spacer and methods of forming | Tsai Chun Hsiung |
10868130 | Semiconductor device and method of manufacture | Chen Yen-Ting |
10868131 | Gaseous spacer and methods of forming same | Yeh Hsin-Hao |
10868132 | Semiconductor device including standard cells with header/footer switch including negative capacitance | Lee Chien-Hsing |
10868133 | Semiconductor device structure and method for forming the same | Yeh Chi-Ruei |
10868134 | Method of making transistor having metal diffusion barrier | Wong King-Yuen |
10868135 | High electron mobility transistor structure | Yu Chen-Ju |
10868136 | Sidewall passivation for HEMT devices | Chiu Han-Chin |
10868137 | Semiconductor device and method | Yu De-Wei |
10868138 | Metal gate formation through etch back process | Chang Che-Cheng |
10868139 | Controlling profiles of replacement gates | Lin Chih-Han |
10868140 | Gap-filling germanium through selective bottom-up growth | Yu De-Wei |
10868141 | Spacer structure and manufacturing method thereof | Fan Fu-Jier |
10868142 | Gate spacer structure and method of forming same | Chien Wei-Ting |
10868143 | Spacers with rectangular profile and methods of forming the same | Chang Yu-Sheng |
10868149 | Source and drain surface treatment for multi-gate field effect transistors | Fan Wei-Han |
10868150 | Devices including gate spacer with gap or void and methods of forming the same | Chiang Kuo-Cheng |
10868151 | Conformal transfer doping method for fin-like field effect transistor | Yeong Sai-Hooi |
10868154 | Semiconductor device and manufacturing method thereof | Ramvall Peter |
10868156 | Method of forming epitaxial silicon layer and semiconductor device thereof | Cheng Yu-Hung |
10868157 | Gated metal-insulator-semiconductor (MIS) tunnel diode having negative transconductance | Hwu Jenn-Gwo |
10868158 | Charge storage and sensing devices and methods | Hwu Jenn-Gwo |
10868166 | Highly strained source/drain trenches in semiconductor devices | Kao Ta-Wei |
10868171 | Semiconductor device structure with gate dielectric layer and method for forming the same | Cheng Chung-Liang |
10868174 | Devices with strained isolation features | Wu Xusheng |
10868175 | Method for manufacturing semiconductor structure | Kuang Shin-Jiun |
10868178 | Field effect transistor contact with reduced contact resistance using implantation process | Liu Su-Hao |
10868179 | Fin-type field effect transistor structure and manufacturing method thereof | Chang Che-Cheng |
10868180 | Method and structure for FinFET devices | Lin Kuo-Yin |
10868181 | Semiconductor structure with blocking layer and method for forming the same | Li Kun-Mu |
10868182 | Field effect transistor and manufacturing method thereof | Huang Yu-Xuan |
10868183 | FinFET device and methods of forming the same | More Shahaji B. |
10868184 | Fin field effect transistor (FinFET) device structure with hard mask layer over gate structure and method for forming the same | Chiang Yu-Ho |
10868185 | Semiconductor structure and method of forming the same | Wang Chin-Shan |
10868186 | FinFETs with source/drain cladding | Chiang Kuo-Cheng |
10868188 | Semiconductor device and method | Chang Che-Cheng |
10868189 | Semiconductor device and manufacturing method thereof | Chang Che-Cheng |
10868195 | Impact ionization semiconductor device and manufacturing method thereof | Hou Tuo-Hung |
10868234 | Storage device having magnetic tunnel junction cells of different sizes, and method of forming storage device | Chuang Harry-Hak-Lay |
10868235 | Minimal thickness synthetic antiferromagnetic (SAF) structure with perpendicular magnetic anisotropy for STT-MRAM | Beach Robert |
10868237 | Self-aligned encapsulation hard mask to separate physically under-etched MTJ cells to reduce conductive R-deposition | Yang Yi |
10868239 | Gradient protection layer in MTJ manufacturing | Peng Tai-Yen |
10868241 | Apparatus for polarizing a semiconductor wafer and method for fabricating a magnetic semiconductor device | Wu Jim-Wei |
10868242 | Sub 60nm etchless MRAM devices by ion beam etching fabricated T-shaped bottom electrode | Yang Yi |
10868243 | Co-fired passive integrated circuit devices | Kalnitsky Alexander |
10868244 | Multiple hard mask patterning to fabricate 20nm and below MRAM devices | Yang Yi |
10868247 | Semiconductor device structure with multiple resistance variable layers | Trinh Hai-Dang |
10868250 | Resistance variable memory structure and method of forming the same | Tu Kuo-Chi |
10868353 | Electronic device and manufacturing method thereof | Lu Chun-Lin |
10868494 | Device and method of operating the same | Tsai Ping-Han |
10868496 | Oscillator circuits and methods for realignment of an oscillator circuit | Tsai Tsung-Hsien |
10868509 | Band stop filter structure and method of forming | Tsai Ming Hsien |
10868538 | Logic cell structure and integrated circuit with the logic cell structure | Wang Shao-Huan |
10868542 | Segmentation superposition technique for binary error compensation | Li Chao Chieh |
10868545 | Low power clock network | Lu Po Chun |
10868546 | Fractional realignment techniques for PLLs | Tsai Tsung-Hsien |
10868559 | Readout method, readout circuit and sensing apparatus with wide dynamic range | Huang Yu-Jie |
10868560 | Low distortion successive approximation register (SAR) analog-to-digital converters (ADCs) and associated methods | Chuang Mei-Chen |
10868562 | Loop gain auto calibration using loop gain detector | Chou Mao-Hsuan |
10868669 | Method for role-based data transmission using physically unclonable function (PUF)-based keys | Liu Mei-Chien |
10868994 | Image sensor system, and related timing control circuit and control method | Liu Chih-Min |
10869385 | Semiconductor device, circuit board structure and method of fabricating the same | Wu Jiun-Yi |
10870574 | Method and apparatus for reducing in-process and in-use stiction for MEMS devices | Tseng Lee-Chuan |
10871454 | Inspection method and apparatus | Chou Chung-Pin |
10871518 | Systems and methods for determining systematic defects | Goel Sandeep Kumar |
10871647 | Apparatus and method for prevention of contamination on collector of extreme ultraviolet light source | Liu Kuo-An |
10871713 | Method of controlling reticle masking blade positioning to minimize impact on critical dimension uniformity and device for controlling reticle masking blade positioning | Cho Hung-Wen |
10871719 | EUV metal droplet catchers | Lin Sheng-Ta |
10871720 | Apparatus for supporting a semiconductor wafer and method of vibrating a semiconductor wafer | Sharma Astha |
10871721 | Mask blank for lithography and method of manufacturing the same | Chen Ming-Wei |
10871722 | Photomask purging system and method | Yen Chung-Yi |
10872189 | Uni-gate cell design | Chou Wen-Shen |
10872406 | Hot spot defect detecting method and hot spot defect detecting system | Chen Chien-Huei |
10872659 | Memory system having write assist circuit including memory-adapted transistors | Lin Yangsyu |
10872664 | PCRAM analog programming by a gradual reset cooling step | Wu Jau-Yi |
10872760 | Cluster tool and manufacuturing method of semiconductor structure using the same | Liao Han-Wen |
10872762 | Methods of forming silicon oxide layer and semiconductor structure | Peng Yu-Yun |
10872769 | Formation and in-situ etching processes for metal layers | Lin Po-Yu |
10872773 | Methods of reducing pattern roughness in semiconductor fabrication | Wang Chien-Wei |
10872776 | Structure and formation method of semiconductor device structure | Colinge Jean-Pierre |
10872777 | Self-aligned double patterning (SADP) method | Pan Jui-Yu |
10872781 | Semiconductor device and a method for fabricating the same | Lee Chen-Ming |
10872788 | Wet etch apparatus and method for using the same | Lu Hong-Ting |
10872789 | Wafer cooling system | Chen Otto |
10872793 | System and method for monitoring operation conditions of semiconductor manufacturing apparatus | Wang Chih-Yu |
10872794 | Automatic in-line inspection system | Liao Chien-Ko |
10872805 | Semiconductor device and manufacturing method thereof | Chang Kuei-Ming |
10872806 | Semiconductor device and manufacturing method thereof | Huang Yi-Chun |
10872810 | Fin field effect transistor device structure and method for forming the same | Huang Lin-Yu |
10872815 | Conductive interconnect structures in integrated circuits | Wu Jung-Tang |
10872818 | Buried power rail and method forming same | Chiang Kuo-Cheng |
10872821 | Semiconductor structure and manufacturing method thereof | Wu Tung-Jiun |
10872822 | Method for manufacturing semiconductor devices | Yeh Ling-Yen |
10872825 | Method of manufacturing a semiconductor device and a semiconductor device | Chiang Hung-Li |
10872826 | Fin field-effect transistor device and method | Wu Shao-Jyun |
10872831 | Method of forming a semiconductor package | Han Ying-Shin |
10872836 | Package structure for heat dissipation | Yu Chen-Hua |
10872839 | Method for manufacturing semiconductor device | Liaw Jhon-Jhy |
10872842 | Semiconductor device and manufacturing method thereof | Wan Albert |
10872850 | Package structure and method of forming thereof | Cheng Li-Hui |
10872855 | Chip package and method of fabricating the same | Chen Guan-Yu |
10872862 | Package structure having bridge structure for connection between semiconductor dies and method of fabricating the same | Wu Chih-Wei |
10872864 | Semiconductor package and method | Wang Po-Han |
10872865 | Electric magnetic shielding structure in packages | Yu Chen-Hua |
10872871 | Chip package structure with dummy bump and method for forming the same | Huang Sung-Hui |
10872873 | Method for bonding wafers and bonding tool | Chen Chien-Chih |
10872874 | Bonding apparatus and method of bonding substrates | Yu Chen-Hua |
10872878 | Packaging methods for semiconductor devices, packaged semiconductor devices, and design methods thereof | Wang Chuei-Tang |
10872883 | Memory circuit and method of operating same | Lu Shih-Lien Linus |
10872885 | Integrated circuit packages and methods of forming same | Wu Yi-Wen |
10872886 | Semiconductor device including vertical routing structure and method for manufacturing the same | Wen Wei-Chih |
10872889 | Semiconductor component and fabricating method thereof | Chen Ting-Yeh |
10872890 | Semiconductor device | Chang Che-Cheng |
10872891 | Integrated circuits with gate cut features | Lin Zhi-Chang |
10872892 | Semiconductor device and manufacturing method thereof | Chen Lung |
10872893 | Dual nitride stressor for semiconductor device and method of manufacturing | Yang Yu-Lin |
10872895 | Method of manufacturing capacitor structure | Yang Tsung-Hsueh |
10872896 | Eight-transistor static random access memory, layout thereof, and method for manufacturing the same | Lu Shau-Wei |
10872897 | Cutting metal gates in fin field effect transistors | Yin Li-Wei |
10872906 | Semiconductor device and manufacturing method thereof | Hung Tsung-Yu |
10872918 | Optical isolation structure for reducing crosstalk between pixels and fabrication method thereof | Huang Po-Han |
10872921 | Image sensor and method for fabricating the image sensor | Cheng Yun-Wei |
10872955 | Semiconductor device and fabricating method thereof | Lu Chun-Chieh |
10872961 | Semiconductor device and manufacturing method thereof | Chung Han-Pin |
10872963 | Substrate resistor and method of making same | Chen Hua Feng |
10872965 | Method of forming semiconductor structure | Chen Chang-Yin |
10872968 | Semiconductor device | Chang Che-Cheng |
10872969 | Semiconductor device with combined fin-edge-cut and fin-major-cut and method of manufacturing the same | Chang Shih-Ming |
10872970 | Source and drain formation technique for fin-like field effect transistor | Tsai Chun Hsiung |
10872972 | Vertical tunnel field effect transistor (FET) | Bhuwalka Krishna Kumar |
10872973 | Semiconductor structures with two-dimensional materials | Lin Shih-Yen |
10872978 | Metal gate structure and methods of fabricating thereof | Yang I-Wei |
10872980 | Semiconductor device and manufacturing method thereof | Tsai Wen-Che |
10873484 | Device with equaler circuit | Chen Wei-Chih |
10875060 | Method and apparatus for removing debris from collector | Wu Shang-Ying |
10875143 | Apparatus and methods for chemical mechanical polishing | Hwang James Jeng-Jyi |
10875148 | Apparatus and methods for chemical mechanical polishing | Peng He Hui |
10875149 | Apparatus and method for timed dispensing various slurry components | Chen Kei-Wei |
10875764 | High efficiency getter design in vacuum MEMS device | Chen Ting-Jung |
10876208 | Apparatus and method for fabricating a semiconductor device | Chang Kai-Hsiang |
10876976 | Apparatus and method for substrate inspection | Shih Bo-Han |
10877089 | Semiconductor wafer testing system and related method for improving external magnetic field wafer testing | Chuang Harry-Hak-Lay |
10877190 | Extreme ultraviolet radiation source | Yang Chi |
10877366 | Pressurized tin collection bucket with in-line draining mechanism | Yang Chi |
10877369 | Apparatus for removing a pellicle frame from a photomask and the method thereof | Huang Wei Cheng |
10877370 | Stretchable layout design for EUV defect mitigation | Yang Hsing-Lin |
10877378 | Vessel for extreme ultraviolet radiation source | Chen Ssu-Yu |
10877380 | Using inverse lithography technology in a method of mask data preparation for generating integrated circuit | Lin Yihung |
10877382 | Method for handling mask and lithography apparatus | Liao Ju-Wei |
10877505 | Bandgap reference circuit, control circuit, and associated control method | Cheng Nai Chen |
10878073 | Fingerprint sensor pixel array and methods of forming same | Huang Yu-Chih |
10878158 | Semiconductor device including cell region having more similar cell densities in different height rows, and method and system for generating layout diagram of same | Lin Wei-Cheng |
10878160 | Analog cells utilizing complementary mosfet pairs | Lu Chung-Ting |
10878161 | Method and structure to reduce cell width in integrated circuits | Peng Shih-Wei |
10878162 | Metal with buried power for increased IC device density | Peng Shih-Wei |
10878163 | Semiconductor device including PG-aligned cells and method of generating layout of same | Biswas Hiranmay |
10878165 | Method for generating layout diagram including protruding pin cell regions and semiconductor device based on same | Chang Fong-Yuan |
10878167 | Method of determining colorability of a semiconductor device and system for implementing the same | Cheng Chung-Yun |
10878867 | Memory cell distance tracking circuits and methods | Katoch Atul |
10878872 | Random access memory | Osborne Randy |
10878890 | Operation assist circuit, memory device and operation assist method | Chen Chien-Yuan |
10878894 | Memory device having low bitline voltage swing in read port and method for reading memory cell | Fujiwara Hidehiro |
10878902 | RRAM voltage compensation | Lai Chien-An |
10878915 | Memory device and method for programming the same | Chang Kuo-Pin |
10878928 | One-time-programmable (OTP) implementation using magnetic junctions | Chuang Harry-Hak-Lay |
10878929 | eFuse circuit, method, layout, and structure | Chang Meng-Sheng |
10878930 | Layout structure of memory array | Chang Meng-Sheng |
10878997 | Integrated circuit having current-sensing coil | Roth Alan |
10879049 | Chemical vapor deposition tool and operating method thereof | Lee Chien-Ta |
10879051 | Method for controlling exposure region in bevel etching process for semiconductor fabrication | Wu Chun-Hsing |
10879052 | Plasma processing apparatus and manufacturing method using the same | Liao Han-Wen |
10879054 | Pump assembly for creating vacuum in wafer processing chamber | Su Shian-Hung |
10879065 | III-V compound semiconductors in isolation regions and method forming same | Ko Chih-Hsin |
10879070 | Multiple layer scheme patterning process | Lin Jiann-Horng |
10879074 | Method of forming semiconductor device and semiconductor device | Jang Shu-Uei |
10879075 | Wrap-around contact plug and method manufacturing same | Wang Sung-Li |
10879077 | Planarization apparatus and planarization method thereof | Wu Ming-Tung |
10879078 | Method of patterning resist layer and method of forming semiconductor structure using patterned resist layer | Wang Chien-Wei |
10879080 | Methods for forming polycrystalline channel on dielectric films with controlled grain boundaries | Wu Cheng-Hsien |
10879093 | System for a semiconductor fabrication facility and method for operating the same | Li Fu-Hsien |
10879098 | Semiconductor chip holder | Liao Tsung-Jen |
10879099 | Humidity control in storage device | Yang Sheng-Chun |
10879108 | Topographic planarization method for lithography process | Lin Tzu-Yang |
10879109 | Method for forming semiconductor device structure | Chen Xi-Zong |
10879110 | FinFET structure with controlled air gaps | Tsai Wen-Che |
10879111 | Dielectric plugs | Yen Fu-Ting |
10879114 | Conductive fill | Wu Jung-Tang |
10879115 | Semiconductor device and forming method thereof | Lee Ming-Han |
10879117 | Replacement contacts | Yeo Yee-Chia |
10879118 | Semiconductor device and method of fabricating the same | You Jia-Chuan |
10879119 | Method for fabricating a semiconductor device | Lo Yuan-Yen |
10879123 | Protected chip-scale package (CSP) pad structure | Lee Yueh-Chuan |
10879124 | Method to form a fully strained channel region | Wang Chun-Chieh |
10879126 | Semiconductor device and method | More Shahaji B. |
10879127 | Gate structures for semiconductor devices | Ho Wei-Shuo |
10879128 | Semiconductor device and method of forming same | Kwok Tsz-Mei |
10879129 | Self-aligned nanowire formation using double patterning | Fu Ching-Feng |
10879130 | Semiconductor device structure with semiconductor wire | Chiang Hung-Li |
10879131 | Semiconductor structure and manufacturing method for the same | Hung Tsungyu |
10879135 | Overlay error and process window metrology | Fang Shang-Wei |
10879138 | Semiconductor packaging structure including interconnection to probe pad with probe mark and method of manufacturing the same | Chen Hsien-Wei |
10879140 | System and method for bonding package lid | Lin Shih-Yen |
10879147 | Method of manufacturing package structure | Wang Po-Han |
10879153 | Chip package structure | Yu Chen-Hua |
10879161 | Semiconductor packages having a seed layer structure protruding from an edge of metal structure | Kuo Hung-Jui |
10879162 | Integrated fan-out packages | Jeng Shin-Puu |
10879166 | Package structure having redistribution structure with photosensitive and non-photosensitive dielectric materials and fabricating method thereof | Liu Zi-Jheng |
10879170 | Semiconductor package and manufacturing method thereof | Chiang Yung-Ping |
10879172 | Semiconductor structure | Lin Jiefeng Jeff |
10879173 | Middle-end-of-line strap for standard cell | Shen Meng-Hung |
10879174 | Semiconductor device and manufacturing method thereof | Liaw Jhon-Jhy |
10879176 | Integrated circuit structure | Lin Yi-Hsiung |
10879179 | Semiconductor device and manufacturing method thereof | Wu Yu-Bey |
10879181 | Embedded non-volatile memory with side word line | Tu Yung-Chun |
10879183 | Semiconductor device and method of manufacture | Wang Chuei-Tang |
10879185 | Package structure with bump | Chiu Ming-Yen |
10879186 | Method for forming semiconductor device structure with conductive line | Jeng Chi-Cherng |
10879192 | Semiconductor structure and manufacturing method thereof | Kuan Hsaing-Pin |
10879194 | Semiconductor device package and method of manufacturing the same | Lin Jing-Cheng |
10879197 | Package structure and method of fabricating package structure | Wan Albert |
10879198 | Package with solder regions aligned to recesses | Yang Ching-Jung |
10879199 | Method of manufacturing semiconductor package | Kuo Hung-Jui |
10879200 | Sidewall spacer to reduce bond pad necking and/or redistribution layer necking | Kalnitsky Alexander |
10879201 | Semiconductor package for wafer level packaging and manufacturing method thereof | Chiu Ming-Yen |
10879203 | Stud bump structure for semiconductor package assemblies | Chen Meng-Tse |
10879206 | Semiconductor structure and method for forming the same | Wu Tung-Jiun |
10879214 | Die stack structure and method of fabricating the same | Chen Yi-Hsiu |
10879220 | Package-on-package structure and manufacturing method thereof | Yu Chen-Hua |
10879221 | Package-on-package structure | Lu Chun-Ti |
10879224 | Package structure, die and method of manufacturing the same | Chen Wei-Yu |
10879228 | Packaging mechanisms for dies with different sizes of connectors | Chen Chih-Hua |
10879229 | Integrated circuit, system for and method of forming an integrated circuit | Sio Kam-Tou |
10879232 | Circuit, system and method for electrostatic discharge (ESD) protection | Lai Ming-Fang |
10879234 | Vertical noise reduction in 3D stacked semiconductor devices | Yang Shu-Chun |
10879235 | Inter-level connection for multi-layer structures | Lin Yi-Tang |
10879236 | Bootstrap metal-oxide-semiconductor (MOS) device integrated with a high voltage MOS (HVMOS) device and a high voltage junction termination (HVJT) device | Murukesan Karthick |
10879238 | Negative capacitance finFET and method of fabricating thereof | Ching Kuo-Cheng |
10879240 | Fin field effect transistor (FinFET) device structure | Wang Chun-Chieh |
10879242 | Method of manufacturing semiconductor device on hybrid substrate | Ching Kuo-Cheng |
10879243 | Semiconductor device and method for manufacturing the same | Liaw Jhon-Jhy |
10879245 | Semiconductor device | Liaw Jhon-Jhy |
10879246 | Methods of fabricating semiconductor devices having gate-all-around structure with oxygen blocking layers | Cheng Chung-Liang |
10879249 | Semiconductor memory device | Hwu Jenn-Gwo |
10879250 | Semiconductor structure for memory device and method for forming the same | Shih Hung-Ling |
10879251 | Integrated circuit and manufacturing method thereof | Lin Meng-Han |
10879253 | Semiconductor device and manufacturing method thereof | Wu Wei Cheng |
10879256 | Embedded memory using SOI structures and methods | Liu Chien Hung |
10879257 | Integrated chip having a logic gate electrode and a tunnel dielectric layer | Pan Jui-Yu |
10879258 | Memory cell comprising a metal control gate with a work function for an enlarged operation window | Wu Yun-Chi |
10879288 | Reflector for backside illuminated (BSI) image sensor | Huang Chih-Hui |
10879289 | Method for forming a semiconductor device | Lin Chin-Yu |
10879290 | Protection ring for image sensors | Tsai Tsung-Han |
10879297 | Image sensor device and method of forming same | Wang Wen-De |
10879305 | Image sensor | Chia Chun-Wei |
10879307 | Magnetic device and magnetic random access memory | Tsai Wilman |
10879309 | Memory circuit and formation method thereof | Tsai Chun-Yang |
10879310 | Memory circuit and formation method thereof | Tsai Chun-Yang |
10879342 | Multi-terminal inductor for integrated circuit | Hsu Ching-Chung |
10879351 | Fill fins for semiconductor devices | Ching Kuo-Cheng |
10879354 | Semiconductor device and forming method thereof | Wu Chii-Ming |
10879355 | Profile design for improved device performance | Li Kun-Mu |
10879364 | Semiconductor structure and manufacturing method thereof | Chang Che-Cheng |
10879369 | FinFET device and method of manufacture | Lin Hsiang-Wei |
10879370 | Etching back and selective deposition of metal gate | Lim Peng-Soon |
10879371 | Thermal treatment for gate dielectrics | Li Yi-Yun |
10879373 | Structure and formation method of semiconductor device with metal gate stack | Wu Xusheng |
10879374 | Semiconductor device and manufacturing method thereof | Yu Chih-Hao |
10879377 | Semiconductor device and method of manufacture | Lu Bo-Cyuan |
10879379 | Multi-gate device and related methods | Chung Cheng-Ting |
10879380 | Method of making semiconductor device comprising flash memory and resulting device | Lin Chien-Hung |
10879391 | Wakeup-free ferroelectric memory device | Hsieh Mickey |
10879393 | Methods of fabricating semiconductor devices having gate structure with bent sidewalls | Chiang Hsin-Che |
10879394 | Semiconductor device and method of forming the same | Yeh Ling-Yen |
10879395 | Method for forming semiconductor device structure with cap layer | Chu Feng-Ching |
10879396 | Semiconductor device with source/drain structures | More Shahaji B. |
10879400 | Field effect transistor and method of manufacturing the same | Tsai Kuo-Chiang |
10879404 | Multi-channel field effect transistors using 2D-material | Chen Pin-Shiang |
10879406 | QE approach by double-side, multi absorption structure | Huang Po-Han |
10879455 | Methods of fabricating magneto-resistive random-access memory (MRAM) devices to avoid damaging magnetic tunnel junction (MTJ) structure | Tien Hsi-Wen |
10879456 | Sidewall spacer stack for magnetic tunnel junctions | Liou Joung-Wei |
10879458 | Memory device | Peng Tai-Yen |
10879463 | Phase change memory structure to reduce power consumption | Tsai Yi Jen |
10879469 | Method of manufacturing a field effect transistor using nanotube structures and a field effect transistor | Vasen Timothy |
10879862 | Transmitter power detection method | Chu Hong-Lin |
10880101 | Method and circuit for de-biasing PUF bits | Lu Shih-Lien Linus |
10880102 | Method and apparatus for logic cell-based PUF generators | Lu Shih-Lien Linus |
10880103 | SRAM-based authentication circuit | Lin Chien-Chen |
10880980 | EUV light source and apparatus for EUV lithography | Cheng Wei-Shin |
10880981 | Collector pellicle | Chien Shang-Chieh |
10880982 | Light generation system using metal-nonmetal compound as precursor and related light generation method | Hsu Ching-Hsiang |
Archiver|手机版|科学网 ( 京ICP备07017567号-12 )
GMT+8, 2024-4-27 10:45
Powered by ScienceNet.cn
Copyright © 2007- 中国科学报社